aboutsummaryrefslogtreecommitdiffstats
path: root/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/dot_product_core.bsf
blob: 4691fe944c7d165717d9c01fd35912d4d1fbe378 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "symbol" (version "1.1"))
(symbol
	(rect 16 16 400 160)
	(text "dot_product_core" (rect 5 0 75 12)(font "Arial" ))
	(text "inst" (rect 8 128 20 140)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "clk" (rect 0 0 10 12)(font "Arial" ))
		(text "clk" (rect 21 27 31 39)(font "Arial" ))
		(line (pt 0 32)(pt 16 32)(line_width 1))
	)
	(port
		(pt 0 48)
		(input)
		(text "en" (rect 0 0 9 12)(font "Arial" ))
		(text "en" (rect 21 43 30 55)(font "Arial" ))
		(line (pt 0 48)(pt 16 48)(line_width 1))
	)
	(port
		(pt 0 64)
		(input)
		(text "arst_n" (rect 0 0 25 12)(font "Arial" ))
		(text "arst_n" (rect 21 59 46 71)(font "Arial" ))
		(line (pt 0 64)(pt 16 64)(line_width 1))
	)
	(port
		(pt 0 80)
		(input)
		(text "input_a_rsc_mgc_in_wire_d[7..0]" (rect 0 0 134 12)(font "Arial" ))
		(text "input_a_rsc_mgc_in_wire_d[7..0]" (rect 21 75 155 87)(font "Arial" ))
		(line (pt 0 80)(pt 16 80)(line_width 3))
	)
	(port
		(pt 0 96)
		(input)
		(text "input_b_rsc_mgc_in_wire_d[7..0]" (rect 0 0 134 12)(font "Arial" ))
		(text "input_b_rsc_mgc_in_wire_d[7..0]" (rect 21 91 155 103)(font "Arial" ))
		(line (pt 0 96)(pt 16 96)(line_width 3))
	)
	(port
		(pt 384 32)
		(output)
		(text "output_rsc_mgc_out_stdreg_d[7..0]" (rect 0 0 145 12)(font "Arial" ))
		(text "output_rsc_mgc_out_stdreg_d[7..0]" (rect 218 27 363 39)(font "Arial" ))
		(line (pt 384 32)(pt 368 32)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 368 128)(line_width 1))
	)
)