summaryrefslogtreecommitdiffstats
path: root/Sobel/Sobel Quartus/output_files/sobel.fit.rpt
diff options
context:
space:
mode:
Diffstat (limited to 'Sobel/Sobel Quartus/output_files/sobel.fit.rpt')
-rw-r--r--Sobel/Sobel Quartus/output_files/sobel.fit.rpt3260
1 files changed, 3260 insertions, 0 deletions
diff --git a/Sobel/Sobel Quartus/output_files/sobel.fit.rpt b/Sobel/Sobel Quartus/output_files/sobel.fit.rpt
new file mode 100644
index 0000000..6f10b3f
--- /dev/null
+++ b/Sobel/Sobel Quartus/output_files/sobel.fit.rpt
@@ -0,0 +1,3260 @@
+Fitter report for sobel
+Tue Mar 08 16:22:13 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Fitter Summary
+ 3. Fitter Settings
+ 4. Parallel Compilation
+ 5. I/O Assignment Warnings
+ 6. Incremental Compilation Preservation Summary
+ 7. Incremental Compilation Partition Settings
+ 8. Incremental Compilation Placement Preservation
+ 9. Pin-Out File
+ 10. Fitter Resource Usage Summary
+ 11. Fitter Partition Statistics
+ 12. Input Pins
+ 13. Output Pins
+ 14. Dual Purpose and Dedicated Pins
+ 15. I/O Bank Usage
+ 16. All Package Pins
+ 17. Fitter Resource Utilization by Entity
+ 18. Delay Chain Summary
+ 19. Pad To Core Delay Chain Fanout
+ 20. Control Signals
+ 21. Global & Other Fast Signals
+ 22. Non-Global High Fan-Out Signals
+ 23. Other Routing Usage Summary
+ 24. LAB Logic Elements
+ 25. LAB-wide Signals
+ 26. LAB Signals Sourced
+ 27. LAB Signals Sourced Out
+ 28. LAB Distinct Inputs
+ 29. I/O Rules Summary
+ 30. I/O Rules Details
+ 31. I/O Rules Matrix
+ 32. Fitter Device Options
+ 33. Operating Settings and Conditions
+ 34. Fitter Messages
+ 35. Fitter Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------------------------+
+; Fitter Summary ;
++------------------------------------+--------------------------------------------------+
+; Fitter Status ; Successful - Tue Mar 08 16:22:13 2016 ;
+; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version ;
+; Revision Name ; sobel ;
+; Top-level Entity Name ; sobel ;
+; Family ; Cyclone III ;
+; Device ; EP3C16F484C6 ;
+; Timing Models ; Final ;
+; Total logic elements ; 1,923 / 15,408 ( 12 % ) ;
+; Total combinational functions ; 1,847 / 15,408 ( 12 % ) ;
+; Dedicated logic registers ; 284 / 15,408 ( 2 % ) ;
+; Total registers ; 284 ;
+; Total pins ; 123 / 347 ( 35 % ) ;
+; Total virtual pins ; 0 ;
+; Total memory bits ; 0 / 516,096 ( 0 % ) ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ;
+; Total PLLs ; 0 / 4 ( 0 % ) ;
++------------------------------------+--------------------------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Settings ;
++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
+; Option ; Setting ; Default Value ;
++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
+; Device ; EP3C16F484C6 ; ;
+; Nominal Core Supply Voltage ; 1.2V ; ;
+; Minimum Core Junction Temperature ; 0 ; ;
+; Maximum Core Junction Temperature ; 85 ; ;
+; Fit Attempts to Skip ; 0 ; 0.0 ;
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Auto Merge PLLs ; On ; On ;
+; Router Timing Optimization Level ; Normal ; Normal ;
+; Perform Clocking Topology Analysis During Routing ; Off ; Off ;
+; Placement Effort Multiplier ; 1.0 ; 1.0 ;
+; Router Effort Multiplier ; 1.0 ; 1.0 ;
+; Optimize Hold Timing ; All Paths ; All Paths ;
+; Optimize Multi-Corner Timing ; On ; On ;
+; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ;
+; SSN Optimization ; Off ; Off ;
+; Optimize Timing ; Normal compilation ; Normal compilation ;
+; Optimize Timing for ECOs ; Off ; Off ;
+; Regenerate full fit report during ECO compiles ; Off ; Off ;
+; Optimize IOC Register Placement for Timing ; Normal ; Normal ;
+; Limit to One Fitting Attempt ; Off ; Off ;
+; Final Placement Optimizations ; Automatically ; Automatically ;
+; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ;
+; Fitter Initial Placement Seed ; 1 ; 1 ;
+; PCI I/O ; Off ; Off ;
+; Weak Pull-Up Resistor ; Off ; Off ;
+; Enable Bus-Hold Circuitry ; Off ; Off ;
+; Auto Packed Registers ; Auto ; Auto ;
+; Auto Delay Chains ; On ; On ;
+; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ;
+; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ;
+; Treat Bidirectional Pin as Output Pin ; Off ; Off ;
+; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ;
+; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ;
+; Perform Register Duplication for Performance ; Off ; Off ;
+; Perform Logic to Memory Mapping for Fitting ; Off ; Off ;
+; Perform Register Retiming for Performance ; Off ; Off ;
+; Perform Asynchronous Signal Pipelining ; Off ; Off ;
+; Fitter Effort ; Auto Fit ; Auto Fit ;
+; Physical Synthesis Effort Level ; Normal ; Normal ;
+; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ;
+; Auto Register Duplication ; Auto ; Auto ;
+; Auto Global Clock ; On ; On ;
+; Auto Global Register Control Signals ; On ; On ;
+; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
+; Synchronizer Identification ; Off ; Off ;
+; Enable Beneficial Skew Optimization ; On ; On ;
+; Optimize Design for Metastability ; On ; On ;
+; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ;
+; RAM Bit Reservation (Cyclone III) ; Off ; Off ;
+; Enable input tri-state on active configuration pins in user mode ; Off ; Off ;
++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.69 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-4 ; 23.1% ;
+; Processors 5-8 ; 0.0% ;
++----------------------------+-------------+
+
+
++------------------------------------------------+
+; I/O Assignment Warnings ;
++----------------+-------------------------------+
+; Pin Name ; Reason ;
++----------------+-------------------------------+
+; vout_rsc_z[0] ; Incomplete set of assignments ;
+; vout_rsc_z[1] ; Incomplete set of assignments ;
+; vout_rsc_z[2] ; Incomplete set of assignments ;
+; vout_rsc_z[3] ; Incomplete set of assignments ;
+; vout_rsc_z[4] ; Incomplete set of assignments ;
+; vout_rsc_z[5] ; Incomplete set of assignments ;
+; vout_rsc_z[6] ; Incomplete set of assignments ;
+; vout_rsc_z[7] ; Incomplete set of assignments ;
+; vout_rsc_z[8] ; Incomplete set of assignments ;
+; vout_rsc_z[9] ; Incomplete set of assignments ;
+; vout_rsc_z[10] ; Incomplete set of assignments ;
+; vout_rsc_z[11] ; Incomplete set of assignments ;
+; vout_rsc_z[12] ; Incomplete set of assignments ;
+; vout_rsc_z[13] ; Incomplete set of assignments ;
+; vout_rsc_z[14] ; Incomplete set of assignments ;
+; vout_rsc_z[15] ; Incomplete set of assignments ;
+; vout_rsc_z[16] ; Incomplete set of assignments ;
+; vout_rsc_z[17] ; Incomplete set of assignments ;
+; vout_rsc_z[18] ; Incomplete set of assignments ;
+; vout_rsc_z[19] ; Incomplete set of assignments ;
+; vout_rsc_z[20] ; Incomplete set of assignments ;
+; vout_rsc_z[21] ; Incomplete set of assignments ;
+; vout_rsc_z[22] ; Incomplete set of assignments ;
+; vout_rsc_z[23] ; Incomplete set of assignments ;
+; vout_rsc_z[24] ; Incomplete set of assignments ;
+; vout_rsc_z[25] ; Incomplete set of assignments ;
+; vout_rsc_z[26] ; Incomplete set of assignments ;
+; vout_rsc_z[27] ; Incomplete set of assignments ;
+; vout_rsc_z[28] ; Incomplete set of assignments ;
+; vout_rsc_z[29] ; Incomplete set of assignments ;
+; clk ; Incomplete set of assignments ;
+; arst_n ; Incomplete set of assignments ;
+; en ; Incomplete set of assignments ;
+; vin_rsc_z[57] ; Incomplete set of assignments ;
+; vin_rsc_z[56] ; Incomplete set of assignments ;
+; vin_rsc_z[55] ; Incomplete set of assignments ;
+; vin_rsc_z[54] ; Incomplete set of assignments ;
+; vin_rsc_z[53] ; Incomplete set of assignments ;
+; vin_rsc_z[52] ; Incomplete set of assignments ;
+; vin_rsc_z[51] ; Incomplete set of assignments ;
+; vin_rsc_z[50] ; Incomplete set of assignments ;
+; vin_rsc_z[47] ; Incomplete set of assignments ;
+; vin_rsc_z[37] ; Incomplete set of assignments ;
+; vin_rsc_z[46] ; Incomplete set of assignments ;
+; vin_rsc_z[36] ; Incomplete set of assignments ;
+; vin_rsc_z[45] ; Incomplete set of assignments ;
+; vin_rsc_z[35] ; Incomplete set of assignments ;
+; vin_rsc_z[44] ; Incomplete set of assignments ;
+; vin_rsc_z[34] ; Incomplete set of assignments ;
+; vin_rsc_z[43] ; Incomplete set of assignments ;
+; vin_rsc_z[33] ; Incomplete set of assignments ;
+; vin_rsc_z[42] ; Incomplete set of assignments ;
+; vin_rsc_z[32] ; Incomplete set of assignments ;
+; vin_rsc_z[41] ; Incomplete set of assignments ;
+; vin_rsc_z[31] ; Incomplete set of assignments ;
+; vin_rsc_z[40] ; Incomplete set of assignments ;
+; vin_rsc_z[30] ; Incomplete set of assignments ;
+; vin_rsc_z[78] ; Incomplete set of assignments ;
+; vin_rsc_z[68] ; Incomplete set of assignments ;
+; vin_rsc_z[77] ; Incomplete set of assignments ;
+; vin_rsc_z[67] ; Incomplete set of assignments ;
+; vin_rsc_z[76] ; Incomplete set of assignments ;
+; vin_rsc_z[66] ; Incomplete set of assignments ;
+; vin_rsc_z[75] ; Incomplete set of assignments ;
+; vin_rsc_z[65] ; Incomplete set of assignments ;
+; vin_rsc_z[74] ; Incomplete set of assignments ;
+; vin_rsc_z[64] ; Incomplete set of assignments ;
+; vin_rsc_z[73] ; Incomplete set of assignments ;
+; vin_rsc_z[63] ; Incomplete set of assignments ;
+; vin_rsc_z[72] ; Incomplete set of assignments ;
+; vin_rsc_z[62] ; Incomplete set of assignments ;
+; vin_rsc_z[71] ; Incomplete set of assignments ;
+; vin_rsc_z[61] ; Incomplete set of assignments ;
+; vin_rsc_z[70] ; Incomplete set of assignments ;
+; vin_rsc_z[60] ; Incomplete set of assignments ;
+; vin_rsc_z[88] ; Incomplete set of assignments ;
+; vin_rsc_z[87] ; Incomplete set of assignments ;
+; vin_rsc_z[86] ; Incomplete set of assignments ;
+; vin_rsc_z[85] ; Incomplete set of assignments ;
+; vin_rsc_z[84] ; Incomplete set of assignments ;
+; vin_rsc_z[83] ; Incomplete set of assignments ;
+; vin_rsc_z[82] ; Incomplete set of assignments ;
+; vin_rsc_z[81] ; Incomplete set of assignments ;
+; vin_rsc_z[80] ; Incomplete set of assignments ;
+; vin_rsc_z[8] ; Incomplete set of assignments ;
+; vin_rsc_z[7] ; Incomplete set of assignments ;
+; vin_rsc_z[6] ; Incomplete set of assignments ;
+; vin_rsc_z[5] ; Incomplete set of assignments ;
+; vin_rsc_z[4] ; Incomplete set of assignments ;
+; vin_rsc_z[3] ; Incomplete set of assignments ;
+; vin_rsc_z[2] ; Incomplete set of assignments ;
+; vin_rsc_z[1] ; Incomplete set of assignments ;
+; vin_rsc_z[0] ; Incomplete set of assignments ;
+; vin_rsc_z[28] ; Incomplete set of assignments ;
+; vin_rsc_z[18] ; Incomplete set of assignments ;
+; vin_rsc_z[27] ; Incomplete set of assignments ;
+; vin_rsc_z[17] ; Incomplete set of assignments ;
+; vin_rsc_z[26] ; Incomplete set of assignments ;
+; vin_rsc_z[16] ; Incomplete set of assignments ;
+; vin_rsc_z[25] ; Incomplete set of assignments ;
+; vin_rsc_z[15] ; Incomplete set of assignments ;
+; vin_rsc_z[24] ; Incomplete set of assignments ;
+; vin_rsc_z[14] ; Incomplete set of assignments ;
+; vin_rsc_z[23] ; Incomplete set of assignments ;
+; vin_rsc_z[13] ; Incomplete set of assignments ;
+; vin_rsc_z[22] ; Incomplete set of assignments ;
+; vin_rsc_z[12] ; Incomplete set of assignments ;
+; vin_rsc_z[21] ; Incomplete set of assignments ;
+; vin_rsc_z[11] ; Incomplete set of assignments ;
+; vin_rsc_z[20] ; Incomplete set of assignments ;
+; vin_rsc_z[10] ; Incomplete set of assignments ;
+; vin_rsc_z[9] ; Incomplete set of assignments ;
+; vin_rsc_z[29] ; Incomplete set of assignments ;
+; vin_rsc_z[19] ; Incomplete set of assignments ;
+; vin_rsc_z[79] ; Incomplete set of assignments ;
+; vin_rsc_z[69] ; Incomplete set of assignments ;
+; vin_rsc_z[89] ; Incomplete set of assignments ;
+; vin_rsc_z[59] ; Incomplete set of assignments ;
+; vin_rsc_z[58] ; Incomplete set of assignments ;
+; vin_rsc_z[49] ; Incomplete set of assignments ;
+; vin_rsc_z[39] ; Incomplete set of assignments ;
+; vin_rsc_z[48] ; Incomplete set of assignments ;
+; vin_rsc_z[38] ; Incomplete set of assignments ;
++----------------+-------------------------------+
+
+
++----------------------------------------------+
+; Incremental Compilation Preservation Summary ;
++---------------------+------------------------+
+; Type ; Value ;
++---------------------+------------------------+
+; Placement (by node) ; ;
+; -- Requested ; 0 / 2389 ( 0.00 % ) ;
+; -- Achieved ; 0 / 2389 ( 0.00 % ) ;
+; ; ;
+; Routing (by net) ; ;
+; -- Requested ; 0 / 0 ( 0.00 % ) ;
+; -- Achieved ; 0 / 0 ( 0.00 % ) ;
++---------------------+------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Incremental Compilation Partition Settings ;
++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
+; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ;
++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
+; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ;
+; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ;
++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------+
+; Incremental Compilation Placement Preservation ;
++--------------------------------+---------+-------------------+-------------------------+-------------------+
+; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
++--------------------------------+---------+-------------------+-------------------------+-------------------+
+; Top ; 2379 ; 0 ; N/A ; Source File ;
+; hard_block:auto_generated_inst ; 10 ; 0 ; N/A ; Source File ;
++--------------------------------+---------+-------------------+-------------------------+-------------------+
+
+
++--------------+
+; Pin-Out File ;
++--------------+
+The pin-out file can be found in /EIE1 FPGA/Sobel Filter Catapult/Sobel/Sobel Quartus/output_files/sobel.pin.
+
+
++-----------------------------------------------------------------------+
+; Fitter Resource Usage Summary ;
++---------------------------------------------+-------------------------+
+; Resource ; Usage ;
++---------------------------------------------+-------------------------+
+; Total logic elements ; 1,923 / 15,408 ( 12 % ) ;
+; -- Combinational with no register ; 1639 ;
+; -- Register only ; 76 ;
+; -- Combinational with a register ; 208 ;
+; ; ;
+; Logic element usage by number of LUT inputs ; ;
+; -- 4 input functions ; 172 ;
+; -- 3 input functions ; 1167 ;
+; -- <=2 input functions ; 508 ;
+; -- Register only ; 76 ;
+; ; ;
+; Logic elements by mode ; ;
+; -- normal mode ; 630 ;
+; -- arithmetic mode ; 1217 ;
+; ; ;
+; Total registers* ; 284 / 17,068 ( 2 % ) ;
+; -- Dedicated logic registers ; 284 / 15,408 ( 2 % ) ;
+; -- I/O registers ; 0 / 1,660 ( 0 % ) ;
+; ; ;
+; Total LABs: partially or completely used ; 142 / 963 ( 15 % ) ;
+; Virtual pins ; 0 ;
+; I/O pins ; 123 / 347 ( 35 % ) ;
+; -- Clock pins ; 3 / 8 ( 38 % ) ;
+; -- Dedicated input pins ; 0 / 9 ( 0 % ) ;
+; ; ;
+; Global signals ; 2 ;
+; M9Ks ; 0 / 56 ( 0 % ) ;
+; Total block memory bits ; 0 / 516,096 ( 0 % ) ;
+; Total block memory implementation bits ; 0 / 516,096 ( 0 % ) ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ;
+; PLLs ; 0 / 4 ( 0 % ) ;
+; Global clocks ; 2 / 20 ( 10 % ) ;
+; JTAGs ; 0 / 1 ( 0 % ) ;
+; CRC blocks ; 0 / 1 ( 0 % ) ;
+; ASMI blocks ; 0 / 1 ( 0 % ) ;
+; Impedance control blocks ; 0 / 4 ( 0 % ) ;
+; Average interconnect usage (total/H/V) ; 3% / 3% / 3% ;
+; Peak interconnect usage (total/H/V) ; 16% / 15% / 18% ;
+; Maximum fan-out ; 284 ;
+; Highest non-global fan-out ; 255 ;
+; Total fan-out ; 6309 ;
+; Average fan-out ; 2.58 ;
++---------------------------------------------+-------------------------+
+* Register count does not include registers inside RAM blocks or DSP blocks.
+
+
+
++------------------------------------------------------------------------------------------------------+
+; Fitter Partition Statistics ;
++---------------------------------------------+-----------------------+--------------------------------+
+; Statistic ; Top ; hard_block:auto_generated_inst ;
++---------------------------------------------+-----------------------+--------------------------------+
+; Difficulty Clustering Region ; Low ; Low ;
+; ; ; ;
+; Total logic elements ; 1923 / 15408 ( 12 % ) ; 0 / 15408 ( 0 % ) ;
+; -- Combinational with no register ; 1639 ; 0 ;
+; -- Register only ; 76 ; 0 ;
+; -- Combinational with a register ; 208 ; 0 ;
+; ; ; ;
+; Logic element usage by number of LUT inputs ; ; ;
+; -- 4 input functions ; 172 ; 0 ;
+; -- 3 input functions ; 1167 ; 0 ;
+; -- <=2 input functions ; 508 ; 0 ;
+; -- Register only ; 76 ; 0 ;
+; ; ; ;
+; Logic elements by mode ; ; ;
+; -- normal mode ; 630 ; 0 ;
+; -- arithmetic mode ; 1217 ; 0 ;
+; ; ; ;
+; Total registers ; 284 ; 0 ;
+; -- Dedicated logic registers ; 284 / 15408 ( 2 % ) ; 0 / 15408 ( 0 % ) ;
+; ; ; ;
+; Total LABs: partially or completely used ; 142 / 963 ( 15 % ) ; 0 / 963 ( 0 % ) ;
+; ; ; ;
+; Virtual pins ; 0 ; 0 ;
+; I/O pins ; 123 ; 0 ;
+; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; 0 / 112 ( 0 % ) ;
+; Total memory bits ; 0 ; 0 ;
+; Total RAM block bits ; 0 ; 0 ;
+; Clock control block ; 2 / 24 ( 8 % ) ; 0 / 24 ( 0 % ) ;
+; ; ; ;
+; Connections ; ; ;
+; -- Input Connections ; 0 ; 0 ;
+; -- Registered Input Connections ; 0 ; 0 ;
+; -- Output Connections ; 0 ; 0 ;
+; -- Registered Output Connections ; 0 ; 0 ;
+; ; ; ;
+; Internal Connections ; ; ;
+; -- Total Connections ; 6304 ; 5 ;
+; -- Registered Connections ; 419 ; 0 ;
+; ; ; ;
+; External Connections ; ; ;
+; -- Top ; 0 ; 0 ;
+; -- hard_block:auto_generated_inst ; 0 ; 0 ;
+; ; ; ;
+; Partition Interface ; ; ;
+; -- Input Ports ; 93 ; 0 ;
+; -- Output Ports ; 30 ; 0 ;
+; -- Bidir Ports ; 0 ; 0 ;
+; ; ; ;
+; Registered Ports ; ; ;
+; -- Registered Input Ports ; 0 ; 0 ;
+; -- Registered Output Ports ; 0 ; 0 ;
+; ; ; ;
+; Port Connectivity ; ; ;
+; -- Input Ports driven by GND ; 0 ; 0 ;
+; -- Output Ports driven by GND ; 0 ; 0 ;
+; -- Input Ports driven by VCC ; 0 ; 0 ;
+; -- Output Ports driven by VCC ; 0 ; 0 ;
+; -- Input Ports with no Source ; 0 ; 0 ;
+; -- Output Ports with no Source ; 0 ; 0 ;
+; -- Input Ports with no Fanout ; 0 ; 0 ;
+; -- Output Ports with no Fanout ; 0 ; 0 ;
++---------------------------------------------+-----------------------+--------------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Input Pins ;
++---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
+; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ;
++---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
+; arst_n ; G1 ; 1 ; 0 ; 14 ; 7 ; 284 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; clk ; G2 ; 1 ; 0 ; 14 ; 0 ; 284 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; en ; T2 ; 2 ; 0 ; 14 ; 14 ; 255 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[0] ; H12 ; 7 ; 26 ; 29 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[10] ; N22 ; 5 ; 41 ; 13 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[11] ; K19 ; 6 ; 41 ; 18 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[12] ; AB13 ; 4 ; 23 ; 0 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[13] ; A15 ; 7 ; 26 ; 29 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[14] ; B16 ; 7 ; 28 ; 29 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[15] ; Y10 ; 3 ; 19 ; 0 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[16] ; M22 ; 5 ; 41 ; 13 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[17] ; M20 ; 5 ; 41 ; 14 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[18] ; E13 ; 7 ; 23 ; 29 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[19] ; AA10 ; 3 ; 19 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[1] ; T12 ; 4 ; 28 ; 0 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[20] ; A14 ; 7 ; 23 ; 29 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[21] ; J15 ; 6 ; 41 ; 19 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[22] ; G12 ; 7 ; 26 ; 29 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[23] ; L15 ; 6 ; 41 ; 17 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[24] ; K15 ; 6 ; 41 ; 18 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[25] ; C13 ; 7 ; 23 ; 29 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[26] ; N18 ; 5 ; 41 ; 13 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[27] ; AA16 ; 4 ; 28 ; 0 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[28] ; H22 ; 6 ; 41 ; 20 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[29] ; D13 ; 7 ; 23 ; 29 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[2] ; N21 ; 5 ; 41 ; 13 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[30] ; M5 ; 2 ; 0 ; 11 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[31] ; E11 ; 7 ; 21 ; 29 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[32] ; P2 ; 2 ; 0 ; 11 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[33] ; B9 ; 8 ; 14 ; 29 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[34] ; T11 ; 3 ; 16 ; 0 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[35] ; AB9 ; 3 ; 16 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[36] ; A8 ; 8 ; 14 ; 29 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[37] ; G11 ; 8 ; 14 ; 29 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[38] ; AA11 ; 3 ; 21 ; 0 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[39] ; AA9 ; 3 ; 16 ; 0 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[3] ; M19 ; 5 ; 41 ; 14 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[40] ; E12 ; 7 ; 21 ; 29 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[41] ; P1 ; 2 ; 0 ; 11 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[42] ; P4 ; 2 ; 0 ; 10 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[43] ; A9 ; 8 ; 16 ; 29 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[44] ; D10 ; 8 ; 16 ; 29 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[45] ; A13 ; 7 ; 21 ; 29 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[46] ; E10 ; 8 ; 16 ; 29 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[47] ; B8 ; 8 ; 14 ; 29 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[48] ; AA8 ; 3 ; 16 ; 0 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[49] ; B10 ; 8 ; 16 ; 29 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[4] ; M21 ; 5 ; 41 ; 14 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[50] ; A10 ; 8 ; 16 ; 29 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[51] ; V11 ; 3 ; 19 ; 0 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[52] ; W10 ; 3 ; 19 ; 0 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[53] ; F11 ; 7 ; 21 ; 29 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[54] ; U11 ; 3 ; 19 ; 0 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[55] ; J22 ; 6 ; 41 ; 19 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[56] ; K21 ; 6 ; 41 ; 19 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[57] ; T1 ; 2 ; 0 ; 14 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[58] ; L8 ; 1 ; 0 ; 22 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[59] ; H11 ; 8 ; 19 ; 29 ; 28 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[5] ; AB10 ; 3 ; 21 ; 0 ; 28 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[60] ; R22 ; 5 ; 41 ; 10 ; 14 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[61] ; AA15 ; 4 ; 26 ; 0 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[62] ; AB16 ; 4 ; 28 ; 0 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[63] ; P20 ; 5 ; 41 ; 10 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[64] ; R18 ; 5 ; 41 ; 9 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[65] ; AB17 ; 4 ; 28 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[66] ; AA17 ; 4 ; 28 ; 0 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[67] ; N5 ; 2 ; 0 ; 10 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[68] ; R19 ; 5 ; 41 ; 9 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[69] ; V12 ; 4 ; 23 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[6] ; W14 ; 4 ; 30 ; 0 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[70] ; AB14 ; 4 ; 23 ; 0 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[71] ; R21 ; 5 ; 41 ; 10 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[72] ; AA13 ; 4 ; 23 ; 0 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[73] ; AB15 ; 4 ; 26 ; 0 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[74] ; L21 ; 6 ; 41 ; 18 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[75] ; F13 ; 7 ; 26 ; 29 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[76] ; AA14 ; 4 ; 23 ; 0 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[77] ; R2 ; 2 ; 0 ; 10 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[78] ; N16 ; 5 ; 41 ; 10 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[79] ; B14 ; 7 ; 23 ; 29 ; 28 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[7] ; B13 ; 7 ; 21 ; 29 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[80] ; Y13 ; 4 ; 26 ; 0 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[81] ; P22 ; 5 ; 41 ; 11 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[82] ; N17 ; 5 ; 41 ; 12 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[83] ; P21 ; 5 ; 41 ; 12 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[84] ; N19 ; 5 ; 41 ; 12 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[85] ; W13 ; 4 ; 26 ; 0 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[86] ; U12 ; 4 ; 26 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[87] ; L7 ; 2 ; 0 ; 11 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[88] ; N20 ; 5 ; 41 ; 12 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[89] ; B15 ; 7 ; 26 ; 29 ; 28 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[8] ; L16 ; 6 ; 41 ; 17 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
+; vin_rsc_z[9] ; M16 ; 5 ; 41 ; 14 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ;
++---------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Output Pins ;
++----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
+; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
++----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
+; vout_rsc_z[0] ; K7 ; 1 ; 0 ; 22 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[10] ; K8 ; 1 ; 0 ; 22 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[11] ; B4 ; 8 ; 5 ; 29 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[12] ; E3 ; 1 ; 0 ; 26 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[13] ; J7 ; 1 ; 0 ; 22 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[14] ; M2 ; 2 ; 0 ; 13 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[15] ; H6 ; 1 ; 0 ; 25 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[16] ; F1 ; 1 ; 0 ; 23 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[17] ; H7 ; 1 ; 0 ; 25 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[18] ; J3 ; 1 ; 0 ; 21 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[19] ; G3 ; 1 ; 0 ; 23 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[1] ; J4 ; 1 ; 0 ; 21 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[20] ; M6 ; 2 ; 0 ; 13 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[21] ; H1 ; 1 ; 0 ; 21 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[22] ; M1 ; 2 ; 0 ; 13 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[23] ; G4 ; 1 ; 0 ; 23 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[24] ; N1 ; 2 ; 0 ; 12 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[25] ; M3 ; 2 ; 0 ; 12 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[26] ; P3 ; 2 ; 0 ; 9 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[27] ; E1 ; 1 ; 0 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[28] ; L6 ; 2 ; 0 ; 13 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[29] ; C1 ; 1 ; 0 ; 26 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[2] ; J1 ; 1 ; 0 ; 20 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[3] ; J6 ; 1 ; 0 ; 24 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[4] ; F2 ; 1 ; 0 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[5] ; N2 ; 2 ; 0 ; 12 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[6] ; D2 ; 1 ; 0 ; 25 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[7] ; J2 ; 1 ; 0 ; 20 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[8] ; H2 ; 1 ; 0 ; 21 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
+; vout_rsc_z[9] ; M4 ; 2 ; 0 ; 12 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ;
++----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------+
+; Dual Purpose and Dedicated Pins ;
++----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
+; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ;
++----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
+; D1 ; DIFFIO_L4n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ;
+; E2 ; DIFFIO_L6p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ;
+; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ;
+; K2 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ;
+; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ;
+; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ;
+; L3 ; nCE ; - ; - ; Dedicated Programming Pin ;
+; N22 ; DIFFIO_R21n, DEV_OE ; Use as regular IO ; vin_rsc_z[10] ; Dual Purpose Pin ;
+; N21 ; DIFFIO_R21p, DEV_CLRn ; Use as regular IO ; vin_rsc_z[2] ; Dual Purpose Pin ;
+; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ;
+; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ;
+; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ;
+; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ;
+; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ;
+; L21 ; DIFFIO_R17p, CRC_ERROR ; Use as regular IO ; vin_rsc_z[74] ; Dual Purpose Pin ;
+; K22 ; DIFFIO_R16n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ;
+; K21 ; DIFFIO_R16p, CLKUSR ; Use as regular IO ; vin_rsc_z[56] ; Dual Purpose Pin ;
+; F13 ; DIFFIO_T21p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; vin_rsc_z[75] ; Dual Purpose Pin ;
+; A15 ; DIFFIO_T20n, PADD5 ; Use as regular IO ; vin_rsc_z[13] ; Dual Purpose Pin ;
+; B15 ; DIFFIO_T20p, PADD6 ; Use as regular IO ; vin_rsc_z[89] ; Dual Purpose Pin ;
+; C13 ; DIFFIO_T19n, PADD7 ; Use as regular IO ; vin_rsc_z[25] ; Dual Purpose Pin ;
+; D13 ; DIFFIO_T19p, PADD8 ; Use as regular IO ; vin_rsc_z[29] ; Dual Purpose Pin ;
+; A14 ; DIFFIO_T18n, PADD9 ; Use as regular IO ; vin_rsc_z[20] ; Dual Purpose Pin ;
+; B14 ; DIFFIO_T18p, PADD10 ; Use as regular IO ; vin_rsc_z[79] ; Dual Purpose Pin ;
+; A13 ; DIFFIO_T17n, PADD11 ; Use as regular IO ; vin_rsc_z[45] ; Dual Purpose Pin ;
+; B13 ; DIFFIO_T17p, PADD12, DQS4T/CQ5T,DPCLK9 ; Use as regular IO ; vin_rsc_z[7] ; Dual Purpose Pin ;
+; E11 ; DIFFIO_T16n, PADD13 ; Use as regular IO ; vin_rsc_z[31] ; Dual Purpose Pin ;
+; F11 ; DIFFIO_T16p, PADD14 ; Use as regular IO ; vin_rsc_z[53] ; Dual Purpose Pin ;
+; B10 ; DIFFIO_T14p, PADD15 ; Use as regular IO ; vin_rsc_z[49] ; Dual Purpose Pin ;
+; A9 ; DIFFIO_T13n, PADD16 ; Use as regular IO ; vin_rsc_z[43] ; Dual Purpose Pin ;
+; B9 ; DIFFIO_T13p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO ; vin_rsc_z[33] ; Dual Purpose Pin ;
+; A8 ; DIFFIO_T12n, DATA2 ; Use as regular IO ; vin_rsc_z[36] ; Dual Purpose Pin ;
+; B8 ; DIFFIO_T12p, DATA3 ; Use as regular IO ; vin_rsc_z[47] ; Dual Purpose Pin ;
+; B4 ; DIFFIO_T5p, DATA8 ; Use as regular IO ; vout_rsc_z[11] ; Dual Purpose Pin ;
++----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
+
+
++------------------------------------------------------------+
+; I/O Bank Usage ;
++----------+------------------+---------------+--------------+
+; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ;
++----------+------------------+---------------+--------------+
+; 1 ; 27 / 33 ( 82 % ) ; 2.5V ; -- ;
+; 2 ; 18 / 48 ( 38 % ) ; 2.5V ; -- ;
+; 3 ; 11 / 46 ( 24 % ) ; 2.5V ; -- ;
+; 4 ; 16 / 41 ( 39 % ) ; 2.5V ; -- ;
+; 5 ; 19 / 46 ( 41 % ) ; 2.5V ; -- ;
+; 6 ; 10 / 43 ( 23 % ) ; 2.5V ; -- ;
+; 7 ; 16 / 47 ( 34 % ) ; 2.5V ; -- ;
+; 8 ; 11 / 43 ( 26 % ) ; 2.5V ; -- ;
++----------+------------------+---------------+--------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; All Package Pins ;
++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
+; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ;
++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
+; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; A3 ; 354 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A4 ; 350 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A5 ; 345 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A6 ; 336 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A7 ; 334 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A8 ; 332 ; 8 ; vin_rsc_z[36] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; A9 ; 328 ; 8 ; vin_rsc_z[43] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; A10 ; 326 ; 8 ; vin_rsc_z[50] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; A11 ; 321 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; A12 ; 319 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; A13 ; 314 ; 7 ; vin_rsc_z[45] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; A14 ; 312 ; 7 ; vin_rsc_z[20] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; A15 ; 307 ; 7 ; vin_rsc_z[13] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; A16 ; 298 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A17 ; 296 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A18 ; 291 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A19 ; 290 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A20 ; 284 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; A21 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; AA1 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AA2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AA3 ; 102 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA4 ; 106 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA5 ; 108 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; AA7 ; 115 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA8 ; 123 ; 3 ; vin_rsc_z[48] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA9 ; 126 ; 3 ; vin_rsc_z[39] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA10 ; 132 ; 3 ; vin_rsc_z[19] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA11 ; 134 ; 3 ; vin_rsc_z[38] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA12 ; 136 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AA13 ; 138 ; 4 ; vin_rsc_z[72] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA14 ; 140 ; 4 ; vin_rsc_z[76] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA15 ; 145 ; 4 ; vin_rsc_z[61] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA16 ; 149 ; 4 ; vin_rsc_z[27] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA17 ; 151 ; 4 ; vin_rsc_z[66] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AA18 ; 163 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA19 ; 164 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA20 ; 169 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AA21 ; 179 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AA22 ; 178 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; AB2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; AB3 ; 103 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB4 ; 107 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB5 ; 109 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; AB7 ; 116 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB8 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB9 ; 127 ; 3 ; vin_rsc_z[35] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB10 ; 133 ; 3 ; vin_rsc_z[5] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB11 ; 135 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AB12 ; 137 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; AB13 ; 139 ; 4 ; vin_rsc_z[12] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB14 ; 141 ; 4 ; vin_rsc_z[70] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB15 ; 146 ; 4 ; vin_rsc_z[73] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB16 ; 150 ; 4 ; vin_rsc_z[62] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB17 ; 152 ; 4 ; vin_rsc_z[65] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; AB18 ; 162 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB19 ; 165 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB20 ; 170 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; B1 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; B2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; B3 ; 355 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B4 ; 351 ; 8 ; vout_rsc_z[11] ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B5 ; 346 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B6 ; 337 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B7 ; 335 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B8 ; 333 ; 8 ; vin_rsc_z[47] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B9 ; 329 ; 8 ; vin_rsc_z[33] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B10 ; 327 ; 8 ; vin_rsc_z[49] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B11 ; 322 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; B12 ; 320 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ;
+; B13 ; 315 ; 7 ; vin_rsc_z[7] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B14 ; 313 ; 7 ; vin_rsc_z[79] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B15 ; 308 ; 7 ; vin_rsc_z[89] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B16 ; 299 ; 7 ; vin_rsc_z[14] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; B17 ; 297 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B18 ; 292 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B19 ; 289 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B20 ; 285 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; B21 ; 269 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; B22 ; 268 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C1 ; 7 ; 1 ; vout_rsc_z[29] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; C2 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C3 ; 358 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C4 ; 359 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C6 ; 349 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C7 ; 340 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C8 ; 339 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C10 ; 330 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C13 ; 309 ; 7 ; vin_rsc_z[25] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C15 ; 300 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C17 ; 286 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; C19 ; 282 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; C20 ; 270 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C21 ; 267 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; C22 ; 266 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D1 ; 9 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; D2 ; 8 ; 1 ; vout_rsc_z[6] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; D4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D6 ; 356 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; D7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; D9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D10 ; 324 ; 8 ; vin_rsc_z[44] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; D11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D13 ; 310 ; 7 ; vin_rsc_z[29] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; D14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D15 ; 293 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; D16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D17 ; 281 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; D18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; D19 ; 283 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; D20 ; 271 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D21 ; 261 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; D22 ; 260 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E1 ; 14 ; 1 ; vout_rsc_z[27] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; E2 ; 13 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; E3 ; 5 ; 1 ; vout_rsc_z[12] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; E4 ; 4 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E5 ; 363 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E6 ; 362 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E7 ; 357 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E8 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; E9 ; 338 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; E10 ; 325 ; 8 ; vin_rsc_z[46] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; E11 ; 317 ; 7 ; vin_rsc_z[31] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; E12 ; 316 ; 7 ; vin_rsc_z[40] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; E13 ; 311 ; 7 ; vin_rsc_z[18] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; E14 ; 301 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E15 ; 294 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E16 ; 275 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ;
+; E19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; E21 ; 256 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; E22 ; 255 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F1 ; 16 ; 1 ; vout_rsc_z[16] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; F2 ; 15 ; 1 ; vout_rsc_z[4] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; F4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ;
+; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; F7 ; 360 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F8 ; 352 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F9 ; 347 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F10 ; 348 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F11 ; 318 ; 7 ; vin_rsc_z[53] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; F12 ; 302 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F13 ; 306 ; 7 ; vin_rsc_z[75] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; F14 ; 279 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F15 ; 276 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F16 ; 274 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; F17 ; 272 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; F19 ; 263 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F20 ; 262 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F21 ; 251 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; F22 ; 250 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G1 ; 39 ; 1 ; arst_n ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; G2 ; 38 ; 1 ; clk ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; G3 ; 18 ; 1 ; vout_rsc_z[19] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; G4 ; 17 ; 1 ; vout_rsc_z[23] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; G5 ; 3 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; G7 ; 361 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G8 ; 353 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G9 ; 342 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G10 ; 341 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G11 ; 331 ; 8 ; vin_rsc_z[37] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; G12 ; 305 ; 7 ; vin_rsc_z[22] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; G13 ; 295 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G14 ; 280 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G15 ; 278 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G16 ; 277 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; G17 ; 273 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G18 ; 264 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; G19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; G21 ; 226 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; G22 ; 225 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; H1 ; 26 ; 1 ; vout_rsc_z[21] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; H2 ; 25 ; 1 ; vout_rsc_z[8] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; H4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; H5 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H6 ; 11 ; 1 ; vout_rsc_z[15] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; H7 ; 10 ; 1 ; vout_rsc_z[17] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; H8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; H9 ; 344 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H10 ; 343 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H11 ; 323 ; 8 ; vin_rsc_z[59] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; H12 ; 304 ; 7 ; vin_rsc_z[0] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; H13 ; 303 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H14 ; 288 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H15 ; 287 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; H16 ; 259 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H17 ; 265 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H18 ; 257 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; H19 ; 254 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H20 ; 253 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H21 ; 246 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; H22 ; 245 ; 6 ; vin_rsc_z[28] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J1 ; 29 ; 1 ; vout_rsc_z[2] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J2 ; 28 ; 1 ; vout_rsc_z[7] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J3 ; 27 ; 1 ; vout_rsc_z[18] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J4 ; 24 ; 1 ; vout_rsc_z[1] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; J6 ; 12 ; 1 ; vout_rsc_z[3] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J7 ; 22 ; 1 ; vout_rsc_z[13] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; J15 ; 238 ; 6 ; vin_rsc_z[21] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; J16 ; 243 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J17 ; 258 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J18 ; 249 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; J20 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; J21 ; 242 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; J22 ; 241 ; 6 ; vin_rsc_z[55] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; K1 ; 31 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; K2 ; 30 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ;
+; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; K5 ; 32 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ;
+; K6 ; 19 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ;
+; K7 ; 23 ; 1 ; vout_rsc_z[0] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; K8 ; 21 ; 1 ; vout_rsc_z[10] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; K15 ; 236 ; 6 ; vin_rsc_z[24] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; K16 ; 244 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K17 ; 247 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K18 ; 248 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; K19 ; 237 ; 6 ; vin_rsc_z[11] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; K20 ; 231 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ;
+; K21 ; 240 ; 6 ; vin_rsc_z[56] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; K22 ; 239 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L1 ; 35 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ;
+; L2 ; 34 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ;
+; L3 ; 37 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ;
+; L4 ; 36 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ;
+; L5 ; 33 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ;
+; L6 ; 42 ; 2 ; vout_rsc_z[28] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L7 ; 50 ; 2 ; vin_rsc_z[87] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L8 ; 20 ; 1 ; vin_rsc_z[58] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; L15 ; 233 ; 6 ; vin_rsc_z[23] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L16 ; 232 ; 6 ; vin_rsc_z[8] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L17 ; 230 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ;
+; L18 ; 229 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ;
+; L19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; L21 ; 235 ; 6 ; vin_rsc_z[74] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; L22 ; 234 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M1 ; 45 ; 2 ; vout_rsc_z[22] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M2 ; 44 ; 2 ; vout_rsc_z[14] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M3 ; 47 ; 2 ; vout_rsc_z[25] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M4 ; 46 ; 2 ; vout_rsc_z[9] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M5 ; 51 ; 2 ; vin_rsc_z[30] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M6 ; 43 ; 2 ; vout_rsc_z[20] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M7 ; 65 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M8 ; 66 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; M15 ; 195 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; M16 ; 222 ; 5 ; vin_rsc_z[9] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M17 ; 228 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ;
+; M18 ; 227 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ;
+; M19 ; 221 ; 5 ; vin_rsc_z[3] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M20 ; 220 ; 5 ; vin_rsc_z[17] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M21 ; 219 ; 5 ; vin_rsc_z[4] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; M22 ; 218 ; 5 ; vin_rsc_z[16] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N1 ; 49 ; 2 ; vout_rsc_z[24] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N2 ; 48 ; 2 ; vout_rsc_z[5] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; N5 ; 56 ; 2 ; vin_rsc_z[67] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N6 ; 64 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N7 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N8 ; 67 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; N14 ; 189 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N15 ; 196 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; N16 ; 205 ; 5 ; vin_rsc_z[78] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N17 ; 214 ; 5 ; vin_rsc_z[82] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N18 ; 215 ; 5 ; vin_rsc_z[26] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N19 ; 213 ; 5 ; vin_rsc_z[84] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N20 ; 212 ; 5 ; vin_rsc_z[88] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N21 ; 217 ; 5 ; vin_rsc_z[2] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; N22 ; 216 ; 5 ; vin_rsc_z[10] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P1 ; 53 ; 2 ; vin_rsc_z[41] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P2 ; 52 ; 2 ; vin_rsc_z[32] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P3 ; 58 ; 2 ; vout_rsc_z[26] ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P4 ; 57 ; 2 ; vin_rsc_z[42] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P5 ; 63 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P6 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P7 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P8 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; P14 ; 180 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P15 ; 192 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P16 ; 193 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P17 ; 197 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; P20 ; 208 ; 5 ; vin_rsc_z[63] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P21 ; 211 ; 5 ; vin_rsc_z[83] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; P22 ; 210 ; 5 ; vin_rsc_z[81] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; R1 ; 55 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R2 ; 54 ; 2 ; vin_rsc_z[77] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; R4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; R5 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R6 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R7 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R8 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R9 ; 88 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R10 ; 90 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R11 ; 97 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R12 ; 98 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R13 ; 153 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R14 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R15 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R16 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; R17 ; 194 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; R18 ; 203 ; 5 ; vin_rsc_z[64] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; R19 ; 204 ; 5 ; vin_rsc_z[68] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; R20 ; 200 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; R21 ; 207 ; 5 ; vin_rsc_z[71] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; R22 ; 206 ; 5 ; vin_rsc_z[60] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; T1 ; 41 ; 2 ; vin_rsc_z[57] ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; T2 ; 40 ; 2 ; en ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ;
+; T3 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ;
+; T4 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; T7 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T8 ; 89 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T9 ; 91 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T10 ; 121 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T11 ; 125 ; 3 ; vin_rsc_z[34] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; T12 ; 148 ; 4 ; vin_rsc_z[1] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; T14 ; 160 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T15 ; 161 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T16 ; 171 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; T17 ; 181 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T18 ; 182 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; T21 ; 224 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; T22 ; 223 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ;
+; U1 ; 60 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U2 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; U4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ;
+; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; U7 ; 94 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U8 ; 95 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U9 ; 112 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U10 ; 122 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U11 ; 128 ; 3 ; vin_rsc_z[54] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; U12 ; 147 ; 4 ; vin_rsc_z[86] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; U13 ; 156 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U14 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U15 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; U17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; U19 ; 188 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U20 ; 187 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U21 ; 202 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; U22 ; 201 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V1 ; 62 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V2 ; 61 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V4 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V5 ; 93 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V6 ; 92 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V7 ; 105 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V8 ; 113 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V9 ; 119 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; V10 ; 120 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V11 ; 129 ; 3 ; vin_rsc_z[51] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; V12 ; 142 ; 4 ; vin_rsc_z[69] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; V13 ; 154 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V14 ; 157 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V15 ; 158 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; V16 ; 168 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ;
+; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ;
+; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; V21 ; 199 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; V22 ; 198 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W1 ; 69 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W2 ; 68 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; W4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W6 ; 104 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W7 ; 110 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W8 ; 114 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W10 ; 130 ; 3 ; vin_rsc_z[52] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; W11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W13 ; 143 ; 4 ; vin_rsc_z[85] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; W14 ; 155 ; 4 ; vin_rsc_z[6] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; W15 ; 159 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W17 ; 166 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; W19 ; 184 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W20 ; 183 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W21 ; 191 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; W22 ; 190 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y1 ; 71 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y2 ; 70 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y3 ; 99 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y4 ; 96 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ;
+; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y6 ; 101 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y7 ; 111 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y8 ; 117 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y10 ; 131 ; 3 ; vin_rsc_z[15] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y13 ; 144 ; 4 ; vin_rsc_z[80] ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ;
+; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y17 ; 167 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ;
+; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ;
+; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ;
+; Y21 ; 186 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
+; Y22 ; 185 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ;
++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
+Note: Pin directions (input, output or bidir) are based on device operating in user mode.
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Resource Utilization by Entity ;
++---------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------+--------------+
+; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ;
++---------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------+--------------+
+; |sobel ; 1923 (0) ; 284 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 123 ; 0 ; 1639 (0) ; 76 (0) ; 208 (0) ; |sobel ; work ;
+; |sobel_core:sobel_core_inst| ; 1923 (1885) ; 284 (284) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1639 (1601) ; 76 (76) ; 208 (207) ; |sobel|sobel_core:sobel_core_inst ; work ;
+; |lpm_mult:Mult0| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult0 ; work ;
+; |multcore:mult_core| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult0|multcore:mult_core ; work ;
+; |lpm_mult:Mult1| ; 5 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult1 ; work ;
+; |multcore:mult_core| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core ; work ;
+; |lpm_mult:Mult2| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 1 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult2 ; work ;
+; |multcore:mult_core| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 1 (1) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult2|multcore:mult_core ; work ;
+; |lpm_mult:Mult3| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult3 ; work ;
+; |multcore:mult_core| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core ; work ;
+; |lpm_mult:Mult4| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult4 ; work ;
+; |multcore:mult_core| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core ; work ;
+; |lpm_mult:Mult5| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult5 ; work ;
+; |multcore:mult_core| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core ; work ;
+; |lpm_mult:Mult6| ; 4 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult6 ; work ;
+; |multcore:mult_core| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core ; work ;
+; |lpm_mult:Mult7| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult7 ; work ;
+; |multcore:mult_core| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult7|multcore:mult_core ; work ;
+; |lpm_mult:Mult8| ; 6 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult8 ; work ;
+; |multcore:mult_core| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |sobel|sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core ; work ;
++---------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------------------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++------------------------------------------------------------------------------------------------+
+; Delay Chain Summary ;
++----------------+----------+---------------+---------------+-----------------------+-----+------+
+; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ;
++----------------+----------+---------------+---------------+-----------------------+-----+------+
+; vout_rsc_z[0] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[1] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[2] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[3] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[4] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[5] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[6] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[7] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[8] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[9] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[10] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[11] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[12] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[13] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[14] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[15] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[16] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[17] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[18] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[19] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[20] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[21] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[22] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[23] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[24] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[25] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[26] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[27] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[28] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; vout_rsc_z[29] ; Output ; -- ; -- ; -- ; -- ; -- ;
+; clk ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ;
+; arst_n ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ;
+; en ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[57] ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[56] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[55] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[54] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[53] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[52] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[51] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[50] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[47] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[37] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[46] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[36] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[45] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[35] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[44] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[34] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[43] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[33] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[42] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[32] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[41] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[31] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[40] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[30] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[78] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[68] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[77] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[67] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[76] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[66] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[75] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[65] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[74] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[64] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[73] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[63] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[72] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[62] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[71] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[61] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[70] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[60] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[88] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[87] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[86] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[85] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[84] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[83] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[82] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[81] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[80] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[8] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[7] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[6] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[5] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[4] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[3] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[2] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[1] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[0] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[28] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[18] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[27] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[17] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[26] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[16] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[25] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[15] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[24] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[14] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[23] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[13] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[22] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[12] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[21] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[11] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[20] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[10] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[9] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[29] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[19] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[79] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[69] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[89] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[59] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[58] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[49] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[39] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ;
+; vin_rsc_z[48] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ;
+; vin_rsc_z[38] ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ;
++----------------+----------+---------------+---------------+-----------------------+-----+------+
+
+
++----------------------------------------------------------------------------------------------------+
+; Pad To Core Delay Chain Fanout ;
++----------------------------------------------------------------------+-------------------+---------+
+; Source Pin / Fanout ; Pad To Core Index ; Setting ;
++----------------------------------------------------------------------+-------------------+---------+
+; clk ; ; ;
+; arst_n ; ; ;
+; en ; ; ;
+; vin_rsc_z[57] ; ; ;
+; vin_rsc_z[56] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~12 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[56] ; 0 ; 6 ;
+; vin_rsc_z[55] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~10 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[55]~feeder ; 0 ; 6 ;
+; vin_rsc_z[54] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~8 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[54] ; 0 ; 6 ;
+; vin_rsc_z[53] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~6 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[53] ; 1 ; 6 ;
+; vin_rsc_z[52] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[52] ; 0 ; 6 ;
+; vin_rsc_z[51] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[51] ; 0 ; 6 ;
+; vin_rsc_z[50] ; ; ;
+; - sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[0]~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add46~1 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[50]~feeder ; 0 ; 6 ;
+; vin_rsc_z[47] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~14 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[47] ; 1 ; 6 ;
+; vin_rsc_z[37] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~14 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[37] ; 0 ; 6 ;
+; vin_rsc_z[46] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~12 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[46] ; 0 ; 6 ;
+; vin_rsc_z[36] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~12 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[36]~feeder ; 1 ; 6 ;
+; vin_rsc_z[45] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~10 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[45] ; 1 ; 6 ;
+; vin_rsc_z[35] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~10 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[35] ; 1 ; 6 ;
+; vin_rsc_z[44] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~8 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[44]~feeder ; 0 ; 6 ;
+; vin_rsc_z[34] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~8 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[34]~feeder ; 0 ; 6 ;
+; vin_rsc_z[43] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~6 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[43]~feeder ; 1 ; 6 ;
+; vin_rsc_z[33] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~6 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[33] ; 1 ; 6 ;
+; vin_rsc_z[42] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[42] ; 0 ; 6 ;
+; vin_rsc_z[32] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ; 0 ; 6 ;
+; vin_rsc_z[41] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[41] ; 0 ; 6 ;
+; vin_rsc_z[31] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~2 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ; 1 ; 6 ;
+; vin_rsc_z[40] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~0 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[40] ; 1 ; 6 ;
+; vin_rsc_z[30] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~0 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ; 1 ; 6 ;
+; vin_rsc_z[78] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[78]~feeder ; 0 ; 6 ;
+; vin_rsc_z[68] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[68] ; 0 ; 6 ;
+; vin_rsc_z[77] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[77] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~14 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~14 ; 0 ; 6 ;
+; vin_rsc_z[67] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[67] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~14 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~14 ; 0 ; 6 ;
+; vin_rsc_z[76] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[76] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~12 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~12 ; 1 ; 6 ;
+; vin_rsc_z[66] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~12 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~12 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[66]~feeder ; 0 ; 6 ;
+; vin_rsc_z[75] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[75] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~10 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~10 ; 1 ; 6 ;
+; vin_rsc_z[65] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[65] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~10 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~10 ; 1 ; 6 ;
+; vin_rsc_z[74] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~8 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~8 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[74]~feeder ; 1 ; 6 ;
+; vin_rsc_z[64] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[64] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~8 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~8 ; 0 ; 6 ;
+; vin_rsc_z[73] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[73] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~6 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~6 ; 0 ; 6 ;
+; vin_rsc_z[63] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[63] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~6 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~6 ; 0 ; 6 ;
+; vin_rsc_z[72] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[72] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~4 ; 0 ; 6 ;
+; vin_rsc_z[62] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[62] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~4 ; 0 ; 6 ;
+; vin_rsc_z[71] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[71]~feeder ; 0 ; 6 ;
+; vin_rsc_z[61] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[61]~feeder ; 0 ; 6 ;
+; vin_rsc_z[70] ; ; ;
+; - sobel_core:sobel_core_inst|Add130~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[70]~feeder ; 0 ; 6 ;
+; vin_rsc_z[60] ; ; ;
+; - sobel_core:sobel_core_inst|Add132~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~1 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[60]~feeder ; 0 ; 6 ;
+; vin_rsc_z[88] ; ; ;
+; - sobel_core:sobel_core_inst|Add26~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[88] ; 0 ; 6 ;
+; vin_rsc_z[87] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[87] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~14 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~14 ; 0 ; 6 ;
+; vin_rsc_z[86] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[86] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~12 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~12 ; 1 ; 6 ;
+; vin_rsc_z[85] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[85] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~10 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~10 ; 0 ; 6 ;
+; vin_rsc_z[84] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[84] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~8 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~8 ; 1 ; 6 ;
+; vin_rsc_z[83] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[83] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~6 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~6 ; 1 ; 6 ;
+; vin_rsc_z[82] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[82] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~4 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~4 ; 1 ; 6 ;
+; vin_rsc_z[81] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[81] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~2 ; 0 ; 6 ;
+; vin_rsc_z[80] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[80] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~0 ; 0 ; 6 ;
+; vin_rsc_z[8] ; ; ;
+; - sobel_core:sobel_core_inst|Add43~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[8] ; 0 ; 6 ;
+; vin_rsc_z[7] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[7] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~14 ; 0 ; 6 ;
+; vin_rsc_z[6] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[6] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~12 ; 1 ; 6 ;
+; vin_rsc_z[5] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[5] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~10 ; 0 ; 6 ;
+; vin_rsc_z[4] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[4] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~8 ; 1 ; 6 ;
+; vin_rsc_z[3] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[3] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~6 ; 0 ; 6 ;
+; vin_rsc_z[2] ; ; ;
+; - sobel_core:sobel_core_inst|Add43~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2]~feeder ; 0 ; 6 ;
+; vin_rsc_z[1] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~2 ; 0 ; 6 ;
+; vin_rsc_z[0] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add44~0 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~1 ; 1 ; 6 ;
+; vin_rsc_z[28] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~16 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ; 1 ; 6 ;
+; vin_rsc_z[18] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~16 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ; 1 ; 6 ;
+; vin_rsc_z[27] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~14 ; 0 ; 6 ;
+; vin_rsc_z[17] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~14 ; 0 ; 6 ;
+; vin_rsc_z[26] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~12 ; 0 ; 6 ;
+; vin_rsc_z[16] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~12 ; 0 ; 6 ;
+; vin_rsc_z[25] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~10 ; 0 ; 6 ;
+; vin_rsc_z[15] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[15] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~10 ; 0 ; 6 ;
+; vin_rsc_z[24] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~8 ; 0 ; 6 ;
+; vin_rsc_z[14] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~8 ; 0 ; 6 ;
+; vin_rsc_z[23] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~6 ; 0 ; 6 ;
+; vin_rsc_z[13] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~6 ; 0 ; 6 ;
+; vin_rsc_z[22] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22]~feeder ; 0 ; 6 ;
+; vin_rsc_z[12] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~4 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12]~feeder ; 0 ; 6 ;
+; vin_rsc_z[21] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~2 ; 1 ; 6 ;
+; vin_rsc_z[11] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~2 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11]~feeder ; 0 ; 6 ;
+; vin_rsc_z[20] ; ; ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~0 ; 0 ; 6 ;
+; vin_rsc_z[10] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~0 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10]~feeder ; 0 ; 6 ;
+; vin_rsc_z[9] ; ; ;
+; - sobel_core:sobel_core_inst|Add43~22 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~20 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add43~18 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9] ; 0 ; 6 ;
+; vin_rsc_z[29] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~20 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~18 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ; 1 ; 6 ;
+; vin_rsc_z[19] ; ; ;
+; - sobel_core:sobel_core_inst|Add42~20 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add42~18 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19] ; 1 ; 6 ;
+; vin_rsc_z[79] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~20 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~22 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~18 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~20 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~18 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79] ; 0 ; 6 ;
+; vin_rsc_z[69] ; ; ;
+; - sobel_core:sobel_core_inst|Add25~20 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~22 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add25~18 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~22 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~20 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add131~18 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[69] ; 1 ; 6 ;
+; vin_rsc_z[89] ; ; ;
+; - sobel_core:sobel_core_inst|Add26~20 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~22 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add26~18 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~20 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add130~18 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[89] ; 0 ; 6 ;
+; vin_rsc_z[59] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~18 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add46~22 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|Add46~20 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[59]~feeder ; 0 ; 6 ;
+; vin_rsc_z[58] ; ; ;
+; - sobel_core:sobel_core_inst|Add46~16 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[58] ; 1 ; 6 ;
+; vin_rsc_z[49] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~18 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add45~20 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[49]~feeder ; 1 ; 6 ;
+; vin_rsc_z[39] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~18 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|Add45~20 ; 1 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[39] ; 1 ; 6 ;
+; vin_rsc_z[48] ; ; ;
+; - sobel_core:sobel_core_inst|Add45~16 ; 0 ; 6 ;
+; - sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[48] ; 0 ; 6 ;
+; vin_rsc_z[38] ; ; ;
++----------------------------------------------------------------------+-------------------+---------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Control Signals ;
++-----------------------------------------------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+
+; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
++-----------------------------------------------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+
+; arst_n ; PIN_G1 ; 284 ; Async. clear ; yes ; Global Clock ; GCLK2 ; -- ;
+; clk ; PIN_G2 ; 284 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ;
+; en ; PIN_T2 ; 255 ; Clock enable ; no ; -- ; -- ; -- ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[0]~0 ; LCCOMB_X14_Y20_N10 ; 30 ; Clock enable ; no ; -- ; -- ; -- ;
++-----------------------------------------------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------+
+; Global & Other Fast Signals ;
++--------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
++--------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+; arst_n ; PIN_G1 ; 284 ; 0 ; Global Clock ; GCLK2 ; -- ;
+; clk ; PIN_G2 ; 284 ; 33 ; Global Clock ; GCLK4 ; -- ;
++--------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
+
+
++----------------------------------------------------------------------------------------+
+; Non-Global High Fan-Out Signals ;
++------------------------------------------------------------------------------+---------+
+; Name ; Fan-Out ;
++------------------------------------------------------------------------------+---------+
+; en~input ; 255 ;
+; sobel_core:sobel_core_inst|Add44~22 ; 53 ;
+; sobel_core:sobel_core_inst|Add29~22 ; 41 ;
+; sobel_core:sobel_core_inst|Add21~22 ; 37 ;
+; sobel_core:sobel_core_inst|Add26~22 ; 34 ;
+; sobel_core:sobel_core_inst|ACC1_acc_516_cse[1]~0 ; 34 ;
+; sobel_core:sobel_core_inst|Add34~22 ; 34 ;
+; sobel_core:sobel_core_inst|ACC1_acc_553_ncse[2]~0 ; 33 ;
+; sobel_core:sobel_core_inst|ACC1_acc_724_cse[1]~0 ; 33 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[11]~22 ; 31 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[0]~0 ; 30 ;
+; sobel_core:sobel_core_inst|Add132~22 ; 30 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[3]~6 ; 17 ;
+; sobel_core:sobel_core_inst|Add44~14 ; 15 ;
+; sobel_core:sobel_core_inst|Add44~18 ; 13 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[3]~6 ; 12 ;
+; sobel_core:sobel_core_inst|Add21~18 ; 12 ;
+; sobel_core:sobel_core_inst|Add21~14 ; 12 ;
+; sobel_core:sobel_core_inst|Add13~28 ; 12 ;
+; sobel_core:sobel_core_inst|Add125~0 ; 11 ;
+; sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[3]~6 ; 11 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[4]~6 ; 11 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[4]~6 ; 11 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[2]~2 ; 11 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[3]~4 ; 11 ;
+; sobel_core:sobel_core_inst|Add44~6 ; 11 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[4]~6 ; 10 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[8]~16 ; 10 ;
+; sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[2]~4 ; 10 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[3]~6 ; 10 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[2]~4 ; 10 ;
+; sobel_core:sobel_core_inst|Add44~8 ; 10 ;
+; sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[2]~4 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[3]~4 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[2]~2 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[10]~20 ; 9 ;
+; sobel_core:sobel_core_inst|Add132~14 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[8]~16 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[3]~6 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[3]~4 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[10]~20 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[8]~16 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[1]~2 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[4]~6 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[2]~2 ; 9 ;
+; sobel_core:sobel_core_inst|Add44~16 ; 9 ;
+; sobel_core:sobel_core_inst|Add44~10 ; 9 ;
+; sobel_core:sobel_core_inst|Add34~14 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[6]~12 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[6]~12 ; 9 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[4]~8 ; 9 ;
+; sobel_core:sobel_core_inst|Add57~0 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[3]~4 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[2]~2 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[10]~20 ; 8 ;
+; sobel_core:sobel_core_inst|Add132~18 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[1]~2 ; 8 ;
+; sobel_core:sobel_core_inst|Add21~12 ; 8 ;
+; sobel_core:sobel_core_inst|Add21~10 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[1]~0 ; 8 ;
+; sobel_core:sobel_core_inst|Add44~20 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[1]~0 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[10]~20 ; 8 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[3]~6 ; 8 ;
+; sobel_core:sobel_core_inst|Add44~2 ; 8 ;
+; sobel_core:sobel_core_inst|Add26~14 ; 8 ;
+; sobel_core:sobel_core_inst|Add29~14 ; 8 ;
+; sobel_core:sobel_core_inst|Add29~10 ; 8 ;
+; sobel_core:sobel_core_inst|Add13~20 ; 8 ;
+; vin_rsc_z[69]~input ; 7 ;
+; sobel_core:sobel_core_inst|Add262~0 ; 7 ;
+; sobel_core:sobel_core_inst|Add98~0 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[1]~2 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[1]~2 ; 7 ;
+; sobel_core:sobel_core_inst|Add132~16 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[0]~0 ; 7 ;
+; sobel_core:sobel_core_inst|Add26~18 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[2]~4 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[1]~2 ; 7 ;
+; sobel_core:sobel_core_inst|Add21~16 ; 7 ;
+; sobel_core:sobel_core_inst|Add29~18 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[0]~0 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[8]~16 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[2]~4 ; 7 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[1]~2 ; 7 ;
+; sobel_core:sobel_core_inst|Add34~18 ; 7 ;
+; sobel_core:sobel_core_inst|Add44~12 ; 7 ;
+; sobel_core:sobel_core_inst|Add44~4 ; 7 ;
+; sobel_core:sobel_core_inst|Add26~10 ; 7 ;
+; sobel_core:sobel_core_inst|Add34~10 ; 7 ;
+; sobel_core:sobel_core_inst|Add13~26 ; 7 ;
+; sobel_core:sobel_core_inst|Add13~22 ; 7 ;
+; vin_rsc_z[89]~input ; 6 ;
+; vin_rsc_z[79]~input ; 6 ;
+; sobel_core:sobel_core_inst|Add416~2 ; 6 ;
+; sobel_core:sobel_core_inst|Add418~1 ; 6 ;
+; sobel_core:sobel_core_inst|Add418~0 ; 6 ;
+; sobel_core:sobel_core_inst|Add150~1 ; 6 ;
+; sobel_core:sobel_core_inst|Add148~1 ; 6 ;
+; sobel_core:sobel_core_inst|Add150~0 ; 6 ;
+; sobel_core:sobel_core_inst|Add229~2 ; 6 ;
+; sobel_core:sobel_core_inst|Add231~1 ; 6 ;
+; sobel_core:sobel_core_inst|Add231~0 ; 6 ;
+; sobel_core:sobel_core_inst|Add125~1 ; 6 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[9] ; 6 ;
+; sobel_core:sobel_core_inst|Add221~2 ; 6 ;
+; sobel_core:sobel_core_inst|Add223~1 ; 6 ;
+; sobel_core:sobel_core_inst|Add223~0 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[0]~0 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[1]~0 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[2]~4 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[0]~0 ; 6 ;
+; sobel_core:sobel_core_inst|Add132~20 ; 6 ;
+; sobel_core:sobel_core_inst|Add132~8 ; 6 ;
+; sobel_core:sobel_core_inst|Add132~6 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[0]~0 ; 6 ;
+; sobel_core:sobel_core_inst|Add21~20 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[0]~0 ; 6 ;
+; sobel_core:sobel_core_inst|Add26~16 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[6]~12 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[4]~8 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[6]~12 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[4]~8 ; 6 ;
+; sobel_core:sobel_core_inst|Add34~16 ; 6 ;
+; sobel_core:sobel_core_inst|Add29~16 ; 6 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[4]~8 ; 6 ;
+; sobel_core:sobel_core_inst|Add13~24 ; 6 ;
+; sobel_core:sobel_core_inst|Add148~2 ; 5 ;
+; sobel_core:sobel_core_inst|Add318~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add319~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add94~1 ; 5 ;
+; sobel_core:sobel_core_inst|Add58~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add96~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add416~1 ; 5 ;
+; sobel_core:sobel_core_inst|Add238~1 ; 5 ;
+; sobel_core:sobel_core_inst|ACC1_1_and_3_cse_sva~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add229~1 ; 5 ;
+; sobel_core:sobel_core_inst|Add85~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add212~1 ; 5 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[9] ; 5 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[9] ; 5 ;
+; sobel_core:sobel_core_inst|Add221~1 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[1]~0 ; 5 ;
+; sobel_core:sobel_core_inst|Add132~12 ; 5 ;
+; sobel_core:sobel_core_inst|Add132~10 ; 5 ;
+; sobel_core:sobel_core_inst|Add26~12 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[3]~6 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[1]~2 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[5]~10 ; 5 ;
+; sobel_core:sobel_core_inst|Add34~12 ; 5 ;
+; sobel_core:sobel_core_inst|Add29~12 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[5]~10 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[5]~10 ; 5 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[3]~6 ; 5 ;
+; vin_rsc_z[59]~input ; 4 ;
+; vin_rsc_z[9]~input ; 4 ;
+; vin_rsc_z[60]~input ; 4 ;
+; sobel_core:sobel_core_inst|Add317~0 ; 4 ;
+; sobel_core:sobel_core_inst|Add103~0 ; 4 ;
+; sobel_core:sobel_core_inst|Add91~0 ; 4 ;
+; sobel_core:sobel_core_inst|Add100~0 ; 4 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core|romout[0][1]~0 ; 4 ;
+; sobel_core:sobel_core_inst|Add238~0 ; 4 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][3]~1 ; 4 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[89] ; 4 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79] ; 4 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[69] ; 4 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ; 4 ;
+; sobel_core:sobel_core_inst|Add129~0 ; 4 ;
+; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; 4 ;
+; sobel_core:sobel_core_inst|Add168~14 ; 4 ;
+; sobel_core:sobel_core_inst|Add192~14 ; 4 ;
+; sobel_core:sobel_core_inst|Add216~18 ; 4 ;
+; sobel_core:sobel_core_inst|Add214~12 ; 4 ;
+; sobel_core:sobel_core_inst|Add132~2 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[9]~18 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[9]~18 ; 4 ;
+; sobel_core:sobel_core_inst|Add26~20 ; 4 ;
+; sobel_core:sobel_core_inst|Add21~8 ; 4 ;
+; sobel_core:sobel_core_inst|Add21~6 ; 4 ;
+; sobel_core:sobel_core_inst|Add21~2 ; 4 ;
+; sobel_core:sobel_core_inst|Add29~20 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[9]~18 ; 4 ;
+; sobel_core:sobel_core_inst|Add34~20 ; 4 ;
+; sobel_core:sobel_core_inst|Add26~8 ; 4 ;
+; sobel_core:sobel_core_inst|Add26~6 ; 4 ;
+; sobel_core:sobel_core_inst|Add26~2 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[5]~10 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[2]~4 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[0]~0 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[7]~14 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[3]~6 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[2]~4 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[0]~0 ; 4 ;
+; sobel_core:sobel_core_inst|Add34~8 ; 4 ;
+; sobel_core:sobel_core_inst|Add34~6 ; 4 ;
+; sobel_core:sobel_core_inst|Add34~2 ; 4 ;
+; sobel_core:sobel_core_inst|Add29~8 ; 4 ;
+; sobel_core:sobel_core_inst|Add29~6 ; 4 ;
+; sobel_core:sobel_core_inst|Add29~2 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[7]~14 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[3]~6 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[2]~4 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[0]~0 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[7]~14 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[2]~4 ; 4 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[0]~0 ; 4 ;
+; sobel_core:sobel_core_inst|Add4~12 ; 4 ;
+; sobel_core:sobel_core_inst|nl_acc_imod_24_sva[5]~10 ; 4 ;
+; vin_rsc_z[39]~input ; 3 ;
+; vin_rsc_z[49]~input ; 3 ;
+; vin_rsc_z[19]~input ; 3 ;
+; vin_rsc_z[29]~input ; 3 ;
+; vin_rsc_z[0]~input ; 3 ;
+; vin_rsc_z[80]~input ; 3 ;
+; vin_rsc_z[81]~input ; 3 ;
+; vin_rsc_z[82]~input ; 3 ;
+; vin_rsc_z[83]~input ; 3 ;
+; vin_rsc_z[84]~input ; 3 ;
+; vin_rsc_z[85]~input ; 3 ;
+; vin_rsc_z[86]~input ; 3 ;
+; vin_rsc_z[87]~input ; 3 ;
+; vin_rsc_z[88]~input ; 3 ;
+; vin_rsc_z[70]~input ; 3 ;
+; vin_rsc_z[61]~input ; 3 ;
+; vin_rsc_z[71]~input ; 3 ;
+; vin_rsc_z[62]~input ; 3 ;
+; vin_rsc_z[72]~input ; 3 ;
+; vin_rsc_z[63]~input ; 3 ;
+; vin_rsc_z[73]~input ; 3 ;
+; vin_rsc_z[64]~input ; 3 ;
+; vin_rsc_z[74]~input ; 3 ;
+; vin_rsc_z[65]~input ; 3 ;
+; vin_rsc_z[75]~input ; 3 ;
+; vin_rsc_z[66]~input ; 3 ;
+; vin_rsc_z[76]~input ; 3 ;
+; vin_rsc_z[67]~input ; 3 ;
+; vin_rsc_z[77]~input ; 3 ;
+; vin_rsc_z[68]~input ; 3 ;
+; vin_rsc_z[78]~input ; 3 ;
+; vin_rsc_z[50]~input ; 3 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core|romout[0][6]~2 ; 3 ;
+; sobel_core:sobel_core_inst|Add121~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add92~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add255~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add265~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add104~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add348~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add48~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add49~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add400~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add73~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add407~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add407~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add102~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add406~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add86~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add414~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add146~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add35~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add143~0 ; 3 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][4]~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add227~1 ; 3 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][3]~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add119~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add123~0 ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[9] ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[9] ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[9] ; 3 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19] ; 3 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9] ; 3 ;
+; sobel_core:sobel_core_inst|Add67~0 ; 3 ;
+; sobel_core:sobel_core_inst|Add127~1 ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[9] ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[9] ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[9] ; 3 ;
+; sobel_core:sobel_core_inst|Add61~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add219~1 ; 3 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ; 3 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[0] ; 3 ;
+; sobel_core:sobel_core_inst|Add15~2 ; 3 ;
+; sobel_core:sobel_core_inst|Add15~1 ; 3 ;
+; sobel_core:sobel_core_inst|Add175~16 ; 3 ;
+; sobel_core:sobel_core_inst|Add199~16 ; 3 ;
+; sobel_core:sobel_core_inst|Add383~16 ; 3 ;
+; sobel_core:sobel_core_inst|Add167~10 ; 3 ;
+; sobel_core:sobel_core_inst|Add191~10 ; 3 ;
+; sobel_core:sobel_core_inst|Add165~6 ; 3 ;
+; sobel_core:sobel_core_inst|Add189~6 ; 3 ;
+; sobel_core:sobel_core_inst|Add132~4 ; 3 ;
+; sobel_core:sobel_core_inst|Add21~4 ; 3 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[9]~18 ; 3 ;
+; sobel_core:sobel_core_inst|Add26~4 ; 3 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[7]~14 ; 3 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[1]~2 ; 3 ;
+; sobel_core:sobel_core_inst|Add34~4 ; 3 ;
+; sobel_core:sobel_core_inst|Add29~4 ; 3 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[1]~2 ; 3 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[1]~2 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~18 ; 3 ;
+; sobel_core:sobel_core_inst|Add5~18 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~16 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~14 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~12 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~10 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~8 ; 3 ;
+; sobel_core:sobel_core_inst|Add3~8 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~6 ; 3 ;
+; sobel_core:sobel_core_inst|Add7~4 ; 3 ;
+; sobel_core:sobel_core_inst|Add10~22 ; 3 ;
+; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11] ; 3 ;
+; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12] ; 3 ;
+; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12] ; 3 ;
+; sobel_core:sobel_core_inst|Add13~18 ; 3 ;
+; sobel_core:sobel_core_inst|Add13~16 ; 3 ;
+; sobel_core:sobel_core_inst|Add13~14 ; 3 ;
+; vin_rsc_z[38]~input ; 2 ;
+; vin_rsc_z[48]~input ; 2 ;
+; vin_rsc_z[58]~input ; 2 ;
+; vin_rsc_z[10]~input ; 2 ;
+; vin_rsc_z[20]~input ; 2 ;
+; vin_rsc_z[11]~input ; 2 ;
+; vin_rsc_z[21]~input ; 2 ;
+; vin_rsc_z[12]~input ; 2 ;
+; vin_rsc_z[22]~input ; 2 ;
+; vin_rsc_z[13]~input ; 2 ;
+; vin_rsc_z[23]~input ; 2 ;
+; vin_rsc_z[14]~input ; 2 ;
+; vin_rsc_z[24]~input ; 2 ;
+; vin_rsc_z[15]~input ; 2 ;
+; vin_rsc_z[25]~input ; 2 ;
+; vin_rsc_z[16]~input ; 2 ;
+; vin_rsc_z[26]~input ; 2 ;
+; vin_rsc_z[17]~input ; 2 ;
+; vin_rsc_z[27]~input ; 2 ;
+; vin_rsc_z[18]~input ; 2 ;
+; vin_rsc_z[28]~input ; 2 ;
+; vin_rsc_z[1]~input ; 2 ;
+; vin_rsc_z[2]~input ; 2 ;
+; vin_rsc_z[3]~input ; 2 ;
+; vin_rsc_z[4]~input ; 2 ;
+; vin_rsc_z[5]~input ; 2 ;
+; vin_rsc_z[6]~input ; 2 ;
+; vin_rsc_z[7]~input ; 2 ;
+; vin_rsc_z[8]~input ; 2 ;
+; vin_rsc_z[30]~input ; 2 ;
+; vin_rsc_z[40]~input ; 2 ;
+; vin_rsc_z[31]~input ; 2 ;
+; vin_rsc_z[41]~input ; 2 ;
+; vin_rsc_z[32]~input ; 2 ;
+; vin_rsc_z[42]~input ; 2 ;
+; vin_rsc_z[33]~input ; 2 ;
+; vin_rsc_z[43]~input ; 2 ;
+; vin_rsc_z[34]~input ; 2 ;
+; vin_rsc_z[44]~input ; 2 ;
+; vin_rsc_z[35]~input ; 2 ;
+; vin_rsc_z[45]~input ; 2 ;
+; vin_rsc_z[36]~input ; 2 ;
+; vin_rsc_z[46]~input ; 2 ;
+; vin_rsc_z[37]~input ; 2 ;
+; vin_rsc_z[47]~input ; 2 ;
+; vin_rsc_z[51]~input ; 2 ;
+; vin_rsc_z[52]~input ; 2 ;
+; vin_rsc_z[53]~input ; 2 ;
+; vin_rsc_z[54]~input ; 2 ;
+; vin_rsc_z[55]~input ; 2 ;
+; vin_rsc_z[56]~input ; 2 ;
+; vin_rsc_z[57]~input ; 2 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult7|multcore:mult_core|romout[0][12] ; 2 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core|romout[0][5]~2 ; 2 ;
+; sobel_core:sobel_core_inst|Add121~0 ; 2 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~5 ; 2 ;
+; sobel_core:sobel_core_inst|Add103~1 ; 2 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~4 ; 2 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~2 ; 2 ;
+; sobel_core:sobel_core_inst|Add106~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add30~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add326~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add30~0 ; 2 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~2 ; 2 ;
+; sobel_core:sobel_core_inst|Add49~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add237~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add237~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add85~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add84~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add92~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add94~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add78~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add96~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add98~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add403~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add404~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add74~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add73~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add102~1 ; 2 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add87~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add86~1 ; 2 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core|romout[0][3]~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add415~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add416~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add414~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add413~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add415~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add148~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add146~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add145~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add147~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add147~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add348~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add133~2 ; 2 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_659_itm_1~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add133~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add135~2 ; 2 ;
+; sobel_core:sobel_core_inst|Add228~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add229~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add227~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add226~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add228~0 ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[88] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[78] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[68] ; 2 ;
+; sobel_core:sobel_core_inst|Add202~5 ; 2 ;
+; sobel_core:sobel_core_inst|Add51~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add119~2 ; 2 ;
+; sobel_core:sobel_core_inst|Add113~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add202~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add210~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add68~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add67~1 ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[8] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ; 2 ;
+; sobel_core:sobel_core_inst|Add36~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add212~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add128~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add128~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add62~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add61~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add127~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add107~2 ; 2 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][4]~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add220~1 ; 2 ;
+; sobel_core:sobel_core_inst|Add221~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add219~0 ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[3] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[4] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[15] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[5] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[6] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[7] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ; 2 ;
+; sobel_core:sobel_core_inst|Add218~0 ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[0] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[0] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[1] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[1] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[2] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[2] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[3] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[3] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[4] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[4] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[5] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[5] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[6] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[6] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[7] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[7] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[8] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[8] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[1] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[2] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[3] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[4] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[5] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[6] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[7] ; 2 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[8] ; 2 ;
+; sobel_core:sobel_core_inst|Add220~0 ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[80] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[81] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[82] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[83] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[84] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[85] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[86] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[87] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[70] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[60] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[71] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[61] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[72] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[62] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[73] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[63] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[74] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[64] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[75] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[65] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[76] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[66] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[77] ; 2 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[67] ; 2 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d~2 ; 2 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d~1 ; 2 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult0|multcore:mult_core|_~1 ; 2 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult0|multcore:mult_core|_~0 ; 2 ;
+; sobel_core:sobel_core_inst|Add15~0 ; 2 ;
+; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; 2 ;
+; sobel_core:sobel_core_inst|Add384~20 ; 2 ;
+; sobel_core:sobel_core_inst|Add236~24 ; 2 ;
+; sobel_core:sobel_core_inst|Add151~22 ; 2 ;
+; sobel_core:sobel_core_inst|Add335~14 ; 2 ;
+; sobel_core:sobel_core_inst|Add409~10 ; 2 ;
+; sobel_core:sobel_core_inst|Add211~10 ; 2 ;
+; sobel_core:sobel_core_inst|Add405~8 ; 2 ;
+; sobel_core:sobel_core_inst|Add45~20 ; 2 ;
+; sobel_core:sobel_core_inst|Add163~4 ; 2 ;
+; sobel_core:sobel_core_inst|Add187~4 ; 2 ;
+; sobel_core:sobel_core_inst|Add130~20 ; 2 ;
+; sobel_core:sobel_core_inst|Add22~20 ; 2 ;
+; sobel_core:sobel_core_inst|Add27~20 ; 2 ;
+; sobel_core:sobel_core_inst|Add42~20 ; 2 ;
+; sobel_core:sobel_core_inst|Add7~2 ; 2 ;
+; sobel_core:sobel_core_inst|Add7~0 ; 2 ;
+; sobel_core:sobel_core_inst|nl_acc_imod_24_sva[4]~8 ; 2 ;
+; sobel_core:sobel_core_inst|nl_acc_imod_24_sva[3]~6 ; 2 ;
+; sobel_core:sobel_core_inst|Add12~26 ; 2 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[13] ; 2 ;
+; sobel_core:sobel_core_inst|Add13~12 ; 2 ;
+; sobel_core:sobel_core_inst|Add13~10 ; 2 ;
+; sobel_core:sobel_core_inst|Add13~8 ; 2 ;
+; sobel_core:sobel_core_inst|main_stage_0_2~feeder ; 1 ;
+; sobel_core:sobel_core_inst|Add263~7 ; 1 ;
+; sobel_core:sobel_core_inst|Add263~6 ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[59] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[49] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[39] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[58] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[48] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[38] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[50] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[51] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[52] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[53] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[54] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[55] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[56] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[57] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[40] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[41] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[42] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[43] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[33] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[44] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[34] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[45] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[35] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[46] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[36] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[47] ; 1 ;
+; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[37] ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult2|multcore:mult_core|romout[0][13] ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult2|multcore:mult_core|romout[0][12]~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult7|multcore:mult_core|romout[0][11]~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core|_~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core|romout[0][7]~5 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][10]~5 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][11]~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add317~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add317~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add318~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add319~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add303~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add303~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add308~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add310~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add308~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add310~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core|romout[0][6]~5 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core|romout[0][7]~4 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core|romout[0][2]~4 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core|romout[0][4]~3 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core|romout[0][6]~1 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][8]~5 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][9]~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add317~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add317~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add318~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add319~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add318~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add319~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add304~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add304~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add304~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add303~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add303~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add246~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add246~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add246~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add248~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add248~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add248~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add251~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add251~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add251~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add251~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add255~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add255~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add255~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add255~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add259~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add259~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add259~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add265~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add265~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add265~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add265~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add262~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add263~5 ; 1 ;
+; sobel_core:sobel_core_inst|Add263~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add104~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add262~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add262~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add274~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add105~0 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add272~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add272~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add272~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add278~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add278~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add281~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add281~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add281~0 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add106~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add72~1 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add66~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add309~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add311~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add309~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add311~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add346~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add331~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add332~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add332~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add323~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add324~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add325~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add325~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add325~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add343~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add344~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add343~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add346~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add348~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add347~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add348~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add347~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add348~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add347~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add340~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add340~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add336~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add336~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add336~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add340~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add340~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add352~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add352~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add355~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add355~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add355~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add358~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add358~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add358~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add358~0 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~5 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add362~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add362~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add362~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add369~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add369~0 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add366~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add366~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add366~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add366~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add373~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add373~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add373~0 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add57~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add377~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add377~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add377~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add385~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add388~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add386~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add387~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add387~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add389~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add388~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add396~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add396~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add395~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add395~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add35~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add72~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add399~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add400~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add399~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add400~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add398~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add400~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add398~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add400~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add80~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add81~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add80~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add81~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add78~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add78~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add403~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add404~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add403~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add404~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add403~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add403~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add404~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add404~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add74~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add75~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add75~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add74~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add407~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add406~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add407~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add406~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add407~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add406~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add87~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add88~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add88~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add87~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core|romout[0][1]~3 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core|romout[0][2]~2 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core|romout[0][4]~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core|romout[0][0]~1 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core|romout[0][1]~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add158~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add158~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add124~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add166~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add162~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add162~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add162~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add166~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add169~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add169~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add143~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add170~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add170~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add182~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add182~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add182~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add141~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add190~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add186~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add142~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add186~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add186~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add190~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add193~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add193~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add133~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add135~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add135~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add137~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add138~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add137~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add138~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add194~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add194~0 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][1]~3 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][2]~2 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][1]~3 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][2]~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add50~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add51~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add53~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add54~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add51~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add53~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add54~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add123~1 ; 1 ;
+; sobel_core:sobel_core_inst|nl_ACC1_acc_658_itm_1~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add119~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add115~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add116~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add115~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add116~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add113~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add113~1 ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[5] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[6] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[7] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[8] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[5] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[5] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[6] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[6] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[7] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[7] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[8] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[8] ; 1 ;
+; sobel_core:sobel_core_inst|Add202~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add202~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add202~2 ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[0] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[1] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[2] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[3] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[4] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[0] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[0] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[1] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[1] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[2] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[2] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[3] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[3] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[4] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[4] ; 1 ;
+; sobel_core:sobel_core_inst|Add202~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add210~4 ; 1 ;
+; sobel_core:sobel_core_inst|Add210~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add210~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add210~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add68~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add69~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add69~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add68~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add36~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add38~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add39~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add36~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add38~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add39~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add212~3 ; 1 ;
+; sobel_core:sobel_core_inst|Add212~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add62~2 ; 1 ;
+; sobel_core:sobel_core_inst|Add62~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add63~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add63~0 ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[8] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[8] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[8] ; 1 ;
+; sobel_core:sobel_core_inst|Add107~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add107~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add109~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add110~1 ; 1 ;
+; sobel_core:sobel_core_inst|Add109~0 ; 1 ;
+; sobel_core:sobel_core_inst|Add110~0 ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[0] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[1] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[2] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[3] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[4] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[5] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[6] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[7] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[0] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[0] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[1] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[1] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[2] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[2] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[3] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[3] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[4] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[4] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[5] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[5] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[6] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[6] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[7] ; 1 ;
+; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[7] ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core|romout[0][8]~4 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core|romout[0][7]~3 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core|romout[0][6]~2 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core|romout[0][5]~1 ; 1 ;
+; sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core|romout[0][4]~0 ; 1 ;
+; sobel_core:sobel_core_inst|main_stage_0_2 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[4] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[1] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[2] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[3] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[0] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[0] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[1] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[29] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[28] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[27] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[25] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[24] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[23] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[22] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[16] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[15] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[14] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[13] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[12] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[5] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[4] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[3] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[2] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[1] ; 1 ;
+; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[0] ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[13]~40 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10]~31 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11]~34 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[10]~33 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[10]~32 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[12]~39 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[12]~38 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[11]~37 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[11]~36 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[10]~35 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[10]~34 ; 1 ;
+; sobel_core:sobel_core_inst|Add236~23 ; 1 ;
+; sobel_core:sobel_core_inst|Add236~22 ; 1 ;
+; sobel_core:sobel_core_inst|Add236~21 ; 1 ;
+; sobel_core:sobel_core_inst|Add236~20 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12]~37 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[11]~36 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[11]~35 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[10]~34 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[10]~33 ; 1 ;
+; sobel_core:sobel_core_inst|Add151~21 ; 1 ;
+; sobel_core:sobel_core_inst|Add151~20 ; 1 ;
+; sobel_core:sobel_core_inst|Add200~24 ; 1 ;
+; sobel_core:sobel_core_inst|Add200~23 ; 1 ;
+; sobel_core:sobel_core_inst|Add200~22 ; 1 ;
+; sobel_core:sobel_core_inst|Add200~21 ; 1 ;
+; sobel_core:sobel_core_inst|Add200~20 ; 1 ;
+; sobel_core:sobel_core_inst|Add174~20 ; 1 ;
+; sobel_core:sobel_core_inst|Add173~20 ; 1 ;
+; sobel_core:sobel_core_inst|Add198~20 ; 1 ;
+; sobel_core:sobel_core_inst|Add197~20 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12]~37 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[11]~36 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[11]~35 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[10]~34 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[10]~33 ; 1 ;
+; sobel_core:sobel_core_inst|Add224~24 ; 1 ;
+; sobel_core:sobel_core_inst|Add224~23 ; 1 ;
+; sobel_core:sobel_core_inst|Add224~22 ; 1 ;
+; sobel_core:sobel_core_inst|Add224~21 ; 1 ;
+; sobel_core:sobel_core_inst|Add224~20 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9]~30 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9]~29 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8]~28 ; 1 ;
+; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8]~27 ; 1 ;
++------------------------------------------------------------------------------+---------+
+
+
++------------------------------------------------------+
+; Other Routing Usage Summary ;
++-----------------------------+------------------------+
+; Other Routing Resource Type ; Usage ;
++-----------------------------+------------------------+
+; Block interconnects ; 2,219 / 47,787 ( 5 % ) ;
+; C16 interconnects ; 82 / 1,804 ( 5 % ) ;
+; C4 interconnects ; 1,049 / 31,272 ( 3 % ) ;
+; Direct links ; 552 / 47,787 ( 1 % ) ;
+; Global clocks ; 2 / 20 ( 10 % ) ;
+; Local interconnects ; 636 / 15,408 ( 4 % ) ;
+; R24 interconnects ; 63 / 1,775 ( 4 % ) ;
+; R4 interconnects ; 1,271 / 41,310 ( 3 % ) ;
++-----------------------------+------------------------+
+
+
++-----------------------------------------------------------------------------+
+; LAB Logic Elements ;
++---------------------------------------------+-------------------------------+
+; Number of Logic Elements (Average = 13.54) ; Number of LABs (Total = 142) ;
++---------------------------------------------+-------------------------------+
+; 1 ; 2 ;
+; 2 ; 5 ;
+; 3 ; 0 ;
+; 4 ; 3 ;
+; 5 ; 2 ;
+; 6 ; 0 ;
+; 7 ; 0 ;
+; 8 ; 3 ;
+; 9 ; 2 ;
+; 10 ; 3 ;
+; 11 ; 9 ;
+; 12 ; 7 ;
+; 13 ; 7 ;
+; 14 ; 11 ;
+; 15 ; 19 ;
+; 16 ; 69 ;
++---------------------------------------------+-------------------------------+
+
+
++--------------------------------------------------------------------+
+; LAB-wide Signals ;
++------------------------------------+-------------------------------+
+; LAB-wide Signals (Average = 0.67) ; Number of LABs (Total = 142) ;
++------------------------------------+-------------------------------+
+; 1 Async. clear ; 32 ;
+; 1 Clock ; 32 ;
+; 1 Clock enable ; 31 ;
++------------------------------------+-------------------------------+
+
+
++------------------------------------------------------------------------------+
+; LAB Signals Sourced ;
++----------------------------------------------+-------------------------------+
+; Number of Signals Sourced (Average = 15.13) ; Number of LABs (Total = 142) ;
++----------------------------------------------+-------------------------------+
+; 0 ; 0 ;
+; 1 ; 2 ;
+; 2 ; 1 ;
+; 3 ; 2 ;
+; 4 ; 5 ;
+; 5 ; 2 ;
+; 6 ; 1 ;
+; 7 ; 1 ;
+; 8 ; 2 ;
+; 9 ; 1 ;
+; 10 ; 5 ;
+; 11 ; 9 ;
+; 12 ; 6 ;
+; 13 ; 6 ;
+; 14 ; 16 ;
+; 15 ; 24 ;
+; 16 ; 32 ;
+; 17 ; 4 ;
+; 18 ; 1 ;
+; 19 ; 0 ;
+; 20 ; 3 ;
+; 21 ; 1 ;
+; 22 ; 0 ;
+; 23 ; 1 ;
+; 24 ; 0 ;
+; 25 ; 5 ;
+; 26 ; 1 ;
+; 27 ; 0 ;
+; 28 ; 2 ;
+; 29 ; 2 ;
+; 30 ; 1 ;
+; 31 ; 1 ;
+; 32 ; 5 ;
++----------------------------------------------+-------------------------------+
+
+
++----------------------------------------------------------------------------------+
+; LAB Signals Sourced Out ;
++--------------------------------------------------+-------------------------------+
+; Number of Signals Sourced Out (Average = 10.39) ; Number of LABs (Total = 142) ;
++--------------------------------------------------+-------------------------------+
+; 0 ; 0 ;
+; 1 ; 3 ;
+; 2 ; 4 ;
+; 3 ; 2 ;
+; 4 ; 4 ;
+; 5 ; 4 ;
+; 6 ; 14 ;
+; 7 ; 14 ;
+; 8 ; 12 ;
+; 9 ; 8 ;
+; 10 ; 17 ;
+; 11 ; 11 ;
+; 12 ; 9 ;
+; 13 ; 6 ;
+; 14 ; 9 ;
+; 15 ; 10 ;
+; 16 ; 5 ;
+; 17 ; 0 ;
+; 18 ; 1 ;
+; 19 ; 0 ;
+; 20 ; 1 ;
+; 21 ; 0 ;
+; 22 ; 0 ;
+; 23 ; 3 ;
+; 24 ; 1 ;
+; 25 ; 0 ;
+; 26 ; 1 ;
+; 27 ; 2 ;
+; 28 ; 1 ;
++--------------------------------------------------+-------------------------------+
+
+
++------------------------------------------------------------------------------+
+; LAB Distinct Inputs ;
++----------------------------------------------+-------------------------------+
+; Number of Distinct Inputs (Average = 14.74) ; Number of LABs (Total = 142) ;
++----------------------------------------------+-------------------------------+
+; 0 ; 0 ;
+; 1 ; 0 ;
+; 2 ; 2 ;
+; 3 ; 3 ;
+; 4 ; 2 ;
+; 5 ; 6 ;
+; 6 ; 4 ;
+; 7 ; 2 ;
+; 8 ; 6 ;
+; 9 ; 12 ;
+; 10 ; 11 ;
+; 11 ; 5 ;
+; 12 ; 4 ;
+; 13 ; 9 ;
+; 14 ; 11 ;
+; 15 ; 6 ;
+; 16 ; 9 ;
+; 17 ; 5 ;
+; 18 ; 3 ;
+; 19 ; 3 ;
+; 20 ; 5 ;
+; 21 ; 2 ;
+; 22 ; 4 ;
+; 23 ; 12 ;
+; 24 ; 2 ;
+; 25 ; 4 ;
+; 26 ; 3 ;
+; 27 ; 2 ;
+; 28 ; 1 ;
+; 29 ; 1 ;
+; 30 ; 2 ;
+; 31 ; 1 ;
++----------------------------------------------+-------------------------------+
+
+
++------------------------------------------+
+; I/O Rules Summary ;
++----------------------------------+-------+
+; I/O Rules Statistic ; Total ;
++----------------------------------+-------+
+; Total I/O Rules ; 30 ;
+; Number of I/O Rules Passed ; 9 ;
+; Number of I/O Rules Failed ; 0 ;
+; Number of I/O Rules Unchecked ; 0 ;
+; Number of I/O Rules Inapplicable ; 21 ;
++----------------------------------+-------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; I/O Rules Details ;
++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
+; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ;
++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
+; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ;
+; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ;
+; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ;
+; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ;
+; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ;
+; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ;
+; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ;
+; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ;
+; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ;
+; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ;
+; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ;
+; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ;
+; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ;
+; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ;
+; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ;
+; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ;
+; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ;
+; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ;
+; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ;
+; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ;
+; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ;
+; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ;
+; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ;
+; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ;
+; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ;
++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; I/O Rules Matrix ;
++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
+; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ;
++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
+; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 123 ; 0 ; 0 ; 123 ; 123 ; 0 ; 30 ; 0 ; 0 ; 93 ; 0 ; 30 ; 93 ; 0 ; 0 ; 0 ; 30 ; 0 ; 0 ; 0 ; 0 ; 0 ; 123 ; 0 ; 0 ;
+; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; Total Inapplicable ; 123 ; 123 ; 123 ; 123 ; 123 ; 0 ; 123 ; 123 ; 0 ; 0 ; 123 ; 93 ; 123 ; 123 ; 30 ; 123 ; 93 ; 30 ; 123 ; 123 ; 123 ; 93 ; 123 ; 123 ; 123 ; 123 ; 123 ; 0 ; 123 ; 123 ;
+; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; vout_rsc_z[0] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[1] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[2] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[3] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[4] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[5] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[6] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[7] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[8] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[9] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[10] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[11] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[12] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[13] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[14] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[15] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[16] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[17] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[18] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[19] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[20] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[21] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[22] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[23] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[24] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[25] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[26] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[27] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[28] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vout_rsc_z[29] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; clk ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; arst_n ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; en ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[57] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[56] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[55] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[54] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[53] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[52] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[51] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[50] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[47] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[37] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[46] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[36] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[45] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[35] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[44] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[34] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[43] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[33] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[42] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[32] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[41] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[31] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[40] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[30] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[78] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[68] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[77] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[67] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[76] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[66] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[75] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[65] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[74] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[64] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[73] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[63] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[72] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[62] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[71] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[61] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[70] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[60] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[88] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[87] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[86] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[85] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[84] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[83] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[82] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[81] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[80] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[8] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[7] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[6] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[5] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[4] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[3] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[2] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[1] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[0] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[28] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[18] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[27] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[17] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[26] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[16] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[25] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[15] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[24] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[14] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[23] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[13] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[22] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[12] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[21] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[11] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[20] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[10] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[9] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[29] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[19] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[79] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[69] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[89] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[59] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[58] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[49] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[39] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[48] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
+; vin_rsc_z[38] ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ;
++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
+
+
++---------------------------------------------------------------------------------------------+
+; Fitter Device Options ;
++------------------------------------------------------------------+--------------------------+
+; Option ; Setting ;
++------------------------------------------------------------------+--------------------------+
+; Enable user-supplied start-up clock (CLKUSR) ; Off ;
+; Enable device-wide reset (DEV_CLRn) ; Off ;
+; Enable device-wide output enable (DEV_OE) ; Off ;
+; Enable INIT_DONE output ; Off ;
+; Configuration scheme ; Active Serial ;
+; Error detection CRC ; Off ;
+; Enable open drain on CRC_ERROR pin ; Off ;
+; Enable input tri-state on active configuration pins in user mode ; Off ;
+; Configuration Voltage Level ; Auto ;
+; Force Configuration Voltage Level ; Off ;
+; nCEO ; As output driving ground ;
+; Data[0] ; As input tri-stated ;
+; Data[1]/ASDO ; As input tri-stated ;
+; Data[7..2] ; Unreserved ;
+; FLASH_nCE/nCSO ; As input tri-stated ;
+; Other Active Parallel pins ; Unreserved ;
+; DCLK ; As output driving ground ;
+; Base pin-out file on sameframe device ; Off ;
++------------------------------------------------------------------+--------------------------+
+
+
++------------------------------------+
+; Operating Settings and Conditions ;
++---------------------------+--------+
+; Setting ; Value ;
++---------------------------+--------+
+; Nominal Core Voltage ; 1.20 V ;
+; Low Junction Temperature ; 0 °C ;
+; High Junction Temperature ; 85 °C ;
++---------------------------+--------+
+
+
++-----------------+
+; Fitter Messages ;
++-----------------+
+Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
+Info (119006): Selected device EP3C16F484C6 for design "sobel"
+Info (21077): Core supply voltage is 1.2V
+Info (21077): Low junction temperature is 0 degrees C
+Info (21077): High junction temperature is 85 degrees C
+Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
+Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
+ Info (176445): Device EP3C40F484C6 is compatible
+ Info (176445): Device EP3C55F484C6 is compatible
+ Info (176445): Device EP3C80F484C6 is compatible
+Info (169124): Fitter converted 5 user pins into dedicated programming pins
+ Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1
+ Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2
+ Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2
+ Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1
+ Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22
+Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
+Critical Warning (169085): No exact pin location assignment(s) for 123 pins of 123 total pins
+ Info (169086): Pin vout_rsc_z[0] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[1] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[2] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[3] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[4] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[5] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[6] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[7] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[8] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[9] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[10] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[11] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[12] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[13] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[14] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[15] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[16] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[17] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[18] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[19] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[20] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[21] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[22] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[23] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[24] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[25] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[26] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[27] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[28] not assigned to an exact location on the device
+ Info (169086): Pin vout_rsc_z[29] not assigned to an exact location on the device
+ Info (169086): Pin clk not assigned to an exact location on the device
+ Info (169086): Pin arst_n not assigned to an exact location on the device
+ Info (169086): Pin en not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[57] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[56] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[55] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[54] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[53] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[52] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[51] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[50] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[47] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[37] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[46] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[36] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[45] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[35] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[44] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[34] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[43] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[33] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[42] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[32] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[41] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[31] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[40] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[30] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[78] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[68] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[77] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[67] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[76] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[66] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[75] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[65] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[74] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[64] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[73] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[63] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[72] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[62] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[71] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[61] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[70] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[60] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[88] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[87] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[86] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[85] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[84] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[83] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[82] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[81] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[80] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[8] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[7] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[6] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[5] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[4] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[3] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[2] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[1] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[0] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[28] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[18] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[27] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[17] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[26] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[16] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[25] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[15] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[24] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[14] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[23] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[13] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[22] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[12] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[21] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[11] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[20] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[10] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[9] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[29] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[19] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[79] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[69] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[89] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[59] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[58] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[49] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[39] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[48] not assigned to an exact location on the device
+ Info (169086): Pin vin_rsc_z[38] not assigned to an exact location on the device
+Critical Warning (332012): Synopsys Design Constraints File file not found: 'sobel.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
+Info (332144): No user constrained base clocks found in the design
+Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
+Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
+Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
+Info (176353): Automatically promoted node clk~input (placed in PIN G2 (CLK0, DIFFCLK_0p))
+ Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4
+Info (176353): Automatically promoted node arst_n~input (placed in PIN G1 (CLK1, DIFFCLK_0n))
+ Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2
+Info (176233): Starting register packing
+Info (176235): Finished register packing
+ Extra Info (176219): No registers were packed into other blocks
+Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
+ Info (176211): Number of I/O pins in group: 121 (unused VREF, 2.5V VCCIO, 91 input, 30 output, 0 bidirectional)
+ Info (176212): I/O standards used: 2.5 V.
+Info (176215): I/O bank details before I/O pin placement
+ Info (176214): Statistics of I/O banks
+ Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 6 total pin(s) used -- 27 pins available
+ Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available
+ Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available
+ Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available
+ Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available
+ Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available
+ Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available
+ Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available
+Info (171121): Fitter preparation operations ending: elapsed time is 00:00:03
+Info (170189): Fitter placement preparation operations beginning
+Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
+Info (170191): Fitter placement operations beginning
+Info (170137): Fitter placement was successful
+Info (170192): Fitter placement operations ending: elapsed time is 00:00:03
+Info (170193): Fitter routing operations beginning
+Info (170195): Router estimated average interconnect usage is 3% of the available device resources
+ Info (170196): Router estimated peak interconnect usage is 15% of the available device resources in the region that extends from location X21_Y10 to location X30_Y19
+Info (170194): Fitter routing operations ending: elapsed time is 00:00:02
+Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time.
+ Info (170201): Optimizations that may affect the design's routability were skipped
+Info (11888): Total time spent on timing analysis during the Fitter is 0.87 seconds.
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01
+Info (144001): Generated suppressed messages file /EIE1 FPGA/Sobel Filter Catapult/Sobel/Sobel Quartus/output_files/sobel.fit.smsg
+Info: Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings
+ Info: Peak virtual memory: 1146 megabytes
+ Info: Processing ended: Tue Mar 08 16:22:15 2016
+ Info: Elapsed time: 00:00:18
+ Info: Total CPU time (on all processors): 00:00:15
+
+
++----------------------------+
+; Fitter Suppressed Messages ;
++----------------------------+
+The suppressed messages can be found in /EIE1 FPGA/Sobel Filter Catapult/Sobel/Sobel Quartus/output_files/sobel.fit.smsg.
+
+