summaryrefslogtreecommitdiffstats
path: root/Sobel/Sobel Quartus/output_files/sobel.sta.rpt
diff options
context:
space:
mode:
Diffstat (limited to 'Sobel/Sobel Quartus/output_files/sobel.sta.rpt')
-rw-r--r--Sobel/Sobel Quartus/output_files/sobel.sta.rpt2742
1 files changed, 2742 insertions, 0 deletions
diff --git a/Sobel/Sobel Quartus/output_files/sobel.sta.rpt b/Sobel/Sobel Quartus/output_files/sobel.sta.rpt
new file mode 100644
index 0000000..3f89117
--- /dev/null
+++ b/Sobel/Sobel Quartus/output_files/sobel.sta.rpt
@@ -0,0 +1,2742 @@
+TimeQuest Timing Analyzer report for sobel
+Tue Mar 08 16:22:33 2016
+Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. TimeQuest Timing Analyzer Summary
+ 3. Parallel Compilation
+ 4. Clocks
+ 5. Slow 1200mV 85C Model Fmax Summary
+ 6. Timing Closure Recommendations
+ 7. Slow 1200mV 85C Model Setup Summary
+ 8. Slow 1200mV 85C Model Hold Summary
+ 9. Slow 1200mV 85C Model Recovery Summary
+ 10. Slow 1200mV 85C Model Removal Summary
+ 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
+ 12. Slow 1200mV 85C Model Setup: 'clk'
+ 13. Slow 1200mV 85C Model Hold: 'clk'
+ 14. Slow 1200mV 85C Model Minimum Pulse Width: 'clk'
+ 15. Setup Times
+ 16. Hold Times
+ 17. Clock to Output Times
+ 18. Minimum Clock to Output Times
+ 19. Slow 1200mV 85C Model Metastability Report
+ 20. Slow 1200mV 0C Model Fmax Summary
+ 21. Slow 1200mV 0C Model Setup Summary
+ 22. Slow 1200mV 0C Model Hold Summary
+ 23. Slow 1200mV 0C Model Recovery Summary
+ 24. Slow 1200mV 0C Model Removal Summary
+ 25. Slow 1200mV 0C Model Minimum Pulse Width Summary
+ 26. Slow 1200mV 0C Model Setup: 'clk'
+ 27. Slow 1200mV 0C Model Hold: 'clk'
+ 28. Slow 1200mV 0C Model Minimum Pulse Width: 'clk'
+ 29. Setup Times
+ 30. Hold Times
+ 31. Clock to Output Times
+ 32. Minimum Clock to Output Times
+ 33. Slow 1200mV 0C Model Metastability Report
+ 34. Fast 1200mV 0C Model Setup Summary
+ 35. Fast 1200mV 0C Model Hold Summary
+ 36. Fast 1200mV 0C Model Recovery Summary
+ 37. Fast 1200mV 0C Model Removal Summary
+ 38. Fast 1200mV 0C Model Minimum Pulse Width Summary
+ 39. Fast 1200mV 0C Model Setup: 'clk'
+ 40. Fast 1200mV 0C Model Hold: 'clk'
+ 41. Fast 1200mV 0C Model Minimum Pulse Width: 'clk'
+ 42. Setup Times
+ 43. Hold Times
+ 44. Clock to Output Times
+ 45. Minimum Clock to Output Times
+ 46. Fast 1200mV 0C Model Metastability Report
+ 47. Multicorner Timing Analysis Summary
+ 48. Setup Times
+ 49. Hold Times
+ 50. Clock to Output Times
+ 51. Minimum Clock to Output Times
+ 52. Board Trace Model Assignments
+ 53. Input Transition Times
+ 54. Slow Corner Signal Integrity Metrics
+ 55. Fast Corner Signal Integrity Metrics
+ 56. Setup Transfers
+ 57. Hold Transfers
+ 58. Report TCCS
+ 59. Report RSKM
+ 60. Unconstrained Paths
+ 61. TimeQuest Timing Analyzer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+
+
+
++-----------------------------------------------------------------------------------------+
+; TimeQuest Timing Analyzer Summary ;
++--------------------+--------------------------------------------------------------------+
+; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version ;
+; Revision Name ; sobel ;
+; Device Family ; Cyclone III ;
+; Device Name ; EP3C16F484C6 ;
+; Timing Models ; Final ;
+; Delay Model ; Combined ;
+; Rise/Fall Delays ; Enabled ;
++--------------------+--------------------------------------------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processors 2-4 ; < 0.1% ;
+; Processors 5-8 ; 0.0% ;
++----------------------------+-------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clocks ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+; clk ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { clk } ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+
+
++-------------------------------------------------+
+; Slow 1200mV 85C Model Fmax Summary ;
++-----------+-----------------+------------+------+
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
++-----------+-----------------+------------+------+
+; 44.75 MHz ; 44.75 MHz ; clk ; ;
++-----------+-----------------+------------+------+
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+
+
+----------------------------------
+; Timing Closure Recommendations ;
+----------------------------------
+HTML report is unavailable in plain text report export.
+
+
++-------------------------------------+
+; Slow 1200mV 85C Model Setup Summary ;
++-------+---------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+---------+-------------------+
+; clk ; -21.345 ; -1510.709 ;
++-------+---------+-------------------+
+
+
++------------------------------------+
+; Slow 1200mV 85C Model Hold Summary ;
++-------+-------+--------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+--------------------+
+; clk ; 0.516 ; 0.000 ;
++-------+-------+--------------------+
+
+
+------------------------------------------
+; Slow 1200mV 85C Model Recovery Summary ;
+------------------------------------------
+No paths to report.
+
+
+-----------------------------------------
+; Slow 1200mV 85C Model Removal Summary ;
+-----------------------------------------
+No paths to report.
+
+
++---------------------------------------------------+
+; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
++-------+--------+----------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+----------------------------------+
+; clk ; -3.000 ; -287.000 ;
++-------+--------+----------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Setup: 'clk' ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; -21.345 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.634 ;
+; -21.294 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.583 ;
+; -21.178 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.467 ;
+; -21.158 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.447 ;
+; -21.077 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.285 ; 22.357 ;
+; -21.068 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.285 ; 22.348 ;
+; -21.067 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.356 ;
+; -21.022 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 22.311 ;
+; -21.012 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.301 ;
+; -20.988 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.285 ; 22.268 ;
+; -20.971 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 22.260 ;
+; -20.948 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.285 ; 22.228 ;
+; -20.934 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.285 ; 22.214 ;
+; -20.901 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 22.190 ;
+; -20.890 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 22.179 ;
+; -20.890 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.294 ; 22.179 ;
+; -20.855 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 22.144 ;
+; -20.850 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 22.139 ;
+; -20.839 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 22.128 ;
+; -20.839 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.294 ; 22.128 ;
+; -20.835 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 22.124 ;
+; -20.824 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.113 ;
+; -20.754 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.285 ; 22.034 ;
+; -20.745 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.285 ; 22.025 ;
+; -20.744 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 22.033 ;
+; -20.743 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 22.032 ;
+; -20.737 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.294 ; 22.026 ;
+; -20.736 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.294 ; 22.025 ;
+; -20.734 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 22.023 ;
+; -20.723 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 22.012 ;
+; -20.723 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.294 ; 22.012 ;
+; -20.714 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 22.003 ;
+; -20.711 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.294 ; 22.000 ;
+; -20.703 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 21.992 ;
+; -20.703 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.294 ; 21.992 ;
+; -20.689 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 21.978 ;
+; -20.686 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.294 ; 21.975 ;
+; -20.685 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.294 ; 21.974 ;
+; -20.665 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.285 ; 21.945 ;
+; -20.660 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.294 ; 21.949 ;
+; -20.633 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.285 ; 21.913 ;
+; -20.625 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.285 ; 21.905 ;
+; -20.624 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.285 ; 21.904 ;
+; -20.623 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 21.912 ;
+; -20.622 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.285 ; 21.902 ;
+; -20.622 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.285 ; 21.902 ;
+; -20.613 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.285 ; 21.893 ;
+; -20.613 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.285 ; 21.893 ;
+; -20.612 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 21.901 ;
+; -20.612 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.294 ; 21.901 ;
+; -20.611 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.285 ; 21.891 ;
+; -20.590 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.294 ; 21.879 ;
+; -20.588 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.294 ; 21.877 ;
+; -20.570 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.294 ; 21.859 ;
+; -20.569 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.294 ; 21.858 ;
+; -20.568 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 21.857 ;
+; -20.557 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 21.846 ;
+; -20.557 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.294 ; 21.846 ;
+; -20.550 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.294 ; 21.839 ;
+; -20.549 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.294 ; 21.838 ;
+; -20.544 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.294 ; 21.833 ;
+; -20.544 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.285 ; 21.824 ;
+; -20.539 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.294 ; 21.828 ;
+; -20.537 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.294 ; 21.826 ;
+; -20.533 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.285 ; 21.813 ;
+; -20.533 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.285 ; 21.813 ;
+; -20.524 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.294 ; 21.813 ;
+; -20.504 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.285 ; 21.784 ;
+; -20.501 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 21.790 ;
+; -20.493 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.285 ; 21.773 ;
+; -20.493 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.285 ; 21.773 ;
+; -20.490 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.285 ; 21.770 ;
+; -20.482 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 1.000 ; 0.294 ; 21.771 ;
+; -20.481 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 1.000 ; 0.294 ; 21.770 ;
+; -20.479 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.285 ; 21.759 ;
+; -20.479 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.285 ; 21.759 ;
+; -20.469 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.285 ; 21.749 ;
+; -20.468 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.285 ; 21.748 ;
+; -20.460 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.285 ; 21.740 ;
+; -20.459 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.294 ; 21.748 ;
+; -20.459 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.285 ; 21.739 ;
+; -20.458 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.294 ; 21.747 ;
+; -20.443 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.285 ; 21.723 ;
+; -20.438 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.294 ; 21.727 ;
+; -20.434 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.285 ; 21.714 ;
+; -20.433 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.294 ; 21.722 ;
+; -20.431 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 1.000 ; 0.294 ; 21.720 ;
+; -20.430 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 1.000 ; 0.294 ; 21.719 ;
+; -20.423 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.294 ; 21.712 ;
+; -20.421 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.294 ; 21.710 ;
+; -20.420 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.294 ; 21.709 ;
+; -20.404 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.294 ; 21.693 ;
+; -20.403 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.294 ; 21.692 ;
+; -20.403 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.294 ; 21.692 ;
+; -20.401 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.294 ; 21.690 ;
+; -20.380 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.294 ; 21.669 ;
+; -20.380 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.285 ; 21.660 ;
+; -20.379 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.285 ; 21.659 ;
+; -20.378 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.294 ; 21.667 ;
+; -20.369 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.294 ; 21.658 ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Hold: 'clk' ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; 0.516 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[57] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[7] ; clk ; clk ; 0.000 ; 0.062 ; 0.735 ;
+; 0.518 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[51] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[1] ; clk ; clk ; 0.000 ; 0.062 ; 0.737 ;
+; 0.518 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[35] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[5] ; clk ; clk ; 0.000 ; 0.061 ; 0.736 ;
+; 0.519 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[34] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[4] ; clk ; clk ; 0.000 ; 0.062 ; 0.738 ;
+; 0.534 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[61] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[1] ; clk ; clk ; 0.000 ; 0.062 ; 0.753 ;
+; 0.538 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[78] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[8] ; clk ; clk ; 0.000 ; 0.062 ; 0.757 ;
+; 0.550 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[70] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[0] ; clk ; clk ; 0.000 ; 0.062 ; 0.769 ;
+; 0.553 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[63] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[3] ; clk ; clk ; 0.000 ; 0.062 ; 0.772 ;
+; 0.660 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[0] ; clk ; clk ; 0.000 ; 0.061 ; 0.878 ;
+; 0.662 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[52] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[2] ; clk ; clk ; 0.000 ; 0.062 ; 0.881 ;
+; 0.673 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[9] ; clk ; clk ; 0.000 ; 0.062 ; 0.892 ;
+; 0.674 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[64] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[4] ; clk ; clk ; 0.000 ; 0.062 ; 0.893 ;
+; 0.682 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[53] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[3] ; clk ; clk ; 0.000 ; 0.062 ; 0.901 ;
+; 0.688 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[73] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[3] ; clk ; clk ; 0.000 ; 0.062 ; 0.907 ;
+; 0.703 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[75] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[5] ; clk ; clk ; 0.000 ; 0.062 ; 0.922 ;
+; 0.706 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[60] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[0] ; clk ; clk ; 0.000 ; 0.062 ; 0.925 ;
+; 0.706 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[71] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[1] ; clk ; clk ; 0.000 ; 0.062 ; 0.925 ;
+; 0.725 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[1] ; clk ; clk ; 0.000 ; 0.062 ; 0.944 ;
+; 0.727 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[42] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[2] ; clk ; clk ; 0.000 ; 0.062 ; 0.946 ;
+; 0.733 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[2] ; clk ; clk ; 0.000 ; 0.061 ; 0.951 ;
+; 0.733 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[6] ; clk ; clk ; 0.000 ; 0.061 ; 0.951 ;
+; 0.734 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[3] ; clk ; clk ; 0.000 ; 0.061 ; 0.952 ;
+; 0.736 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[33] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[3] ; clk ; clk ; 0.000 ; 0.062 ; 0.955 ;
+; 0.743 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[67] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[7] ; clk ; clk ; 0.000 ; -0.289 ; 0.611 ;
+; 0.754 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[62] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[2] ; clk ; clk ; 0.000 ; 0.062 ; 0.973 ;
+; 0.782 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[76] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[6] ; clk ; clk ; 0.000 ; 0.069 ; 1.008 ;
+; 0.803 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[59] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[9] ; clk ; clk ; 0.000 ; 0.053 ; 1.013 ;
+; 0.847 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[39] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[9] ; clk ; clk ; 0.000 ; 0.062 ; 1.066 ;
+; 0.868 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[0] ; clk ; clk ; 0.000 ; 0.061 ; 1.086 ;
+; 0.870 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[48] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[8] ; clk ; clk ; 0.000 ; 0.062 ; 1.089 ;
+; 0.872 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[1] ; clk ; clk ; 0.000 ; 0.061 ; 1.090 ;
+; 0.872 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[43] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[3] ; clk ; clk ; 0.000 ; 0.062 ; 1.091 ;
+; 0.875 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[4] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[4] ; clk ; clk ; 0.000 ; 0.061 ; 1.093 ;
+; 0.875 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[4] ; clk ; clk ; 0.000 ; 0.061 ; 1.093 ;
+; 0.875 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[47] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[7] ; clk ; clk ; 0.000 ; 0.062 ; 1.094 ;
+; 0.877 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[2] ; clk ; clk ; 0.000 ; 0.061 ; 1.095 ;
+; 0.882 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[40] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[0] ; clk ; clk ; 0.000 ; 0.062 ; 1.101 ;
+; 0.882 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[37] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[7] ; clk ; clk ; 0.000 ; 0.061 ; 1.100 ;
+; 0.884 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[68] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[8] ; clk ; clk ; 0.000 ; 0.062 ; 1.103 ;
+; 0.897 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[0] ; clk ; clk ; 0.000 ; 0.061 ; 1.115 ;
+; 0.897 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[8] ; clk ; clk ; 0.000 ; 0.061 ; 1.115 ;
+; 0.899 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[72] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[2] ; clk ; clk ; 0.000 ; 0.062 ; 1.118 ;
+; 0.911 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[2] ; clk ; clk ; 0.000 ; 0.062 ; 1.130 ;
+; 0.913 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[41] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[1] ; clk ; clk ; 0.000 ; 0.062 ; 1.132 ;
+; 0.916 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[56] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[6] ; clk ; clk ; 0.000 ; 0.053 ; 1.126 ;
+; 0.926 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[6] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[6] ; clk ; clk ; 0.000 ; 0.061 ; 1.144 ;
+; 0.932 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[66] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[6] ; clk ; clk ; 0.000 ; 0.065 ; 1.154 ;
+; 0.949 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[50] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[0] ; clk ; clk ; 0.000 ; 0.053 ; 1.159 ;
+; 0.975 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[46] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[6] ; clk ; clk ; 0.000 ; 0.062 ; 1.194 ;
+; 0.996 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[3] ; clk ; clk ; 0.000 ; 0.087 ; 1.240 ;
+; 0.997 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[1] ; clk ; clk ; 0.000 ; 0.086 ; 1.240 ;
+; 1.049 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[74] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[4] ; clk ; clk ; 0.000 ; 0.072 ; 1.278 ;
+; 1.096 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[77] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[7] ; clk ; clk ; 0.000 ; 0.062 ; 1.315 ;
+; 1.113 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[8] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[8] ; clk ; clk ; 0.000 ; 0.060 ; 1.330 ;
+; 1.120 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[7] ; clk ; clk ; 0.000 ; 0.061 ; 1.338 ;
+; 1.127 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[55] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[5] ; clk ; clk ; 0.000 ; 0.053 ; 1.337 ;
+; 1.133 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[4] ; clk ; clk ; 0.000 ; 0.086 ; 1.376 ;
+; 1.133 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[8] ; clk ; clk ; 0.000 ; 0.086 ; 1.376 ;
+; 1.140 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[1] ; clk ; clk ; 0.000 ; 0.060 ; 1.357 ;
+; 1.151 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[5] ; clk ; clk ; 0.000 ; 0.086 ; 1.394 ;
+; 1.152 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[7] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[7] ; clk ; clk ; 0.000 ; 0.060 ; 1.369 ;
+; 1.153 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[54] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[4] ; clk ; clk ; 0.000 ; 0.053 ; 1.363 ;
+; 1.156 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[65] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[5] ; clk ; clk ; 0.000 ; 0.062 ; 1.375 ;
+; 1.204 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[84] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[4] ; clk ; clk ; 0.000 ; -0.289 ; 1.072 ;
+; 1.222 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[80] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[0] ; clk ; clk ; 0.000 ; -0.289 ; 1.090 ;
+; 1.233 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[86] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[6] ; clk ; clk ; 0.000 ; -0.289 ; 1.101 ;
+; 1.251 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[5] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[5] ; clk ; clk ; 0.000 ; 0.060 ; 1.468 ;
+; 1.257 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[3] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[3] ; clk ; clk ; 0.000 ; 0.060 ; 1.474 ;
+; 1.267 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[88] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[8] ; clk ; clk ; 0.000 ; -0.289 ; 1.135 ;
+; 1.282 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[83] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[3] ; clk ; clk ; 0.000 ; -0.289 ; 1.150 ;
+; 1.362 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[2] ; clk ; clk ; 0.000 ; 0.086 ; 1.605 ;
+; 1.374 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[7] ; clk ; clk ; 0.000 ; 0.086 ; 1.617 ;
+; 1.378 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[6] ; clk ; clk ; 0.000 ; 0.086 ; 1.621 ;
+; 1.384 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[0] ; clk ; clk ; 0.000 ; 0.086 ; 1.627 ;
+; 1.389 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[9] ; clk ; clk ; 0.000 ; 0.086 ; 1.632 ;
+; 1.408 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[85] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[5] ; clk ; clk ; 0.000 ; -0.289 ; 1.276 ;
+; 1.434 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[36] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[6] ; clk ; clk ; 0.000 ; 0.062 ; 1.653 ;
+; 1.455 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[38] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[8] ; clk ; clk ; 0.000 ; -0.289 ; 1.323 ;
+; 1.457 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[44] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[4] ; clk ; clk ; 0.000 ; -0.296 ; 1.318 ;
+; 1.471 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[45] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[5] ; clk ; clk ; 0.000 ; 0.053 ; 1.681 ;
+; 1.549 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[58] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[8] ; clk ; clk ; 0.000 ; 0.053 ; 1.759 ;
+; 1.557 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[49] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[9] ; clk ; clk ; 0.000 ; 0.062 ; 1.776 ;
+; 1.594 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[9] ; clk ; clk ; 0.000 ; 0.061 ; 1.812 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[16] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[27] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[28] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.626 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[29] ; clk ; clk ; 0.000 ; 0.434 ; 2.217 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[5] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
+; 1.629 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[25] ; clk ; clk ; 0.000 ; 0.434 ; 2.220 ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 85C Model Minimum Pulse Width: 'clk' ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+; -3.000 ; 1.000 ; 4.000 ; Port Rate ; clk ; Rise ; clk ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[13] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|main_stage_0_2 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[15] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+
+
++------------------------------------------------------------------------------+
+; Setup Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 2.702 ; 2.727 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 24.641 ; 25.299 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; 23.788 ; 24.281 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; 23.558 ; 23.820 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; 23.481 ; 23.940 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; 23.493 ; 23.716 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; 23.315 ; 23.765 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; 23.699 ; 24.042 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; 22.802 ; 23.457 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; 23.105 ; 23.515 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; 22.872 ; 23.500 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; 22.722 ; 22.949 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; 23.605 ; 24.117 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; 23.616 ; 23.947 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; 23.709 ; 24.278 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; 23.713 ; 24.006 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; 23.397 ; 24.030 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; 23.492 ; 23.808 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; 22.771 ; 23.282 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; 22.814 ; 23.108 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; 22.797 ; 23.346 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; 22.937 ; 23.255 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; 23.768 ; 24.318 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; 23.934 ; 24.231 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; 23.370 ; 23.940 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; 23.733 ; 23.983 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; 23.179 ; 23.780 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; 23.423 ; 23.711 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; 22.868 ; 23.356 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; 22.761 ; 23.085 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; 22.935 ; 23.501 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; 22.790 ; 23.076 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; 24.641 ; 25.299 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; 24.355 ; 24.800 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; 24.384 ; 25.042 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; 23.600 ; 24.015 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; 23.423 ; 23.960 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; 23.186 ; 23.565 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; 22.876 ; 23.459 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; 22.877 ; 23.219 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 20.964 ; 21.256 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; 22.787 ; 23.071 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; 24.489 ; 25.171 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; 24.418 ; 24.854 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; 24.207 ; 24.869 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; 23.571 ; 24.005 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; 23.087 ; 23.640 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; 23.356 ; 23.713 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; 22.881 ; 23.451 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; 22.887 ; 23.239 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; 22.779 ; 23.453 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; 22.641 ; 22.912 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; 24.425 ; 25.082 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; 24.464 ; 24.902 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; 24.313 ; 24.972 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; 23.987 ; 24.442 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; 23.694 ; 24.379 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; 23.635 ; 24.030 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; 23.402 ; 24.064 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 21.643 ; 21.716 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; 23.306 ; 23.951 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; 22.797 ; 23.282 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; 23.536 ; 23.819 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; 23.378 ; 24.000 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; 23.417 ; 23.763 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; 23.088 ; 23.662 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; 23.188 ; 23.621 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; 23.321 ; 24.040 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; 22.965 ; 23.342 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; 22.921 ; 23.422 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; 22.506 ; 23.023 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; 22.713 ; 22.984 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; 23.664 ; 23.985 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; 23.267 ; 23.855 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; 23.096 ; 23.593 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; 23.288 ; 23.911 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; 23.400 ; 23.880 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; 23.348 ; 24.069 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; 22.709 ; 23.109 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; 22.730 ; 23.450 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; 22.319 ; 22.822 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; 22.558 ; 23.208 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; 23.295 ; 23.860 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; 22.975 ; 23.281 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; 22.833 ; 23.376 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; 22.915 ; 23.127 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; 22.730 ; 23.175 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; 22.689 ; 22.971 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; 22.297 ; 22.840 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; 22.609 ; 22.924 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; 22.763 ; 23.264 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; 22.473 ; 22.760 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++------------------------------------------------------------------------------+
+; Hold Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 0.247 ; 0.169 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 0.732 ; 0.645 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; -1.690 ; -2.186 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; -1.699 ; -2.208 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; -1.630 ; -2.063 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; -1.461 ; -1.892 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; -1.426 ; -1.840 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; -1.615 ; -2.132 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; -1.814 ; -2.352 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; -1.799 ; -2.298 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; -1.667 ; -2.136 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; -1.624 ; -2.029 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; -1.378 ; -1.784 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; -1.358 ; -1.787 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; -1.734 ; -2.209 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; -1.899 ; -2.414 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; -1.831 ; -2.350 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; -1.656 ; -2.137 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; -1.479 ; -1.899 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; -1.439 ; -1.855 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; -1.570 ; -2.035 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; -1.379 ; -1.834 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; -1.601 ; -2.070 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; -1.741 ; -2.183 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; -1.494 ; -1.969 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; -1.455 ; -1.891 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; -1.686 ; -2.148 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; -1.905 ; -2.410 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; -1.741 ; -2.184 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; -1.951 ; -2.477 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; -1.792 ; -2.218 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; -2.115 ; -2.568 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; -1.641 ; -2.080 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; -1.666 ; -2.138 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; -1.847 ; -2.295 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; -1.354 ; -1.820 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; -1.368 ; -1.798 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; -1.455 ; -1.937 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; -1.178 ; -1.619 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; -1.271 ; -1.723 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 0.732 ; 0.645 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; -1.530 ; -2.002 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; -1.609 ; -2.090 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; -1.736 ; -2.190 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; -1.678 ; -2.131 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; -1.192 ; -1.646 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; -1.063 ; -1.508 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; -1.607 ; -2.069 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; -1.309 ; -1.746 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; -1.320 ; -1.772 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; -1.408 ; -1.871 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; -1.246 ; -1.690 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; -1.413 ; -1.843 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; -1.607 ; -2.088 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; -2.082 ; -2.512 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; -1.589 ; -2.055 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; -1.603 ; -2.075 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; -1.368 ; -1.777 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; -1.437 ; -1.887 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 0.292 ; 0.148 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; -1.459 ; -1.894 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; -1.158 ; -1.586 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; -1.632 ; -2.040 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; -1.549 ; -1.991 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; -1.675 ; -2.113 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; -1.432 ; -1.851 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; -1.445 ; -1.861 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; -1.647 ; -2.130 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; -1.701 ; -2.112 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; -1.780 ; -2.213 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; -1.671 ; -2.086 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; -1.590 ; -2.044 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; -1.242 ; -1.680 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; -1.571 ; -1.983 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; -1.292 ; -1.744 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; -1.394 ; -1.864 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; -1.873 ; -2.319 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; -1.865 ; -2.368 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; -1.366 ; -1.837 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; -1.991 ; -2.451 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; -1.331 ; -1.734 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; -1.603 ; -2.070 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; -1.781 ; -2.244 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; -1.065 ; -1.483 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; -1.118 ; -1.540 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; -1.079 ; -1.492 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; -1.300 ; -1.689 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; -0.964 ; -1.422 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; -1.580 ; -2.030 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; -1.333 ; -1.789 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; -1.323 ; -1.737 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; -2.153 ; -2.648 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 7.528 ; 7.681 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 5.667 ; 5.681 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 5.561 ; 5.556 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 5.396 ; 5.422 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 5.815 ; 5.854 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 5.837 ; 5.876 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 6.150 ; 6.186 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 6.104 ; 6.157 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 5.760 ; 5.787 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 6.020 ; 6.049 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 6.134 ; 6.167 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 6.206 ; 6.228 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 6.223 ; 6.220 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 5.812 ; 5.836 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 5.700 ; 5.730 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 5.785 ; 5.806 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 6.135 ; 6.138 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 6.191 ; 6.227 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 7.528 ; 7.681 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 7.251 ; 7.392 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 6.041 ; 6.089 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 6.112 ; 6.143 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 6.044 ; 6.061 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 5.802 ; 5.836 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 5.651 ; 5.695 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 5.801 ; 5.834 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 6.134 ; 6.163 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 6.306 ; 6.370 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 6.238 ; 6.251 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 6.120 ; 6.149 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 6.456 ; 6.491 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 5.291 ; 5.315 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 5.551 ; 5.564 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 5.445 ; 5.439 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 5.291 ; 5.315 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 5.692 ; 5.730 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 5.715 ; 5.752 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 6.014 ; 6.049 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 5.972 ; 6.022 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 5.640 ; 5.665 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 5.889 ; 5.917 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 5.998 ; 6.030 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 6.068 ; 6.089 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 6.080 ; 6.074 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 5.690 ; 5.713 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 5.583 ; 5.611 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 5.664 ; 5.683 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 6.000 ; 6.003 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 6.055 ; 6.089 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 7.385 ; 7.536 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 7.119 ; 7.259 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 5.910 ; 5.956 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 5.977 ; 6.007 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 5.913 ; 5.928 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 5.681 ; 5.712 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 5.536 ; 5.577 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 5.680 ; 5.711 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 5.999 ; 6.026 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 6.164 ; 6.225 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 6.100 ; 6.112 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 5.985 ; 6.012 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 6.309 ; 6.342 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
+----------------------------------------------
+; Slow 1200mV 85C Model Metastability Report ;
+----------------------------------------------
+No synchronizer chains to report.
+
+
++-------------------------------------------------+
+; Slow 1200mV 0C Model Fmax Summary ;
++-----------+-----------------+------------+------+
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
++-----------+-----------------+------------+------+
+; 50.43 MHz ; 50.43 MHz ; clk ; ;
++-----------+-----------------+------------+------+
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+
+
++------------------------------------+
+; Slow 1200mV 0C Model Setup Summary ;
++-------+---------+------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+---------+------------------+
+; clk ; -18.828 ; -1326.336 ;
++-------+---------+------------------+
+
+
++-----------------------------------+
+; Slow 1200mV 0C Model Hold Summary ;
++-------+-------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+-------------------+
+; clk ; 0.466 ; 0.000 ;
++-------+-------+-------------------+
+
+
+-----------------------------------------
+; Slow 1200mV 0C Model Recovery Summary ;
+-----------------------------------------
+No paths to report.
+
+
+----------------------------------------
+; Slow 1200mV 0C Model Removal Summary ;
+----------------------------------------
+No paths to report.
+
+
++--------------------------------------------------+
+; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
++-------+--------+---------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+---------------------------------+
+; clk ; -3.000 ; -287.000 ;
++-------+--------+---------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Setup: 'clk' ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; -18.828 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 20.090 ;
+; -18.810 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 20.072 ;
+; -18.699 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.961 ;
+; -18.666 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.928 ;
+; -18.589 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.851 ;
+; -18.574 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.257 ; 19.826 ;
+; -18.570 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.832 ;
+; -18.565 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.257 ; 19.817 ;
+; -18.530 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.257 ; 19.782 ;
+; -18.529 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.791 ;
+; -18.511 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.773 ;
+; -18.500 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.257 ; 19.752 ;
+; -18.461 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.257 ; 19.713 ;
+; -18.444 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.706 ;
+; -18.443 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.705 ;
+; -18.443 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.267 ; 19.705 ;
+; -18.426 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.688 ;
+; -18.425 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.687 ;
+; -18.425 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.267 ; 19.687 ;
+; -18.400 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.662 ;
+; -18.367 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.629 ;
+; -18.355 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.617 ;
+; -18.315 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.577 ;
+; -18.314 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.576 ;
+; -18.314 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.267 ; 19.576 ;
+; -18.296 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.558 ;
+; -18.290 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.552 ;
+; -18.282 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.544 ;
+; -18.281 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.543 ;
+; -18.281 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.267 ; 19.543 ;
+; -18.275 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.257 ; 19.527 ;
+; -18.272 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.268 ; 19.535 ;
+; -18.271 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.533 ;
+; -18.269 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.268 ; 19.532 ;
+; -18.266 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.257 ; 19.518 ;
+; -18.254 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.268 ; 19.517 ;
+; -18.251 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.267 ; 19.513 ;
+; -18.251 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.268 ; 19.514 ;
+; -18.233 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.267 ; 19.495 ;
+; -18.231 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.257 ; 19.483 ;
+; -18.205 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.467 ;
+; -18.204 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.466 ;
+; -18.204 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.267 ; 19.466 ;
+; -18.201 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.257 ; 19.453 ;
+; -18.190 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.257 ; 19.442 ;
+; -18.189 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.257 ; 19.441 ;
+; -18.189 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.257 ; 19.441 ;
+; -18.186 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.448 ;
+; -18.185 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.447 ;
+; -18.185 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.267 ; 19.447 ;
+; -18.181 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.257 ; 19.433 ;
+; -18.180 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.257 ; 19.432 ;
+; -18.180 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.257 ; 19.432 ;
+; -18.162 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.257 ; 19.414 ;
+; -18.149 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.268 ; 19.412 ;
+; -18.149 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.268 ; 19.412 ;
+; -18.146 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.257 ; 19.398 ;
+; -18.145 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.257 ; 19.397 ;
+; -18.145 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.257 ; 19.397 ;
+; -18.143 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.268 ; 19.406 ;
+; -18.140 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.268 ; 19.403 ;
+; -18.131 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.268 ; 19.394 ;
+; -18.131 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.268 ; 19.394 ;
+; -18.122 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.267 ; 19.384 ;
+; -18.116 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.257 ; 19.368 ;
+; -18.115 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.257 ; 19.367 ;
+; -18.115 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.257 ; 19.367 ;
+; -18.110 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.268 ; 19.373 ;
+; -18.107 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.268 ; 19.370 ;
+; -18.089 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.267 ; 19.351 ;
+; -18.077 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.257 ; 19.329 ;
+; -18.076 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.257 ; 19.328 ;
+; -18.076 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.257 ; 19.328 ;
+; -18.075 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.267 ; 19.337 ;
+; -18.072 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 1.000 ; 0.268 ; 19.335 ;
+; -18.070 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 1.000 ; 0.268 ; 19.333 ;
+; -18.056 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.318 ;
+; -18.054 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 1.000 ; 0.268 ; 19.317 ;
+; -18.052 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 1.000 ; 0.268 ; 19.315 ;
+; -18.033 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.268 ; 19.296 ;
+; -18.030 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.268 ; 19.293 ;
+; -18.020 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.268 ; 19.283 ;
+; -18.020 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.268 ; 19.283 ;
+; -18.018 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.258 ; 19.271 ;
+; -18.015 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.258 ; 19.268 ;
+; -18.014 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.268 ; 19.277 ;
+; -18.012 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.267 ; 19.274 ;
+; -18.011 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.268 ; 19.274 ;
+; -18.009 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.258 ; 19.262 ;
+; -18.006 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.258 ; 19.259 ;
+; -17.997 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.267 ; 19.259 ;
+; -17.997 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.257 ; 19.249 ;
+; -17.993 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.267 ; 19.255 ;
+; -17.988 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.257 ; 19.240 ;
+; -17.987 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.268 ; 19.250 ;
+; -17.987 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.268 ; 19.250 ;
+; -17.974 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.258 ; 19.227 ;
+; -17.971 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.267 ; 19.233 ;
+; -17.971 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.258 ; 19.224 ;
+; -17.970 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.267 ; 19.232 ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Hold: 'clk' ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; 0.466 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[35] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[5] ; clk ; clk ; 0.000 ; 0.055 ; 0.665 ;
+; 0.467 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[57] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[7] ; clk ; clk ; 0.000 ; 0.054 ; 0.665 ;
+; 0.468 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[51] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[1] ; clk ; clk ; 0.000 ; 0.054 ; 0.666 ;
+; 0.469 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[34] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[4] ; clk ; clk ; 0.000 ; 0.054 ; 0.667 ;
+; 0.485 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[78] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[8] ; clk ; clk ; 0.000 ; 0.055 ; 0.684 ;
+; 0.494 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[61] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[1] ; clk ; clk ; 0.000 ; 0.056 ; 0.694 ;
+; 0.506 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[70] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[0] ; clk ; clk ; 0.000 ; 0.056 ; 0.706 ;
+; 0.510 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[63] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[3] ; clk ; clk ; 0.000 ; 0.056 ; 0.710 ;
+; 0.602 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[0] ; clk ; clk ; 0.000 ; 0.054 ; 0.800 ;
+; 0.605 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[52] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[2] ; clk ; clk ; 0.000 ; 0.054 ; 0.803 ;
+; 0.616 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[9] ; clk ; clk ; 0.000 ; 0.056 ; 0.816 ;
+; 0.617 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[64] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[4] ; clk ; clk ; 0.000 ; 0.056 ; 0.817 ;
+; 0.621 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[53] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[3] ; clk ; clk ; 0.000 ; 0.054 ; 0.819 ;
+; 0.631 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[73] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[3] ; clk ; clk ; 0.000 ; 0.056 ; 0.831 ;
+; 0.646 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[75] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[5] ; clk ; clk ; 0.000 ; 0.056 ; 0.846 ;
+; 0.648 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[71] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[1] ; clk ; clk ; 0.000 ; 0.056 ; 0.848 ;
+; 0.649 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[60] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[0] ; clk ; clk ; 0.000 ; 0.056 ; 0.849 ;
+; 0.668 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[67] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[7] ; clk ; clk ; 0.000 ; -0.258 ; 0.554 ;
+; 0.668 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[1] ; clk ; clk ; 0.000 ; 0.056 ; 0.868 ;
+; 0.674 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[6] ; clk ; clk ; 0.000 ; 0.054 ; 0.872 ;
+; 0.674 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[42] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[2] ; clk ; clk ; 0.000 ; 0.056 ; 0.874 ;
+; 0.675 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[33] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[3] ; clk ; clk ; 0.000 ; 0.056 ; 0.875 ;
+; 0.678 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[3] ; clk ; clk ; 0.000 ; 0.054 ; 0.876 ;
+; 0.679 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[2] ; clk ; clk ; 0.000 ; 0.054 ; 0.877 ;
+; 0.689 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[62] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[2] ; clk ; clk ; 0.000 ; 0.056 ; 0.889 ;
+; 0.719 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[76] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[6] ; clk ; clk ; 0.000 ; 0.061 ; 0.924 ;
+; 0.735 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[59] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[9] ; clk ; clk ; 0.000 ; 0.044 ; 0.923 ;
+; 0.778 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[39] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[9] ; clk ; clk ; 0.000 ; 0.056 ; 0.978 ;
+; 0.795 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[48] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[8] ; clk ; clk ; 0.000 ; 0.056 ; 0.995 ;
+; 0.803 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[0] ; clk ; clk ; 0.000 ; 0.054 ; 1.001 ;
+; 0.803 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[43] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[3] ; clk ; clk ; 0.000 ; 0.056 ; 1.003 ;
+; 0.803 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[47] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[7] ; clk ; clk ; 0.000 ; 0.056 ; 1.003 ;
+; 0.804 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[1] ; clk ; clk ; 0.000 ; 0.054 ; 1.002 ;
+; 0.805 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[2] ; clk ; clk ; 0.000 ; 0.054 ; 1.003 ;
+; 0.809 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[4] ; clk ; clk ; 0.000 ; 0.054 ; 1.007 ;
+; 0.809 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[37] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[7] ; clk ; clk ; 0.000 ; 0.055 ; 1.008 ;
+; 0.810 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[4] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[4] ; clk ; clk ; 0.000 ; 0.054 ; 1.008 ;
+; 0.811 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[40] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[0] ; clk ; clk ; 0.000 ; 0.056 ; 1.011 ;
+; 0.813 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[68] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[8] ; clk ; clk ; 0.000 ; 0.056 ; 1.013 ;
+; 0.822 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[72] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[2] ; clk ; clk ; 0.000 ; 0.056 ; 1.022 ;
+; 0.822 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[0] ; clk ; clk ; 0.000 ; 0.054 ; 1.020 ;
+; 0.826 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[8] ; clk ; clk ; 0.000 ; 0.054 ; 1.024 ;
+; 0.832 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[41] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[1] ; clk ; clk ; 0.000 ; 0.056 ; 1.032 ;
+; 0.840 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[56] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[6] ; clk ; clk ; 0.000 ; 0.044 ; 1.028 ;
+; 0.841 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[2] ; clk ; clk ; 0.000 ; 0.056 ; 1.041 ;
+; 0.844 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[6] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[6] ; clk ; clk ; 0.000 ; 0.054 ; 1.042 ;
+; 0.860 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[66] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[6] ; clk ; clk ; 0.000 ; 0.058 ; 1.062 ;
+; 0.877 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[50] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[0] ; clk ; clk ; 0.000 ; 0.044 ; 1.065 ;
+; 0.881 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[46] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[6] ; clk ; clk ; 0.000 ; 0.056 ; 1.081 ;
+; 0.908 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[3] ; clk ; clk ; 0.000 ; 0.078 ; 1.130 ;
+; 0.918 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[1] ; clk ; clk ; 0.000 ; 0.078 ; 1.140 ;
+; 0.976 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[74] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[4] ; clk ; clk ; 0.000 ; 0.063 ; 1.183 ;
+; 1.000 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[77] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[7] ; clk ; clk ; 0.000 ; 0.056 ; 1.200 ;
+; 1.027 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[8] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[8] ; clk ; clk ; 0.000 ; 0.053 ; 1.224 ;
+; 1.028 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[7] ; clk ; clk ; 0.000 ; 0.054 ; 1.226 ;
+; 1.037 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[8] ; clk ; clk ; 0.000 ; 0.078 ; 1.259 ;
+; 1.041 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[55] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[5] ; clk ; clk ; 0.000 ; 0.044 ; 1.229 ;
+; 1.045 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[4] ; clk ; clk ; 0.000 ; 0.078 ; 1.267 ;
+; 1.048 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[1] ; clk ; clk ; 0.000 ; 0.053 ; 1.245 ;
+; 1.055 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[54] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[4] ; clk ; clk ; 0.000 ; 0.044 ; 1.243 ;
+; 1.058 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[5] ; clk ; clk ; 0.000 ; 0.078 ; 1.280 ;
+; 1.058 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[7] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[7] ; clk ; clk ; 0.000 ; 0.053 ; 1.255 ;
+; 1.061 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[65] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[5] ; clk ; clk ; 0.000 ; 0.056 ; 1.261 ;
+; 1.102 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[84] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[4] ; clk ; clk ; 0.000 ; -0.257 ; 0.989 ;
+; 1.120 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[86] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[6] ; clk ; clk ; 0.000 ; -0.257 ; 1.007 ;
+; 1.122 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[80] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[0] ; clk ; clk ; 0.000 ; -0.257 ; 1.009 ;
+; 1.146 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[3] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[3] ; clk ; clk ; 0.000 ; 0.053 ; 1.343 ;
+; 1.148 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[88] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[8] ; clk ; clk ; 0.000 ; -0.257 ; 1.035 ;
+; 1.154 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[5] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[5] ; clk ; clk ; 0.000 ; 0.053 ; 1.351 ;
+; 1.165 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[83] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[3] ; clk ; clk ; 0.000 ; -0.257 ; 1.052 ;
+; 1.255 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[2] ; clk ; clk ; 0.000 ; 0.078 ; 1.477 ;
+; 1.261 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[7] ; clk ; clk ; 0.000 ; 0.078 ; 1.483 ;
+; 1.269 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[6] ; clk ; clk ; 0.000 ; 0.078 ; 1.491 ;
+; 1.274 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[0] ; clk ; clk ; 0.000 ; 0.078 ; 1.496 ;
+; 1.278 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[9] ; clk ; clk ; 0.000 ; 0.078 ; 1.500 ;
+; 1.280 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[85] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[5] ; clk ; clk ; 0.000 ; -0.257 ; 1.167 ;
+; 1.313 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[36] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[6] ; clk ; clk ; 0.000 ; 0.056 ; 1.513 ;
+; 1.335 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[38] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[8] ; clk ; clk ; 0.000 ; -0.265 ; 1.214 ;
+; 1.339 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[44] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[4] ; clk ; clk ; 0.000 ; -0.272 ; 1.211 ;
+; 1.364 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[45] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[5] ; clk ; clk ; 0.000 ; 0.045 ; 1.553 ;
+; 1.418 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[58] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[8] ; clk ; clk ; 0.000 ; 0.044 ; 1.606 ;
+; 1.424 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[49] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[9] ; clk ; clk ; 0.000 ; 0.056 ; 1.624 ;
+; 1.468 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[9] ; clk ; clk ; 0.000 ; 0.054 ; 1.666 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[16] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[27] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[28] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.479 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[29] ; clk ; clk ; 0.000 ; 0.393 ; 2.016 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[5] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
+; 1.484 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[25] ; clk ; clk ; 0.000 ; 0.393 ; 2.021 ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow 1200mV 0C Model Minimum Pulse Width: 'clk' ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+; -3.000 ; 1.000 ; 4.000 ; Port Rate ; clk ; Rise ; clk ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[13] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|main_stage_0_2 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[15] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+
+
++------------------------------------------------------------------------------+
+; Setup Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 2.535 ; 2.531 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 22.014 ; 22.575 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; 21.092 ; 21.488 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; 20.880 ; 21.107 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; 20.824 ; 21.195 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; 20.832 ; 21.009 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; 20.684 ; 21.047 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; 21.042 ; 21.318 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; 20.201 ; 20.719 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; 20.474 ; 20.773 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; 20.268 ; 20.756 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; 20.119 ; 20.301 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; 20.922 ; 21.388 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; 20.935 ; 21.240 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; 21.026 ; 21.539 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; 21.034 ; 21.253 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; 20.754 ; 21.321 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; 20.837 ; 21.083 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; 20.166 ; 20.558 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; 20.192 ; 20.420 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; 20.189 ; 20.621 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; 20.319 ; 20.555 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; 21.077 ; 21.567 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; 21.221 ; 21.516 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; 20.724 ; 21.230 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; 21.050 ; 21.222 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; 20.560 ; 21.090 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; 20.767 ; 20.996 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; 20.255 ; 20.614 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; 20.151 ; 20.411 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; 20.334 ; 20.759 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; 20.184 ; 20.399 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; 22.014 ; 22.575 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; 21.774 ; 22.143 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; 21.807 ; 22.386 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; 21.113 ; 21.442 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; 20.947 ; 21.392 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; 20.699 ; 21.020 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; 20.427 ; 20.902 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; 20.415 ; 20.686 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 18.793 ; 19.101 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; 20.297 ; 20.557 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; 21.871 ; 22.443 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; 21.834 ; 22.207 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; 21.651 ; 22.187 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; 21.078 ; 21.431 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; 20.635 ; 21.118 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; 20.854 ; 21.137 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; 20.422 ; 20.892 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; 20.428 ; 20.714 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; 20.333 ; 20.911 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; 20.153 ; 20.426 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; 21.817 ; 22.366 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; 21.851 ; 22.225 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; 21.717 ; 22.285 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; 21.481 ; 21.814 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; 21.127 ; 21.693 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; 21.070 ; 21.400 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; 20.877 ; 21.418 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 19.370 ; 19.506 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; 20.789 ; 21.324 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; 20.325 ; 20.752 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; 20.907 ; 21.131 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; 20.781 ; 21.306 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; 20.805 ; 21.101 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; 20.518 ; 21.008 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; 20.605 ; 20.945 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; 20.760 ; 21.340 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; 20.435 ; 20.729 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; 20.380 ; 20.834 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; 20.010 ; 20.413 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; 20.182 ; 20.391 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; 21.021 ; 21.276 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; 20.677 ; 21.178 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; 20.508 ; 20.880 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; 20.706 ; 21.223 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; 20.804 ; 21.181 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; 20.783 ; 21.365 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; 20.202 ; 20.560 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; 20.264 ; 20.858 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; 19.847 ; 20.219 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; 20.044 ; 20.617 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; 20.699 ; 21.116 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; 20.393 ; 20.617 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; 20.285 ; 20.698 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; 20.349 ; 20.514 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; 20.197 ; 20.547 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; 20.147 ; 20.369 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; 19.799 ; 20.231 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; 20.095 ; 20.311 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; 20.246 ; 20.607 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; 19.963 ; 20.188 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++------------------------------------------------------------------------------+
+; Hold Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 0.214 ; 0.080 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 0.648 ; 0.524 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; -1.446 ; -1.870 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; -1.464 ; -1.889 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; -1.392 ; -1.736 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; -1.242 ; -1.597 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; -1.209 ; -1.553 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; -1.384 ; -1.819 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; -1.564 ; -2.011 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; -1.552 ; -1.962 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; -1.434 ; -1.823 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; -1.389 ; -1.714 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; -1.163 ; -1.497 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; -1.143 ; -1.495 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; -1.500 ; -1.874 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; -1.659 ; -2.058 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; -1.577 ; -2.028 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; -1.427 ; -1.822 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; -1.259 ; -1.599 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; -1.220 ; -1.562 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; -1.336 ; -1.726 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; -1.157 ; -1.539 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; -1.372 ; -1.756 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; -1.506 ; -1.868 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; -1.273 ; -1.665 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; -1.239 ; -1.606 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; -1.449 ; -1.823 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; -1.655 ; -2.053 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; -1.496 ; -1.866 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; -1.698 ; -2.115 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; -1.544 ; -1.904 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; -1.842 ; -2.190 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; -1.408 ; -1.758 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; -1.432 ; -1.818 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; -1.588 ; -1.989 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; -1.145 ; -1.528 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; -1.158 ; -1.502 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; -1.238 ; -1.655 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; -0.982 ; -1.346 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; -1.060 ; -1.437 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 0.648 ; 0.524 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; -1.308 ; -1.691 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; -1.372 ; -1.772 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; -1.497 ; -1.880 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; -1.443 ; -1.801 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; -0.993 ; -1.365 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; -0.885 ; -1.247 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; -1.375 ; -1.755 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; -1.091 ; -1.462 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; -1.114 ; -1.484 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; -1.191 ; -1.589 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; -1.037 ; -1.418 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; -1.193 ; -1.543 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; -1.373 ; -1.760 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; -1.814 ; -2.157 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; -1.355 ; -1.756 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; -1.362 ; -1.749 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; -1.152 ; -1.487 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; -1.224 ; -1.583 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 0.222 ; 0.065 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; -1.235 ; -1.594 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; -0.949 ; -1.323 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; -1.390 ; -1.720 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; -1.321 ; -1.677 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; -1.433 ; -1.787 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; -1.216 ; -1.554 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; -1.227 ; -1.580 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; -1.420 ; -1.799 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; -1.455 ; -1.804 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; -1.543 ; -1.872 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; -1.434 ; -1.783 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; -1.349 ; -1.719 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; -1.033 ; -1.400 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; -1.348 ; -1.659 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; -1.080 ; -1.462 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; -1.176 ; -1.574 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; -1.622 ; -1.971 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; -1.606 ; -2.008 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; -1.149 ; -1.546 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; -1.729 ; -2.098 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; -1.120 ; -1.451 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; -1.367 ; -1.745 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; -1.538 ; -1.901 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; -0.889 ; -1.232 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; -0.930 ; -1.279 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; -0.901 ; -1.235 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; -1.099 ; -1.413 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; -0.796 ; -1.176 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; -1.354 ; -1.730 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; -1.131 ; -1.502 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; -1.125 ; -1.451 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; -1.875 ; -2.253 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 7.193 ; 7.299 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 5.393 ; 5.389 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 5.289 ; 5.254 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 5.149 ; 5.151 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 5.538 ; 5.522 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 5.563 ; 5.544 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 5.841 ; 5.825 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 5.796 ; 5.816 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 5.478 ; 5.482 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 5.713 ; 5.712 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 5.822 ; 5.807 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 5.898 ; 5.866 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 5.890 ; 5.857 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 5.537 ; 5.513 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 5.425 ; 5.421 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 5.512 ; 5.492 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 5.836 ; 5.807 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 5.879 ; 5.862 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 7.193 ; 7.299 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 6.945 ; 7.054 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 5.733 ; 5.738 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 5.804 ; 5.792 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 5.735 ; 5.723 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 5.524 ; 5.502 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 5.384 ; 5.393 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 5.523 ; 5.512 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 5.821 ; 5.820 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 5.992 ; 5.992 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 5.930 ; 5.903 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 5.809 ; 5.797 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 6.133 ; 6.104 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 5.055 ; 5.057 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 5.290 ; 5.285 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 5.186 ; 5.151 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 5.055 ; 5.057 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 5.429 ; 5.413 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 5.453 ; 5.434 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 5.720 ; 5.704 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 5.678 ; 5.696 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 5.372 ; 5.374 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 5.596 ; 5.594 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 5.701 ; 5.686 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 5.774 ; 5.743 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 5.761 ; 5.727 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 5.428 ; 5.404 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 5.320 ; 5.315 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 5.403 ; 5.384 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 5.714 ; 5.686 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 5.756 ; 5.740 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 7.065 ; 7.171 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 6.827 ; 6.935 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 5.616 ; 5.621 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 5.683 ; 5.671 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 5.618 ; 5.605 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 5.415 ; 5.394 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 5.281 ; 5.289 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 5.415 ; 5.404 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 5.700 ; 5.699 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 5.865 ; 5.865 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 5.805 ; 5.778 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 5.688 ; 5.676 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 6.000 ; 5.972 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
+---------------------------------------------
+; Slow 1200mV 0C Model Metastability Report ;
+---------------------------------------------
+No synchronizer chains to report.
+
+
++------------------------------------+
+; Fast 1200mV 0C Model Setup Summary ;
++-------+---------+------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+---------+------------------+
+; clk ; -11.400 ; -781.716 ;
++-------+---------+------------------+
+
+
++-----------------------------------+
+; Fast 1200mV 0C Model Hold Summary ;
++-------+-------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+-------------------+
+; clk ; 0.268 ; 0.000 ;
++-------+-------+-------------------+
+
+
+-----------------------------------------
+; Fast 1200mV 0C Model Recovery Summary ;
+-----------------------------------------
+No paths to report.
+
+
+----------------------------------------
+; Fast 1200mV 0C Model Removal Summary ;
+----------------------------------------
+No paths to report.
+
+
++--------------------------------------------------+
+; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
++-------+--------+---------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+---------------------------------+
+; clk ; -3.000 ; -303.956 ;
++-------+--------+---------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fast 1200mV 0C Model Setup: 'clk' ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; -11.400 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.540 ;
+; -11.356 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.496 ;
+; -11.306 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.446 ;
+; -11.275 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.415 ;
+; -11.234 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.374 ;
+; -11.227 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.148 ; 12.362 ;
+; -11.222 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.148 ; 12.357 ;
+; -11.204 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.344 ;
+; -11.204 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.148 ; 12.339 ;
+; -11.198 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.338 ;
+; -11.160 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.300 ;
+; -11.158 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 12.298 ;
+; -11.151 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.148 ; 12.286 ;
+; -11.147 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.148 ; 12.282 ;
+; -11.133 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.153 ; 12.273 ;
+; -11.133 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.153 ; 12.273 ;
+; -11.114 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 12.254 ;
+; -11.110 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.250 ;
+; -11.093 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.233 ;
+; -11.089 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.153 ; 12.229 ;
+; -11.089 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.153 ; 12.229 ;
+; -11.079 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.219 ;
+; -11.064 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 12.204 ;
+; -11.051 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.154 ; 12.192 ;
+; -11.050 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.154 ; 12.191 ;
+; -11.048 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 12.188 ;
+; -11.039 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.153 ; 12.179 ;
+; -11.039 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.153 ; 12.179 ;
+; -11.038 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.178 ;
+; -11.033 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 12.173 ;
+; -11.031 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.153 ; 12.171 ;
+; -11.031 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.148 ; 12.166 ;
+; -11.026 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.148 ; 12.161 ;
+; -11.008 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.153 ; 12.148 ;
+; -11.008 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.153 ; 12.148 ;
+; -11.008 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.148 ; 12.143 ;
+; -11.007 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.154 ; 12.148 ;
+; -11.006 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.154 ; 12.147 ;
+; -11.002 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.142 ;
+; -10.992 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 12.132 ;
+; -10.987 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.153 ; 12.127 ;
+; -10.985 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.148 ; 12.120 ;
+; -10.980 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.148 ; 12.115 ;
+; -10.967 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.153 ; 12.107 ;
+; -10.967 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.153 ; 12.107 ;
+; -10.965 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.154 ; 12.106 ;
+; -10.964 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.154 ; 12.105 ;
+; -10.962 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.148 ; 12.097 ;
+; -10.960 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.148 ; 12.095 ;
+; -10.960 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.148 ; 12.095 ;
+; -10.957 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.154 ; 12.098 ;
+; -10.956 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 12.096 ;
+; -10.956 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.154 ; 12.097 ;
+; -10.955 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.148 ; 12.090 ;
+; -10.955 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.148 ; 12.090 ;
+; -10.955 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.148 ; 12.090 ;
+; -10.951 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.148 ; 12.086 ;
+; -10.937 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.153 ; 12.077 ;
+; -10.937 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.148 ; 12.072 ;
+; -10.937 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.148 ; 12.072 ;
+; -10.931 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.153 ; 12.071 ;
+; -10.931 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.153 ; 12.071 ;
+; -10.926 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.154 ; 12.067 ;
+; -10.925 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.154 ; 12.066 ;
+; -10.921 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.154 ; 12.062 ;
+; -10.920 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.154 ; 12.061 ;
+; -10.909 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 1.000 ; 0.154 ; 12.050 ;
+; -10.909 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.148 ; 12.044 ;
+; -10.906 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.153 ; 12.046 ;
+; -10.905 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 1.000 ; 0.154 ; 12.046 ;
+; -10.905 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.148 ; 12.040 ;
+; -10.897 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 12.037 ;
+; -10.885 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.154 ; 12.026 ;
+; -10.884 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.148 ; 12.019 ;
+; -10.884 ; sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.148 ; 12.019 ;
+; -10.884 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.154 ; 12.025 ;
+; -10.880 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 1.000 ; 0.148 ; 12.015 ;
+; -10.880 ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 1.000 ; 0.148 ; 12.015 ;
+; -10.878 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.149 ; 12.014 ;
+; -10.877 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.149 ; 12.013 ;
+; -10.873 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.149 ; 12.009 ;
+; -10.872 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.149 ; 12.008 ;
+; -10.871 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.154 ; 12.012 ;
+; -10.870 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.154 ; 12.011 ;
+; -10.865 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.153 ; 12.005 ;
+; -10.865 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 1.000 ; 0.154 ; 12.006 ;
+; -10.861 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 1.000 ; 0.154 ; 12.002 ;
+; -10.858 ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.148 ; 11.993 ;
+; -10.855 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.149 ; 11.991 ;
+; -10.854 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.149 ; 11.990 ;
+; -10.853 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.148 ; 11.988 ;
+; -10.852 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 1.000 ; 0.153 ; 11.992 ;
+; -10.852 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 1.000 ; 0.153 ; 11.992 ;
+; -10.851 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 1.000 ; 0.153 ; 11.991 ;
+; -10.849 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[19] ; clk ; clk ; 1.000 ; 0.154 ; 11.990 ;
+; -10.848 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 1.000 ; 0.154 ; 11.989 ;
+; -10.840 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26] ; clk ; clk ; 1.000 ; 0.154 ; 11.981 ;
+; -10.839 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 1.000 ; 0.154 ; 11.980 ;
+; -10.835 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.148 ; 11.970 ;
+; -10.829 ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 1.000 ; 0.153 ; 11.969 ;
++---------+---------------------------------------------------------------+----------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fast 1200mV 0C Model Hold: 'clk' ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+; 0.268 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[57] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[7] ; clk ; clk ; 0.000 ; 0.035 ; 0.387 ;
+; 0.269 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[35] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[5] ; clk ; clk ; 0.000 ; 0.035 ; 0.388 ;
+; 0.270 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[51] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[1] ; clk ; clk ; 0.000 ; 0.035 ; 0.389 ;
+; 0.271 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[34] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[4] ; clk ; clk ; 0.000 ; 0.035 ; 0.390 ;
+; 0.275 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[61] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[1] ; clk ; clk ; 0.000 ; 0.037 ; 0.396 ;
+; 0.281 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[70] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[0] ; clk ; clk ; 0.000 ; 0.037 ; 0.402 ;
+; 0.281 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[63] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[3] ; clk ; clk ; 0.000 ; 0.037 ; 0.402 ;
+; 0.282 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[78] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[8] ; clk ; clk ; 0.000 ; 0.035 ; 0.401 ;
+; 0.338 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[0] ; clk ; clk ; 0.000 ; 0.035 ; 0.457 ;
+; 0.342 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[52] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[2] ; clk ; clk ; 0.000 ; 0.035 ; 0.461 ;
+; 0.345 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[64] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[4] ; clk ; clk ; 0.000 ; 0.037 ; 0.466 ;
+; 0.345 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[9] ; clk ; clk ; 0.000 ; 0.037 ; 0.466 ;
+; 0.348 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[53] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[3] ; clk ; clk ; 0.000 ; 0.035 ; 0.467 ;
+; 0.349 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[73] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[3] ; clk ; clk ; 0.000 ; 0.037 ; 0.470 ;
+; 0.358 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[75] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[5] ; clk ; clk ; 0.000 ; 0.037 ; 0.479 ;
+; 0.360 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[60] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[0] ; clk ; clk ; 0.000 ; 0.037 ; 0.481 ;
+; 0.361 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[71] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[1] ; clk ; clk ; 0.000 ; 0.037 ; 0.482 ;
+; 0.379 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[2] ; clk ; clk ; 0.000 ; 0.035 ; 0.498 ;
+; 0.379 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[33] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[3] ; clk ; clk ; 0.000 ; 0.036 ; 0.499 ;
+; 0.381 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[1] ; clk ; clk ; 0.000 ; 0.036 ; 0.501 ;
+; 0.381 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[42] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[2] ; clk ; clk ; 0.000 ; 0.036 ; 0.501 ;
+; 0.382 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[3] ; clk ; clk ; 0.000 ; 0.035 ; 0.501 ;
+; 0.383 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[6] ; clk ; clk ; 0.000 ; 0.035 ; 0.502 ;
+; 0.384 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[62] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[2] ; clk ; clk ; 0.000 ; 0.037 ; 0.505 ;
+; 0.393 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[67] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[7] ; clk ; clk ; 0.000 ; -0.153 ; 0.324 ;
+; 0.407 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[76] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[6] ; clk ; clk ; 0.000 ; 0.042 ; 0.533 ;
+; 0.425 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[59] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[9] ; clk ; clk ; 0.000 ; 0.031 ; 0.540 ;
+; 0.442 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[39] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[9] ; clk ; clk ; 0.000 ; 0.036 ; 0.562 ;
+; 0.450 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[37] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[7] ; clk ; clk ; 0.000 ; 0.035 ; 0.569 ;
+; 0.451 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[48] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[8] ; clk ; clk ; 0.000 ; 0.036 ; 0.571 ;
+; 0.453 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[0] ; clk ; clk ; 0.000 ; 0.035 ; 0.572 ;
+; 0.453 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[43] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[3] ; clk ; clk ; 0.000 ; 0.036 ; 0.573 ;
+; 0.454 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[68] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[8] ; clk ; clk ; 0.000 ; 0.037 ; 0.575 ;
+; 0.454 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[4] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[4] ; clk ; clk ; 0.000 ; 0.035 ; 0.573 ;
+; 0.456 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[1] ; clk ; clk ; 0.000 ; 0.035 ; 0.575 ;
+; 0.458 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[72] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[2] ; clk ; clk ; 0.000 ; 0.037 ; 0.579 ;
+; 0.458 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[2] ; clk ; clk ; 0.000 ; 0.035 ; 0.577 ;
+; 0.458 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[47] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[7] ; clk ; clk ; 0.000 ; 0.036 ; 0.578 ;
+; 0.459 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[4] ; clk ; clk ; 0.000 ; 0.035 ; 0.578 ;
+; 0.461 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[40] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[0] ; clk ; clk ; 0.000 ; 0.036 ; 0.581 ;
+; 0.465 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[8] ; clk ; clk ; 0.000 ; 0.035 ; 0.584 ;
+; 0.468 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[0] ; clk ; clk ; 0.000 ; 0.035 ; 0.587 ;
+; 0.474 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[41] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[1] ; clk ; clk ; 0.000 ; 0.036 ; 0.594 ;
+; 0.475 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[2] ; clk ; clk ; 0.000 ; 0.036 ; 0.595 ;
+; 0.478 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[6] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[6] ; clk ; clk ; 0.000 ; 0.035 ; 0.597 ;
+; 0.485 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[56] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[6] ; clk ; clk ; 0.000 ; 0.031 ; 0.600 ;
+; 0.492 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[66] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[6] ; clk ; clk ; 0.000 ; 0.039 ; 0.615 ;
+; 0.500 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[50] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[0] ; clk ; clk ; 0.000 ; 0.031 ; 0.615 ;
+; 0.502 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[46] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[6] ; clk ; clk ; 0.000 ; 0.036 ; 0.622 ;
+; 0.527 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[3] ; clk ; clk ; 0.000 ; 0.051 ; 0.662 ;
+; 0.532 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[1] ; clk ; clk ; 0.000 ; 0.051 ; 0.667 ;
+; 0.561 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[74] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[4] ; clk ; clk ; 0.000 ; 0.044 ; 0.689 ;
+; 0.568 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[77] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[7] ; clk ; clk ; 0.000 ; 0.037 ; 0.689 ;
+; 0.579 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[7] ; clk ; clk ; 0.000 ; 0.035 ; 0.698 ;
+; 0.596 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[8] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[8] ; clk ; clk ; 0.000 ; 0.034 ; 0.714 ;
+; 0.597 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[65] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[5] ; clk ; clk ; 0.000 ; 0.037 ; 0.718 ;
+; 0.597 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[54] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[4] ; clk ; clk ; 0.000 ; 0.031 ; 0.712 ;
+; 0.599 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[8] ; clk ; clk ; 0.000 ; 0.051 ; 0.734 ;
+; 0.602 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[55] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[5] ; clk ; clk ; 0.000 ; 0.031 ; 0.717 ;
+; 0.606 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[4] ; clk ; clk ; 0.000 ; 0.051 ; 0.741 ;
+; 0.615 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[5] ; clk ; clk ; 0.000 ; 0.051 ; 0.750 ;
+; 0.616 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[1] ; clk ; clk ; 0.000 ; 0.034 ; 0.734 ;
+; 0.620 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[7] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[7] ; clk ; clk ; 0.000 ; 0.034 ; 0.738 ;
+; 0.633 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[84] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[4] ; clk ; clk ; 0.000 ; -0.152 ; 0.565 ;
+; 0.643 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[80] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[0] ; clk ; clk ; 0.000 ; -0.152 ; 0.575 ;
+; 0.645 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[86] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[6] ; clk ; clk ; 0.000 ; -0.152 ; 0.577 ;
+; 0.657 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[88] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[8] ; clk ; clk ; 0.000 ; -0.152 ; 0.589 ;
+; 0.667 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[83] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[3] ; clk ; clk ; 0.000 ; -0.152 ; 0.599 ;
+; 0.675 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[5] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[5] ; clk ; clk ; 0.000 ; 0.034 ; 0.793 ;
+; 0.689 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[3] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[3] ; clk ; clk ; 0.000 ; 0.034 ; 0.807 ;
+; 0.714 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[2] ; clk ; clk ; 0.000 ; 0.051 ; 0.849 ;
+; 0.724 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[7] ; clk ; clk ; 0.000 ; 0.051 ; 0.859 ;
+; 0.731 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[0] ; clk ; clk ; 0.000 ; 0.051 ; 0.866 ;
+; 0.732 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[6] ; clk ; clk ; 0.000 ; 0.051 ; 0.867 ;
+; 0.737 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[85] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[5] ; clk ; clk ; 0.000 ; -0.152 ; 0.669 ;
+; 0.741 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[9] ; clk ; clk ; 0.000 ; 0.051 ; 0.876 ;
+; 0.766 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[36] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[6] ; clk ; clk ; 0.000 ; 0.036 ; 0.886 ;
+; 0.779 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[44] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[4] ; clk ; clk ; 0.000 ; -0.158 ; 0.705 ;
+; 0.785 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[45] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[5] ; clk ; clk ; 0.000 ; 0.031 ; 0.900 ;
+; 0.792 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[38] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[8] ; clk ; clk ; 0.000 ; -0.155 ; 0.721 ;
+; 0.829 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[49] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[9] ; clk ; clk ; 0.000 ; 0.036 ; 0.949 ;
+; 0.830 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[58] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[8] ; clk ; clk ; 0.000 ; 0.031 ; 0.945 ;
+; 0.862 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[9] ; clk ; clk ; 0.000 ; 0.035 ; 0.981 ;
+; 0.865 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[9] ; clk ; clk ; 0.000 ; 0.035 ; 0.984 ;
+; 0.878 ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[69] ; sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[9] ; clk ; clk ; 0.000 ; 0.037 ; 0.999 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[8] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[10] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[11] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[16] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[18] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[20] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[21] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[27] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[28] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.881 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[29] ; clk ; clk ; 0.000 ; 0.236 ; 1.201 ;
+; 0.884 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[5] ; clk ; clk ; 0.000 ; 0.236 ; 1.204 ;
+; 0.884 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[6] ; clk ; clk ; 0.000 ; 0.236 ; 1.204 ;
+; 0.884 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[7] ; clk ; clk ; 0.000 ; 0.236 ; 1.204 ;
+; 0.884 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[9] ; clk ; clk ; 0.000 ; 0.236 ; 1.204 ;
+; 0.884 ; sobel_core:sobel_core_inst|main_stage_0_2 ; sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[17] ; clk ; clk ; 0.000 ; 0.236 ; 1.204 ;
++-------+--------------------------------------------------------+----------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------+
+; Fast 1200mV 0C Model Minimum Pulse Width: 'clk' ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+; -3.000 ; 1.000 ; 4.000 ; Port Rate ; clk ; Rise ; clk ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[13] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[5] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[6] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[7] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[8] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[9] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[3] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[4] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_mul_57_itm_2[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|main_stage_0_2 ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[15] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31] ;
+; -1.000 ; 1.000 ; 2.000 ; Min Period ; clk ; Rise ; sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32] ;
++--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------+
+
+
++------------------------------------------------------------------------------+
+; Setup Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 1.475 ; 1.850 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 13.931 ; 14.683 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; 13.539 ; 14.210 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; 13.427 ; 13.960 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; 13.370 ; 14.011 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; 13.373 ; 13.867 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; 13.274 ; 13.898 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; 13.545 ; 14.124 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; 13.007 ; 13.759 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; 13.183 ; 13.798 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; 13.032 ; 13.763 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; 12.892 ; 13.389 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; 13.443 ; 14.140 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; 13.471 ; 14.038 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; 13.539 ; 14.277 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; 13.519 ; 14.064 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; 13.359 ; 14.129 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; 13.413 ; 13.983 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; 12.938 ; 13.633 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; 12.960 ; 13.531 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; 12.953 ; 13.689 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; 13.064 ; 13.613 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; 13.550 ; 14.273 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; 13.636 ; 14.233 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; 13.327 ; 14.042 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; 13.528 ; 14.035 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; 13.220 ; 13.941 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; 13.352 ; 13.895 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; 12.976 ; 13.671 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; 12.976 ; 13.555 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; 13.037 ; 13.771 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; 12.958 ; 13.481 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; 13.931 ; 14.683 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; 13.767 ; 14.415 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; 13.793 ; 14.560 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; 13.312 ; 13.948 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; 13.235 ; 13.841 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; 13.079 ; 13.647 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; 12.893 ; 13.558 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; 12.865 ; 13.396 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 11.825 ; 12.179 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; 12.899 ; 13.419 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; 13.832 ; 14.595 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; 13.828 ; 14.437 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; 13.708 ; 14.429 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; 13.310 ; 13.934 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; 13.070 ; 13.675 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; 13.152 ; 13.717 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; 12.884 ; 13.541 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; 12.897 ; 13.424 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; 12.847 ; 13.578 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; 12.835 ; 13.355 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; 13.824 ; 14.572 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; 13.844 ; 14.449 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; 13.741 ; 14.482 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; 13.537 ; 14.202 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; 13.357 ; 14.111 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; 13.300 ; 13.893 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; 13.185 ; 13.909 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 12.238 ; 12.497 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; 13.137 ; 13.846 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; 12.880 ; 13.481 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; 13.436 ; 13.966 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; 13.356 ; 14.039 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; 13.369 ; 13.922 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; 13.163 ; 13.842 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; 13.230 ; 13.785 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; 13.282 ; 14.072 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; 12.994 ; 13.636 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; 12.923 ; 13.557 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; 12.704 ; 13.407 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; 12.805 ; 13.347 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; 13.517 ; 14.083 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; 13.265 ; 13.940 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; 13.196 ; 13.732 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; 13.324 ; 14.016 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; 13.347 ; 13.907 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; 13.268 ; 14.073 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; 12.895 ; 13.473 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; 12.821 ; 13.593 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; 12.584 ; 13.272 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; 12.731 ; 13.484 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; 13.138 ; 13.880 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; 12.939 ; 13.529 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; 12.875 ; 13.598 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; 12.903 ; 13.403 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; 12.801 ; 13.427 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; 12.802 ; 13.313 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; 12.589 ; 13.300 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; 12.740 ; 13.320 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; 12.831 ; 13.535 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; 12.678 ; 13.217 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++------------------------------------------------------------------------------+
+; Hold Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 0.126 ; -0.161 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 0.378 ; 0.119 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; -0.952 ; -1.594 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; -0.969 ; -1.607 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; -0.887 ; -1.514 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; -0.790 ; -1.391 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; -0.767 ; -1.361 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; -0.941 ; -1.595 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; -1.028 ; -1.716 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; -1.012 ; -1.674 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; -0.947 ; -1.574 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; -0.853 ; -1.458 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; -0.756 ; -1.357 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; -0.750 ; -1.347 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; -0.974 ; -1.628 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; -1.063 ; -1.737 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; -1.048 ; -1.718 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; -0.930 ; -1.576 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; -0.806 ; -1.401 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; -0.772 ; -1.358 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; -0.850 ; -1.490 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; -0.761 ; -1.369 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; -0.877 ; -1.496 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; -0.943 ; -1.570 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; -0.840 ; -1.467 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; -0.809 ; -1.399 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; -0.927 ; -1.554 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; -1.058 ; -1.706 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; -0.955 ; -1.567 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; -1.123 ; -1.781 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; -0.991 ; -1.622 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; -1.140 ; -1.809 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; -0.898 ; -1.498 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; -0.916 ; -1.569 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; -1.024 ; -1.662 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; -0.748 ; -1.364 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; -0.748 ; -1.351 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; -0.820 ; -1.451 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; -0.658 ; -1.259 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; -0.679 ; -1.278 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 0.378 ; 0.119 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; -0.836 ; -1.445 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; -0.874 ; -1.515 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; -0.976 ; -1.590 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; -0.944 ; -1.540 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; -0.677 ; -1.275 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; -0.581 ; -1.219 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; -0.901 ; -1.526 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; -0.714 ; -1.299 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; -0.728 ; -1.328 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; -0.804 ; -1.411 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; -0.702 ; -1.309 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; -0.789 ; -1.406 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; -0.888 ; -1.506 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; -1.134 ; -1.775 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; -0.884 ; -1.499 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; -0.884 ; -1.508 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; -0.737 ; -1.340 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; -0.781 ; -1.380 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 0.140 ; -0.147 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; -0.803 ; -1.387 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; -0.627 ; -1.234 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; -0.881 ; -1.494 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; -0.857 ; -1.512 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; -0.914 ; -1.556 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; -0.775 ; -1.368 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; -0.803 ; -1.386 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; -0.934 ; -1.564 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; -0.947 ; -1.590 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; -0.954 ; -1.597 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; -0.916 ; -1.515 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; -0.861 ; -1.482 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; -0.691 ; -1.304 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; -0.865 ; -1.465 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; -0.702 ; -1.297 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; -0.776 ; -1.407 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; -1.043 ; -1.677 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; -1.036 ; -1.696 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; -0.748 ; -1.371 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; -1.106 ; -1.742 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; -0.723 ; -1.319 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; -0.874 ; -1.495 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; -0.972 ; -1.636 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; -0.575 ; -1.175 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; -0.603 ; -1.204 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; -0.585 ; -1.174 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; -0.695 ; -1.295 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; -0.531 ; -1.151 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; -0.881 ; -1.541 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; -0.709 ; -1.339 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; -0.716 ; -1.318 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; -1.184 ; -1.902 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 4.606 ; 4.827 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 3.434 ; 3.473 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 3.300 ; 3.384 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 3.275 ; 3.305 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 3.511 ; 3.562 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 3.524 ; 3.585 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 3.696 ; 3.749 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 3.697 ; 3.747 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 3.470 ; 3.500 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 3.618 ; 3.668 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 3.679 ; 3.732 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 3.713 ; 3.767 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 3.687 ; 3.764 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 3.492 ; 3.545 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 3.437 ; 3.497 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 3.504 ; 3.552 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 3.675 ; 3.758 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 3.720 ; 3.774 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 4.606 ; 4.827 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 4.449 ; 4.647 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 3.640 ; 3.686 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 3.676 ; 3.724 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 3.636 ; 3.676 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 3.511 ; 3.564 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 3.431 ; 3.478 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 3.518 ; 3.572 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 3.684 ; 3.733 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 3.765 ; 3.853 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 3.738 ; 3.796 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 3.679 ; 3.727 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 3.882 ; 3.954 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 3.213 ; 3.241 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 3.366 ; 3.404 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 3.234 ; 3.315 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 3.213 ; 3.241 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 3.440 ; 3.488 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 3.454 ; 3.512 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 3.618 ; 3.668 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 3.621 ; 3.668 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 3.401 ; 3.429 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 3.543 ; 3.590 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 3.601 ; 3.652 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 3.634 ; 3.685 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 3.603 ; 3.678 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 3.422 ; 3.472 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 3.369 ; 3.425 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 3.433 ; 3.478 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 3.598 ; 3.677 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 3.641 ; 3.692 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 4.524 ; 4.742 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 4.373 ; 4.568 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 3.564 ; 3.608 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 3.597 ; 3.643 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 3.560 ; 3.598 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 3.439 ; 3.490 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 3.363 ; 3.407 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 3.447 ; 3.498 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 3.606 ; 3.653 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 3.684 ; 3.769 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 3.659 ; 3.713 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 3.601 ; 3.646 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 3.797 ; 3.865 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
+---------------------------------------------
+; Fast 1200mV 0C Model Metastability Report ;
+---------------------------------------------
+No synchronizer chains to report.
+
+
++---------------------------------------------------------------------------------+
+; Multicorner Timing Analysis Summary ;
++------------------+-----------+-------+----------+---------+---------------------+
+; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ;
++------------------+-----------+-------+----------+---------+---------------------+
+; Worst-case Slack ; -21.345 ; 0.268 ; N/A ; N/A ; -3.000 ;
+; clk ; -21.345 ; 0.268 ; N/A ; N/A ; -3.000 ;
+; Design-wide TNS ; -1510.709 ; 0.0 ; 0.0 ; 0.0 ; -303.956 ;
+; clk ; -1510.709 ; 0.000 ; N/A ; N/A ; -303.956 ;
++------------------+-----------+-------+----------+---------+---------------------+
+
+
++------------------------------------------------------------------------------+
+; Setup Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 2.702 ; 2.727 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 24.641 ; 25.299 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; 23.788 ; 24.281 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; 23.558 ; 23.820 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; 23.481 ; 23.940 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; 23.493 ; 23.716 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; 23.315 ; 23.765 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; 23.699 ; 24.042 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; 22.802 ; 23.457 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; 23.105 ; 23.515 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; 22.872 ; 23.500 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; 22.722 ; 22.949 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; 23.605 ; 24.117 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; 23.616 ; 23.947 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; 23.709 ; 24.278 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; 23.713 ; 24.006 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; 23.397 ; 24.030 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; 23.492 ; 23.808 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; 22.771 ; 23.282 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; 22.814 ; 23.108 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; 22.797 ; 23.346 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; 22.937 ; 23.255 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; 23.768 ; 24.318 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; 23.934 ; 24.231 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; 23.370 ; 23.940 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; 23.733 ; 23.983 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; 23.179 ; 23.780 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; 23.423 ; 23.711 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; 22.868 ; 23.356 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; 22.761 ; 23.085 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; 22.935 ; 23.501 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; 22.790 ; 23.076 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; 24.641 ; 25.299 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; 24.355 ; 24.800 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; 24.384 ; 25.042 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; 23.600 ; 24.015 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; 23.423 ; 23.960 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; 23.186 ; 23.565 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; 22.876 ; 23.459 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; 22.877 ; 23.219 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 20.964 ; 21.256 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; 22.787 ; 23.071 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; 24.489 ; 25.171 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; 24.418 ; 24.854 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; 24.207 ; 24.869 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; 23.571 ; 24.005 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; 23.087 ; 23.640 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; 23.356 ; 23.713 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; 22.881 ; 23.451 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; 22.887 ; 23.239 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; 22.779 ; 23.453 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; 22.641 ; 22.912 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; 24.425 ; 25.082 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; 24.464 ; 24.902 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; 24.313 ; 24.972 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; 23.987 ; 24.442 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; 23.694 ; 24.379 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; 23.635 ; 24.030 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; 23.402 ; 24.064 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 21.643 ; 21.716 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; 23.306 ; 23.951 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; 22.797 ; 23.282 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; 23.536 ; 23.819 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; 23.378 ; 24.000 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; 23.417 ; 23.763 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; 23.088 ; 23.662 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; 23.188 ; 23.621 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; 23.321 ; 24.040 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; 22.965 ; 23.342 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; 22.921 ; 23.422 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; 22.506 ; 23.023 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; 22.713 ; 22.984 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; 23.664 ; 23.985 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; 23.267 ; 23.855 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; 23.096 ; 23.593 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; 23.288 ; 23.911 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; 23.400 ; 23.880 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; 23.348 ; 24.069 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; 22.709 ; 23.109 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; 22.730 ; 23.450 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; 22.319 ; 22.822 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; 22.558 ; 23.208 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; 23.295 ; 23.860 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; 22.975 ; 23.281 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; 22.833 ; 23.376 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; 22.915 ; 23.127 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; 22.730 ; 23.175 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; 22.689 ; 22.971 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; 22.297 ; 22.840 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; 22.609 ; 22.924 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; 22.763 ; 23.264 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; 22.473 ; 22.760 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++------------------------------------------------------------------------------+
+; Hold Times ;
++----------------+------------+--------+--------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++----------------+------------+--------+--------+------------+-----------------+
+; en ; clk ; 0.247 ; 0.169 ; Rise ; clk ;
+; vin_rsc_z[*] ; clk ; 0.732 ; 0.645 ; Rise ; clk ;
+; vin_rsc_z[0] ; clk ; -0.952 ; -1.594 ; Rise ; clk ;
+; vin_rsc_z[1] ; clk ; -0.969 ; -1.607 ; Rise ; clk ;
+; vin_rsc_z[2] ; clk ; -0.887 ; -1.514 ; Rise ; clk ;
+; vin_rsc_z[3] ; clk ; -0.790 ; -1.391 ; Rise ; clk ;
+; vin_rsc_z[4] ; clk ; -0.767 ; -1.361 ; Rise ; clk ;
+; vin_rsc_z[5] ; clk ; -0.941 ; -1.595 ; Rise ; clk ;
+; vin_rsc_z[6] ; clk ; -1.028 ; -1.716 ; Rise ; clk ;
+; vin_rsc_z[7] ; clk ; -1.012 ; -1.674 ; Rise ; clk ;
+; vin_rsc_z[8] ; clk ; -0.947 ; -1.574 ; Rise ; clk ;
+; vin_rsc_z[9] ; clk ; -0.853 ; -1.458 ; Rise ; clk ;
+; vin_rsc_z[10] ; clk ; -0.756 ; -1.357 ; Rise ; clk ;
+; vin_rsc_z[11] ; clk ; -0.750 ; -1.347 ; Rise ; clk ;
+; vin_rsc_z[12] ; clk ; -0.974 ; -1.628 ; Rise ; clk ;
+; vin_rsc_z[13] ; clk ; -1.063 ; -1.737 ; Rise ; clk ;
+; vin_rsc_z[14] ; clk ; -1.048 ; -1.718 ; Rise ; clk ;
+; vin_rsc_z[15] ; clk ; -0.930 ; -1.576 ; Rise ; clk ;
+; vin_rsc_z[16] ; clk ; -0.806 ; -1.401 ; Rise ; clk ;
+; vin_rsc_z[17] ; clk ; -0.772 ; -1.358 ; Rise ; clk ;
+; vin_rsc_z[18] ; clk ; -0.850 ; -1.490 ; Rise ; clk ;
+; vin_rsc_z[19] ; clk ; -0.761 ; -1.369 ; Rise ; clk ;
+; vin_rsc_z[20] ; clk ; -0.877 ; -1.496 ; Rise ; clk ;
+; vin_rsc_z[21] ; clk ; -0.943 ; -1.570 ; Rise ; clk ;
+; vin_rsc_z[22] ; clk ; -0.840 ; -1.467 ; Rise ; clk ;
+; vin_rsc_z[23] ; clk ; -0.809 ; -1.399 ; Rise ; clk ;
+; vin_rsc_z[24] ; clk ; -0.927 ; -1.554 ; Rise ; clk ;
+; vin_rsc_z[25] ; clk ; -1.058 ; -1.706 ; Rise ; clk ;
+; vin_rsc_z[26] ; clk ; -0.955 ; -1.567 ; Rise ; clk ;
+; vin_rsc_z[27] ; clk ; -1.123 ; -1.781 ; Rise ; clk ;
+; vin_rsc_z[28] ; clk ; -0.991 ; -1.622 ; Rise ; clk ;
+; vin_rsc_z[29] ; clk ; -1.140 ; -1.809 ; Rise ; clk ;
+; vin_rsc_z[30] ; clk ; -0.898 ; -1.498 ; Rise ; clk ;
+; vin_rsc_z[31] ; clk ; -0.916 ; -1.569 ; Rise ; clk ;
+; vin_rsc_z[32] ; clk ; -1.024 ; -1.662 ; Rise ; clk ;
+; vin_rsc_z[33] ; clk ; -0.748 ; -1.364 ; Rise ; clk ;
+; vin_rsc_z[34] ; clk ; -0.748 ; -1.351 ; Rise ; clk ;
+; vin_rsc_z[35] ; clk ; -0.820 ; -1.451 ; Rise ; clk ;
+; vin_rsc_z[36] ; clk ; -0.658 ; -1.259 ; Rise ; clk ;
+; vin_rsc_z[37] ; clk ; -0.679 ; -1.278 ; Rise ; clk ;
+; vin_rsc_z[38] ; clk ; 0.732 ; 0.645 ; Rise ; clk ;
+; vin_rsc_z[39] ; clk ; -0.836 ; -1.445 ; Rise ; clk ;
+; vin_rsc_z[40] ; clk ; -0.874 ; -1.515 ; Rise ; clk ;
+; vin_rsc_z[41] ; clk ; -0.976 ; -1.590 ; Rise ; clk ;
+; vin_rsc_z[42] ; clk ; -0.944 ; -1.540 ; Rise ; clk ;
+; vin_rsc_z[43] ; clk ; -0.677 ; -1.275 ; Rise ; clk ;
+; vin_rsc_z[44] ; clk ; -0.581 ; -1.219 ; Rise ; clk ;
+; vin_rsc_z[45] ; clk ; -0.901 ; -1.526 ; Rise ; clk ;
+; vin_rsc_z[46] ; clk ; -0.714 ; -1.299 ; Rise ; clk ;
+; vin_rsc_z[47] ; clk ; -0.728 ; -1.328 ; Rise ; clk ;
+; vin_rsc_z[48] ; clk ; -0.804 ; -1.411 ; Rise ; clk ;
+; vin_rsc_z[49] ; clk ; -0.702 ; -1.309 ; Rise ; clk ;
+; vin_rsc_z[50] ; clk ; -0.789 ; -1.406 ; Rise ; clk ;
+; vin_rsc_z[51] ; clk ; -0.888 ; -1.506 ; Rise ; clk ;
+; vin_rsc_z[52] ; clk ; -1.134 ; -1.775 ; Rise ; clk ;
+; vin_rsc_z[53] ; clk ; -0.884 ; -1.499 ; Rise ; clk ;
+; vin_rsc_z[54] ; clk ; -0.884 ; -1.508 ; Rise ; clk ;
+; vin_rsc_z[55] ; clk ; -0.737 ; -1.340 ; Rise ; clk ;
+; vin_rsc_z[56] ; clk ; -0.781 ; -1.380 ; Rise ; clk ;
+; vin_rsc_z[57] ; clk ; 0.292 ; 0.148 ; Rise ; clk ;
+; vin_rsc_z[58] ; clk ; -0.803 ; -1.387 ; Rise ; clk ;
+; vin_rsc_z[59] ; clk ; -0.627 ; -1.234 ; Rise ; clk ;
+; vin_rsc_z[60] ; clk ; -0.881 ; -1.494 ; Rise ; clk ;
+; vin_rsc_z[61] ; clk ; -0.857 ; -1.512 ; Rise ; clk ;
+; vin_rsc_z[62] ; clk ; -0.914 ; -1.556 ; Rise ; clk ;
+; vin_rsc_z[63] ; clk ; -0.775 ; -1.368 ; Rise ; clk ;
+; vin_rsc_z[64] ; clk ; -0.803 ; -1.386 ; Rise ; clk ;
+; vin_rsc_z[65] ; clk ; -0.934 ; -1.564 ; Rise ; clk ;
+; vin_rsc_z[66] ; clk ; -0.947 ; -1.590 ; Rise ; clk ;
+; vin_rsc_z[67] ; clk ; -0.954 ; -1.597 ; Rise ; clk ;
+; vin_rsc_z[68] ; clk ; -0.916 ; -1.515 ; Rise ; clk ;
+; vin_rsc_z[69] ; clk ; -0.861 ; -1.482 ; Rise ; clk ;
+; vin_rsc_z[70] ; clk ; -0.691 ; -1.304 ; Rise ; clk ;
+; vin_rsc_z[71] ; clk ; -0.865 ; -1.465 ; Rise ; clk ;
+; vin_rsc_z[72] ; clk ; -0.702 ; -1.297 ; Rise ; clk ;
+; vin_rsc_z[73] ; clk ; -0.776 ; -1.407 ; Rise ; clk ;
+; vin_rsc_z[74] ; clk ; -1.043 ; -1.677 ; Rise ; clk ;
+; vin_rsc_z[75] ; clk ; -1.036 ; -1.696 ; Rise ; clk ;
+; vin_rsc_z[76] ; clk ; -0.748 ; -1.371 ; Rise ; clk ;
+; vin_rsc_z[77] ; clk ; -1.106 ; -1.742 ; Rise ; clk ;
+; vin_rsc_z[78] ; clk ; -0.723 ; -1.319 ; Rise ; clk ;
+; vin_rsc_z[79] ; clk ; -0.874 ; -1.495 ; Rise ; clk ;
+; vin_rsc_z[80] ; clk ; -0.972 ; -1.636 ; Rise ; clk ;
+; vin_rsc_z[81] ; clk ; -0.575 ; -1.175 ; Rise ; clk ;
+; vin_rsc_z[82] ; clk ; -0.603 ; -1.204 ; Rise ; clk ;
+; vin_rsc_z[83] ; clk ; -0.585 ; -1.174 ; Rise ; clk ;
+; vin_rsc_z[84] ; clk ; -0.695 ; -1.295 ; Rise ; clk ;
+; vin_rsc_z[85] ; clk ; -0.531 ; -1.151 ; Rise ; clk ;
+; vin_rsc_z[86] ; clk ; -0.881 ; -1.541 ; Rise ; clk ;
+; vin_rsc_z[87] ; clk ; -0.709 ; -1.339 ; Rise ; clk ;
+; vin_rsc_z[88] ; clk ; -0.716 ; -1.318 ; Rise ; clk ;
+; vin_rsc_z[89] ; clk ; -1.184 ; -1.902 ; Rise ; clk ;
++----------------+------------+--------+--------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 7.528 ; 7.681 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 5.667 ; 5.681 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 5.561 ; 5.556 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 5.396 ; 5.422 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 5.815 ; 5.854 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 5.837 ; 5.876 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 6.150 ; 6.186 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 6.104 ; 6.157 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 5.760 ; 5.787 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 6.020 ; 6.049 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 6.134 ; 6.167 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 6.206 ; 6.228 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 6.223 ; 6.220 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 5.812 ; 5.836 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 5.700 ; 5.730 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 5.785 ; 5.806 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 6.135 ; 6.138 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 6.191 ; 6.227 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 7.528 ; 7.681 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 7.251 ; 7.392 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 6.041 ; 6.089 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 6.112 ; 6.143 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 6.044 ; 6.061 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 5.802 ; 5.836 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 5.651 ; 5.695 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 5.801 ; 5.834 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 6.134 ; 6.163 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 6.306 ; 6.370 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 6.238 ; 6.251 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 6.120 ; 6.149 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 6.456 ; 6.491 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
++-----------------------------------------------------------------------------+
+; Minimum Clock to Output Times ;
++-----------------+------------+-------+-------+------------+-----------------+
+; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
++-----------------+------------+-------+-------+------------+-----------------+
+; vout_rsc_z[*] ; clk ; 3.213 ; 3.241 ; Rise ; clk ;
+; vout_rsc_z[0] ; clk ; 3.366 ; 3.404 ; Rise ; clk ;
+; vout_rsc_z[1] ; clk ; 3.234 ; 3.315 ; Rise ; clk ;
+; vout_rsc_z[2] ; clk ; 3.213 ; 3.241 ; Rise ; clk ;
+; vout_rsc_z[3] ; clk ; 3.440 ; 3.488 ; Rise ; clk ;
+; vout_rsc_z[4] ; clk ; 3.454 ; 3.512 ; Rise ; clk ;
+; vout_rsc_z[5] ; clk ; 3.618 ; 3.668 ; Rise ; clk ;
+; vout_rsc_z[6] ; clk ; 3.621 ; 3.668 ; Rise ; clk ;
+; vout_rsc_z[7] ; clk ; 3.401 ; 3.429 ; Rise ; clk ;
+; vout_rsc_z[8] ; clk ; 3.543 ; 3.590 ; Rise ; clk ;
+; vout_rsc_z[9] ; clk ; 3.601 ; 3.652 ; Rise ; clk ;
+; vout_rsc_z[10] ; clk ; 3.634 ; 3.685 ; Rise ; clk ;
+; vout_rsc_z[11] ; clk ; 3.603 ; 3.678 ; Rise ; clk ;
+; vout_rsc_z[12] ; clk ; 3.422 ; 3.472 ; Rise ; clk ;
+; vout_rsc_z[13] ; clk ; 3.369 ; 3.425 ; Rise ; clk ;
+; vout_rsc_z[14] ; clk ; 3.433 ; 3.478 ; Rise ; clk ;
+; vout_rsc_z[15] ; clk ; 3.598 ; 3.677 ; Rise ; clk ;
+; vout_rsc_z[16] ; clk ; 3.641 ; 3.692 ; Rise ; clk ;
+; vout_rsc_z[17] ; clk ; 4.524 ; 4.742 ; Rise ; clk ;
+; vout_rsc_z[18] ; clk ; 4.373 ; 4.568 ; Rise ; clk ;
+; vout_rsc_z[19] ; clk ; 3.564 ; 3.608 ; Rise ; clk ;
+; vout_rsc_z[20] ; clk ; 3.597 ; 3.643 ; Rise ; clk ;
+; vout_rsc_z[21] ; clk ; 3.560 ; 3.598 ; Rise ; clk ;
+; vout_rsc_z[22] ; clk ; 3.439 ; 3.490 ; Rise ; clk ;
+; vout_rsc_z[23] ; clk ; 3.363 ; 3.407 ; Rise ; clk ;
+; vout_rsc_z[24] ; clk ; 3.447 ; 3.498 ; Rise ; clk ;
+; vout_rsc_z[25] ; clk ; 3.606 ; 3.653 ; Rise ; clk ;
+; vout_rsc_z[26] ; clk ; 3.684 ; 3.769 ; Rise ; clk ;
+; vout_rsc_z[27] ; clk ; 3.659 ; 3.713 ; Rise ; clk ;
+; vout_rsc_z[28] ; clk ; 3.601 ; 3.646 ; Rise ; clk ;
+; vout_rsc_z[29] ; clk ; 3.797 ; 3.865 ; Rise ; clk ;
++-----------------+------------+-------+-------+------------+-----------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Board Trace Model Assignments ;
++----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
++----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+; vout_rsc_z[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[10] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[11] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[12] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[13] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[14] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[15] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[16] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[17] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[18] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[19] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[20] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[21] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[22] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[23] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[24] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[25] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[26] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[27] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[28] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; vout_rsc_z[29] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
++----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+
+
++----------------------------------------------------------------------------+
+; Input Transition Times ;
++-------------------------+--------------+-----------------+-----------------+
+; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
++-------------------------+--------------+-----------------+-----------------+
+; clk ; 2.5 V ; 2000 ps ; 2000 ps ;
+; arst_n ; 2.5 V ; 2000 ps ; 2000 ps ;
+; en ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[57] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[56] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[55] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[54] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[53] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[52] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[51] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[50] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[47] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[37] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[46] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[36] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[45] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[35] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[44] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[34] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[43] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[33] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[42] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[32] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[41] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[31] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[40] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[30] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[78] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[68] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[77] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[67] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[76] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[66] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[75] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[65] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[74] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[64] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[73] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[63] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[72] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[62] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[71] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[61] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[70] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[60] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[88] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[87] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[86] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[85] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[84] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[83] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[82] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[81] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[80] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[8] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[7] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[6] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[5] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[4] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[3] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[2] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[1] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[0] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[28] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[18] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[27] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[17] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[26] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[16] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[25] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[15] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[24] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[14] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[23] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[13] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[22] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[12] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[21] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[11] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[20] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[10] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[9] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[29] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[19] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[79] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[69] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[89] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[59] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[58] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[49] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[39] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[48] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; vin_rsc_z[38] ; 2.5 V ; 2000 ps ; 2000 ps ;
+; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ;
+; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ;
+; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ;
++-------------------------+--------------+-----------------+-----------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Slow Corner Signal Integrity Metrics ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; vout_rsc_z[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.36 V ; -0.00946 V ; 0.111 V ; 0.027 V ; 6.46e-10 s ; 6.2e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.36 V ; -0.00946 V ; 0.111 V ; 0.027 V ; 6.46e-10 s ; 6.2e-10 s ; Yes ; Yes ;
+; vout_rsc_z[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ;
+; vout_rsc_z[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[15] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[16] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[17] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ;
+; vout_rsc_z[18] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ;
+; vout_rsc_z[19] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[20] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[21] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[22] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[23] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[24] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[25] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[26] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[27] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[28] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; vout_rsc_z[29] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ;
+; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ;
+; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fast Corner Signal Integrity Metrics ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; vout_rsc_z[0] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[1] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.72 V ; -0.0408 V ; 0.163 V ; 0.075 V ; 4.51e-10 s ; 4.33e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.72 V ; -0.0408 V ; 0.163 V ; 0.075 V ; 4.51e-10 s ; 4.33e-10 s ; No ; Yes ;
+; vout_rsc_z[2] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[3] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[4] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[5] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[6] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[7] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[8] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[9] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[10] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[11] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ;
+; vout_rsc_z[12] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[13] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[14] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[15] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[16] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[17] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ;
+; vout_rsc_z[18] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ;
+; vout_rsc_z[19] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[20] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[21] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[22] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[23] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[24] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[25] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[26] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[27] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[28] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; vout_rsc_z[29] ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ;
+; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ;
+; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++-----------------------------------------------------------------------+
+; Setup Transfers ;
++------------+----------+--------------+----------+----------+----------+
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
++------------+----------+--------------+----------+----------+----------+
+; clk ; clk ; > 2147483647 ; 0 ; 0 ; 0 ;
++------------+----------+--------------+----------+----------+----------+
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+
+
++-----------------------------------------------------------------------+
+; Hold Transfers ;
++------------+----------+--------------+----------+----------+----------+
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
++------------+----------+--------------+----------+----------+----------+
+; clk ; clk ; > 2147483647 ; 0 ; 0 ; 0 ;
++------------+----------+--------------+----------+----------+----------+
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+
+
+---------------
+; Report TCCS ;
+---------------
+No dedicated SERDES Transmitter circuitry present in device or used in design
+
+
+---------------
+; Report RSKM ;
+---------------
+No dedicated SERDES Receiver circuitry present in device or used in design
+
+
++------------------------------------------------+
+; Unconstrained Paths ;
++---------------------------------+-------+------+
+; Property ; Setup ; Hold ;
++---------------------------------+-------+------+
+; Illegal Clocks ; 0 ; 0 ;
+; Unconstrained Clocks ; 0 ; 0 ;
+; Unconstrained Input Ports ; 92 ; 92 ;
+; Unconstrained Input Port Paths ; 5908 ; 5908 ;
+; Unconstrained Output Ports ; 30 ; 30 ;
+; Unconstrained Output Port Paths ; 30 ; 30 ;
++---------------------------------+-------+------+
+
+
++------------------------------------+
+; TimeQuest Timing Analyzer Messages ;
++------------------------------------+
+Info: *******************************************************************
+Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
+ Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+ Info: Processing started: Tue Mar 08 16:22:26 2016
+Info: Command: quartus_sta sobel -c sobel
+Info: qsta_default_script.tcl version: #1
+Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
+Info (21077): Core supply voltage is 1.2V
+Info (21077): Low junction temperature is 0 degrees C
+Info (21077): High junction temperature is 85 degrees C
+Critical Warning (332012): Synopsys Design Constraints File file not found: 'sobel.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
+Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
+Info (332105): Deriving Clocks
+ Info (332105): create_clock -period 1.000 -name clk clk
+Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
+Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
+Info: Analyzing Slow 1200mV 85C Model
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
+Info (332146): Worst-case setup slack is -21.345
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -21.345 -1510.709 clk
+Info (332146): Worst-case hold slack is 0.516
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): 0.516 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -3.000
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -3.000 -287.000 clk
+Info: Analyzing Slow 1200mV 0C Model
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
+Info (332146): Worst-case setup slack is -18.828
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -18.828 -1326.336 clk
+Info (332146): Worst-case hold slack is 0.466
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): 0.466 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -3.000
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -3.000 -287.000 clk
+Info: Analyzing Fast 1200mV 0C Model
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
+Info (332146): Worst-case setup slack is -11.400
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -11.400 -781.716 clk
+Info (332146): Worst-case hold slack is 0.268
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): 0.268 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -3.000
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= ============= =====================
+ Info (332119): -3.000 -303.956 clk
+Info (332102): Design is not fully constrained for setup requirements
+Info (332102): Design is not fully constrained for hold requirements
+Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings
+ Info: Peak virtual memory: 522 megabytes
+ Info: Processing ended: Tue Mar 08 16:22:33 2016
+ Info: Elapsed time: 00:00:07
+ Info: Total CPU time (on all processors): 00:00:03
+
+