summaryrefslogtreecommitdiffstats
path: root/Sobel/sobel.v12/schematic.nlv
diff options
context:
space:
mode:
Diffstat (limited to 'Sobel/sobel.v12/schematic.nlv')
-rw-r--r--Sobel/sobel.v12/schematic.nlv16656
1 files changed, 16656 insertions, 0 deletions
diff --git a/Sobel/sobel.v12/schematic.nlv b/Sobel/sobel.v12/schematic.nlv
new file mode 100644
index 0000000..a2fd872
--- /dev/null
+++ b/Sobel/sobel.v12/schematic.nlv
@@ -0,0 +1,16656 @@
+
+# Program: Catapult University Version
+# Version: 2011a.126
+# File: Nlview netlist
+
+module new "sobel:core" "orig"
+load port {clk} input -attr xrf 62811 -attr oid 1 -attr vt d -attr @path {/sobel/sobel:core/clk}
+load port {en} input -attr xrf 62812 -attr oid 2 -attr vt d -attr @path {/sobel/sobel:core/en}
+load port {arst_n} input -attr xrf 62813 -attr oid 3 -attr vt d -attr @path {/sobel/sobel:core/arst_n}
+load portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} -attr xrf 62814 -attr oid 4 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} -attr xrf 62815 -attr oid 5 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load symbol "or(2,10)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "or(2,6)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(5:0)} input 6 {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(5:0)} input 6 {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,30)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(29:0)} input 30 {A0(29)} {A0(28)} {A0(27)} {A0(26)} {A0(25)} {A0(24)} {A0(23)} {A0(22)} {A0(21)} {A0(20)} {A0(19)} {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(29:0)} input 30 {A1(29)} {A1(28)} {A1(27)} {A1(26)} {A1(25)} {A1(24)} {A1(23)} {A1(22)} {A1(21)} {A1(20)} {A1(19)} {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(30,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(29:0)} input 30 {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(29:0)} input 30 {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(1,0,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "add(2,-1,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "add(2,0,1,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,-1,1,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,1,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(4,0,5,0,8)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,11,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,3,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(1)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "add(4,0,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,5,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,-1,7,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,0,8,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,2,1,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,-1,2,1,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,3,1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,4,-1,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,0,3,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,5,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,1,7,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(7,0,6,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,1,8,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,0,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(1,0,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,-1,11,-1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "nand(2,1)" "INTERFACE" NAND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "and(3,1)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {A2(0:0)} input 1 {A2(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "add(11,1,11,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,1,12,1,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(13,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(12:0)} input 13 {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(12:0)} input 13 {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,5,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,5,1,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,6,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,1,2,1,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,0,3,1,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,1,6,1,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(7,0,7,1,9)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,1,9,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(4,0,7,0,10)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,1,10,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(4,0,9,0,12)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,1,12,0,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(3,0,7,0,9)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,11,1,13)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(13,1,13,1,14)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(14,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(13:0)} input 14 {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(13:0)} input 14 {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(5,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(4:0)} input 5 {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(4:0)} input 5 {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(2,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(1:0)} input 2 {D(1)} {D(0)} \
+ portBus {DRa(1:0)} input 2 {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "reg(1,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(0:0)} input 1 {D(0)} \
+ portBus {DRa(0:0)} input 1 {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "add(7,0,7,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,0,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,0,8,0,9)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,0,9,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,0,10,0,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(11,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(10:0)} input 11 {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(10:0)} input 11 {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,1,8,0,9)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,0,9,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,-1,2,-1,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,2,1,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,1,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,4,1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,3,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,1,5,1,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,6,1,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,0,9,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,10,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(12,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(11:0)} input 12 {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(11:0)} input 12 {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(10,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(9:0)} input 10 {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(9:0)} input 10 {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(90,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(89:0)} input 90 {D(89)} {D(88)} {D(87)} {D(86)} {D(85)} {D(84)} {D(83)} {D(82)} {D(81)} {D(80)} {D(79)} {D(78)} {D(77)} {D(76)} {D(75)} {D(74)} {D(73)} {D(72)} {D(71)} {D(70)} {D(69)} {D(68)} {D(67)} {D(66)} {D(65)} {D(64)} {D(63)} {D(62)} {D(61)} {D(60)} {D(59)} {D(58)} {D(57)} {D(56)} {D(55)} {D(54)} {D(53)} {D(52)} {D(51)} {D(50)} {D(49)} {D(48)} {D(47)} {D(46)} {D(45)} {D(44)} {D(43)} {D(42)} {D(41)} {D(40)} {D(39)} {D(38)} {D(37)} {D(36)} {D(35)} {D(34)} {D(33)} {D(32)} {D(31)} {D(30)} {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(89:0)} input 90 {DRa(89)} {DRa(88)} {DRa(87)} {DRa(86)} {DRa(85)} {DRa(84)} {DRa(83)} {DRa(82)} {DRa(81)} {DRa(80)} {DRa(79)} {DRa(78)} {DRa(77)} {DRa(76)} {DRa(75)} {DRa(74)} {DRa(73)} {DRa(72)} {DRa(71)} {DRa(70)} {DRa(69)} {DRa(68)} {DRa(67)} {DRa(66)} {DRa(65)} {DRa(64)} {DRa(63)} {DRa(62)} {DRa(61)} {DRa(60)} {DRa(59)} {DRa(58)} {DRa(57)} {DRa(56)} {DRa(55)} {DRa(54)} {DRa(53)} {DRa(52)} {DRa(51)} {DRa(50)} {DRa(49)} {DRa(48)} {DRa(47)} {DRa(46)} {DRa(45)} {DRa(44)} {DRa(43)} {DRa(42)} {DRa(41)} {DRa(40)} {DRa(39)} {DRa(38)} {DRa(37)} {DRa(36)} {DRa(35)} {DRa(34)} {DRa(33)} {DRa(32)} {DRa(31)} {DRa(30)} {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(89:0)} output 90 {Z(89)} {Z(88)} {Z(87)} {Z(86)} {Z(85)} {Z(84)} {Z(83)} {Z(82)} {Z(81)} {Z(80)} {Z(79)} {Z(78)} {Z(77)} {Z(76)} {Z(75)} {Z(74)} {Z(73)} {Z(72)} {Z(71)} {Z(70)} {Z(69)} {Z(68)} {Z(67)} {Z(66)} {Z(65)} {Z(64)} {Z(63)} {Z(62)} {Z(61)} {Z(60)} {Z(59)} {Z(58)} {Z(57)} {Z(56)} {Z(55)} {Z(54)} {Z(53)} {Z(52)} {Z(51)} {Z(50)} {Z(49)} {Z(48)} {Z(47)} {Z(46)} {Z(45)} {Z(44)} {Z(43)} {Z(42)} {Z(41)} {Z(40)} {Z(39)} {Z(38)} {Z(37)} {Z(36)} {Z(35)} {Z(34)} {Z(33)} {Z(32)} {Z(31)} {Z(30)} {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,9,0,11)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(3,0,6,0,9)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(3)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,-1,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,-1,3,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,5,1,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,0,7,1,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,0,10,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,-1,11,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(14,1,14,1,15)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(13:0)} input 14 {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(13:0)} input 14 {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(14,-1,13,1,14)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(13:0)} input 14 {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(15,-1,14,1,15)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(14:0)} input 15 {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(13:0)} input 14 {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,-1,6,-1,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,1,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,10,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(10)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,1,2,0,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(2)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,-1,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,5,-1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,0,1,1,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,12,1,14)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load net {regs.regs:slc(regs.regs(2)).itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2)).itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2)).itm} 10 {regs.regs:slc(regs.regs(2)).itm(0)} {regs.regs:slc(regs.regs(2)).itm(1)} {regs.regs:slc(regs.regs(2)).itm(2)} {regs.regs:slc(regs.regs(2)).itm(3)} {regs.regs:slc(regs.regs(2)).itm(4)} {regs.regs:slc(regs.regs(2)).itm(5)} {regs.regs:slc(regs.regs(2)).itm(6)} {regs.regs:slc(regs.regs(2)).itm(7)} {regs.regs:slc(regs.regs(2)).itm(8)} {regs.regs:slc(regs.regs(2)).itm(9)} -attr xrf 62816 -attr oid 6 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#1.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#1.itm} 10 {regs.regs:slc(regs.regs(2))#1.itm(0)} {regs.regs:slc(regs.regs(2))#1.itm(1)} {regs.regs:slc(regs.regs(2))#1.itm(2)} {regs.regs:slc(regs.regs(2))#1.itm(3)} {regs.regs:slc(regs.regs(2))#1.itm(4)} {regs.regs:slc(regs.regs(2))#1.itm(5)} {regs.regs:slc(regs.regs(2))#1.itm(6)} {regs.regs:slc(regs.regs(2))#1.itm(7)} {regs.regs:slc(regs.regs(2))#1.itm(8)} {regs.regs:slc(regs.regs(2))#1.itm(9)} -attr xrf 62817 -attr oid 7 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#2.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#2.itm} 10 {regs.regs:slc(regs.regs(2))#2.itm(0)} {regs.regs:slc(regs.regs(2))#2.itm(1)} {regs.regs:slc(regs.regs(2))#2.itm(2)} {regs.regs:slc(regs.regs(2))#2.itm(3)} {regs.regs:slc(regs.regs(2))#2.itm(4)} {regs.regs:slc(regs.regs(2))#2.itm(5)} {regs.regs:slc(regs.regs(2))#2.itm(6)} {regs.regs:slc(regs.regs(2))#2.itm(7)} {regs.regs:slc(regs.regs(2))#2.itm(8)} {regs.regs:slc(regs.regs(2))#2.itm(9)} -attr xrf 62818 -attr oid 8 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#4.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#4.itm} 10 {regs.regs:slc(regs.regs(2))#4.itm(0)} {regs.regs:slc(regs.regs(2))#4.itm(1)} {regs.regs:slc(regs.regs(2))#4.itm(2)} {regs.regs:slc(regs.regs(2))#4.itm(3)} {regs.regs:slc(regs.regs(2))#4.itm(4)} {regs.regs:slc(regs.regs(2))#4.itm(5)} {regs.regs:slc(regs.regs(2))#4.itm(6)} {regs.regs:slc(regs.regs(2))#4.itm(7)} {regs.regs:slc(regs.regs(2))#4.itm(8)} {regs.regs:slc(regs.regs(2))#4.itm(9)} -attr xrf 62819 -attr oid 9 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#5.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#5.itm} 10 {regs.regs:slc(regs.regs(2))#5.itm(0)} {regs.regs:slc(regs.regs(2))#5.itm(1)} {regs.regs:slc(regs.regs(2))#5.itm(2)} {regs.regs:slc(regs.regs(2))#5.itm(3)} {regs.regs:slc(regs.regs(2))#5.itm(4)} {regs.regs:slc(regs.regs(2))#5.itm(5)} {regs.regs:slc(regs.regs(2))#5.itm(6)} {regs.regs:slc(regs.regs(2))#5.itm(7)} {regs.regs:slc(regs.regs(2))#5.itm(8)} {regs.regs:slc(regs.regs(2))#5.itm(9)} -attr xrf 62820 -attr oid 10 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#3.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#3.itm} 10 {regs.regs:slc(regs.regs(2))#3.itm(0)} {regs.regs:slc(regs.regs(2))#3.itm(1)} {regs.regs:slc(regs.regs(2))#3.itm(2)} {regs.regs:slc(regs.regs(2))#3.itm(3)} {regs.regs:slc(regs.regs(2))#3.itm(4)} {regs.regs:slc(regs.regs(2))#3.itm(5)} {regs.regs:slc(regs.regs(2))#3.itm(6)} {regs.regs:slc(regs.regs(2))#3.itm(7)} {regs.regs:slc(regs.regs(2))#3.itm(8)} {regs.regs:slc(regs.regs(2))#3.itm(9)} -attr xrf 62821 -attr oid 11 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#10.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#10.itm} 10 {regs.regs:slc(regs.regs(2))#10.itm(0)} {regs.regs:slc(regs.regs(2))#10.itm(1)} {regs.regs:slc(regs.regs(2))#10.itm(2)} {regs.regs:slc(regs.regs(2))#10.itm(3)} {regs.regs:slc(regs.regs(2))#10.itm(4)} {regs.regs:slc(regs.regs(2))#10.itm(5)} {regs.regs:slc(regs.regs(2))#10.itm(6)} {regs.regs:slc(regs.regs(2))#10.itm(7)} {regs.regs:slc(regs.regs(2))#10.itm(8)} {regs.regs:slc(regs.regs(2))#10.itm(9)} -attr xrf 62822 -attr oid 12 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#11.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#11.itm} 10 {regs.regs:slc(regs.regs(2))#11.itm(0)} {regs.regs:slc(regs.regs(2))#11.itm(1)} {regs.regs:slc(regs.regs(2))#11.itm(2)} {regs.regs:slc(regs.regs(2))#11.itm(3)} {regs.regs:slc(regs.regs(2))#11.itm(4)} {regs.regs:slc(regs.regs(2))#11.itm(5)} {regs.regs:slc(regs.regs(2))#11.itm(6)} {regs.regs:slc(regs.regs(2))#11.itm(7)} {regs.regs:slc(regs.regs(2))#11.itm(8)} {regs.regs:slc(regs.regs(2))#11.itm(9)} -attr xrf 62823 -attr oid 13 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(0)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(1)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(2)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(3)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(4)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(5)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(6)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(7)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(8)} -attr vt d
+load net {regs.regs:slc(regs.regs(2))#9.itm(9)} -attr vt d
+load netBundle {regs.regs:slc(regs.regs(2))#9.itm} 10 {regs.regs:slc(regs.regs(2))#9.itm(0)} {regs.regs:slc(regs.regs(2))#9.itm(1)} {regs.regs:slc(regs.regs(2))#9.itm(2)} {regs.regs:slc(regs.regs(2))#9.itm(3)} {regs.regs:slc(regs.regs(2))#9.itm(4)} {regs.regs:slc(regs.regs(2))#9.itm(5)} {regs.regs:slc(regs.regs(2))#9.itm(6)} {regs.regs:slc(regs.regs(2))#9.itm(7)} {regs.regs:slc(regs.regs(2))#9.itm(8)} {regs.regs:slc(regs.regs(2))#9.itm(9)} -attr xrf 62824 -attr oid 14 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {ACC1:acc#659.itm#1(0)} -attr vt d
+load net {ACC1:acc#659.itm#1(1)} -attr vt d
+load net {ACC1:acc#659.itm#1(2)} -attr vt d
+load net {ACC1:acc#659.itm#1(3)} -attr vt d
+load net {ACC1:acc#659.itm#1(4)} -attr vt d
+load net {ACC1:acc#659.itm#1(5)} -attr vt d
+load net {ACC1:acc#659.itm#1(6)} -attr vt d
+load net {ACC1:acc#659.itm#1(7)} -attr vt d
+load net {ACC1:acc#659.itm#1(8)} -attr vt d
+load net {ACC1:acc#659.itm#1(9)} -attr vt d
+load net {ACC1:acc#659.itm#1(10)} -attr vt d
+load net {ACC1:acc#659.itm#1(11)} -attr vt d
+load net {ACC1:acc#659.itm#1(12)} -attr vt d
+load netBundle {ACC1:acc#659.itm#1} 13 {ACC1:acc#659.itm#1(0)} {ACC1:acc#659.itm#1(1)} {ACC1:acc#659.itm#1(2)} {ACC1:acc#659.itm#1(3)} {ACC1:acc#659.itm#1(4)} {ACC1:acc#659.itm#1(5)} {ACC1:acc#659.itm#1(6)} {ACC1:acc#659.itm#1(7)} {ACC1:acc#659.itm#1(8)} {ACC1:acc#659.itm#1(9)} {ACC1:acc#659.itm#1(10)} {ACC1:acc#659.itm#1(11)} {ACC1:acc#659.itm#1(12)} -attr xrf 62825 -attr oid 15 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#658.itm#1(0)} -attr vt d
+load net {ACC1:acc#658.itm#1(1)} -attr vt d
+load net {ACC1:acc#658.itm#1(2)} -attr vt d
+load net {ACC1:acc#658.itm#1(3)} -attr vt d
+load net {ACC1:acc#658.itm#1(4)} -attr vt d
+load net {ACC1:acc#658.itm#1(5)} -attr vt d
+load net {ACC1:acc#658.itm#1(6)} -attr vt d
+load net {ACC1:acc#658.itm#1(7)} -attr vt d
+load net {ACC1:acc#658.itm#1(8)} -attr vt d
+load net {ACC1:acc#658.itm#1(9)} -attr vt d
+load net {ACC1:acc#658.itm#1(10)} -attr vt d
+load net {ACC1:acc#658.itm#1(11)} -attr vt d
+load net {ACC1:acc#658.itm#1(12)} -attr vt d
+load netBundle {ACC1:acc#658.itm#1} 13 {ACC1:acc#658.itm#1(0)} {ACC1:acc#658.itm#1(1)} {ACC1:acc#658.itm#1(2)} {ACC1:acc#658.itm#1(3)} {ACC1:acc#658.itm#1(4)} {ACC1:acc#658.itm#1(5)} {ACC1:acc#658.itm#1(6)} {ACC1:acc#658.itm#1(7)} {ACC1:acc#658.itm#1(8)} {ACC1:acc#658.itm#1(9)} {ACC1:acc#658.itm#1(10)} {ACC1:acc#658.itm#1(11)} {ACC1:acc#658.itm#1(12)} -attr xrf 62826 -attr oid 16 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#661.itm#1(0)} -attr vt d
+load net {ACC1:acc#661.itm#1(1)} -attr vt d
+load net {ACC1:acc#661.itm#1(2)} -attr vt d
+load net {ACC1:acc#661.itm#1(3)} -attr vt d
+load net {ACC1:acc#661.itm#1(4)} -attr vt d
+load net {ACC1:acc#661.itm#1(5)} -attr vt d
+load net {ACC1:acc#661.itm#1(6)} -attr vt d
+load net {ACC1:acc#661.itm#1(7)} -attr vt d
+load net {ACC1:acc#661.itm#1(8)} -attr vt d
+load net {ACC1:acc#661.itm#1(9)} -attr vt d
+load net {ACC1:acc#661.itm#1(10)} -attr vt d
+load net {ACC1:acc#661.itm#1(11)} -attr vt d
+load net {ACC1:acc#661.itm#1(12)} -attr vt d
+load net {ACC1:acc#661.itm#1(13)} -attr vt d
+load netBundle {ACC1:acc#661.itm#1} 14 {ACC1:acc#661.itm#1(0)} {ACC1:acc#661.itm#1(1)} {ACC1:acc#661.itm#1(2)} {ACC1:acc#661.itm#1(3)} {ACC1:acc#661.itm#1(4)} {ACC1:acc#661.itm#1(5)} {ACC1:acc#661.itm#1(6)} {ACC1:acc#661.itm#1(7)} {ACC1:acc#661.itm#1(8)} {ACC1:acc#661.itm#1(9)} {ACC1:acc#661.itm#1(10)} {ACC1:acc#661.itm#1(11)} {ACC1:acc#661.itm#1(12)} {ACC1:acc#661.itm#1(13)} -attr xrf 62827 -attr oid 17 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#652.itm#1(0)} -attr vt d
+load net {ACC1:acc#652.itm#1(1)} -attr vt d
+load net {ACC1:acc#652.itm#1(2)} -attr vt d
+load net {ACC1:acc#652.itm#1(3)} -attr vt d
+load net {ACC1:acc#652.itm#1(4)} -attr vt d
+load net {ACC1:acc#652.itm#1(5)} -attr vt d
+load net {ACC1:acc#652.itm#1(6)} -attr vt d
+load net {ACC1:acc#652.itm#1(7)} -attr vt d
+load net {ACC1:acc#652.itm#1(8)} -attr vt d
+load net {ACC1:acc#652.itm#1(9)} -attr vt d
+load net {ACC1:acc#652.itm#1(10)} -attr vt d
+load netBundle {ACC1:acc#652.itm#1} 11 {ACC1:acc#652.itm#1(0)} {ACC1:acc#652.itm#1(1)} {ACC1:acc#652.itm#1(2)} {ACC1:acc#652.itm#1(3)} {ACC1:acc#652.itm#1(4)} {ACC1:acc#652.itm#1(5)} {ACC1:acc#652.itm#1(6)} {ACC1:acc#652.itm#1(7)} {ACC1:acc#652.itm#1(8)} {ACC1:acc#652.itm#1(9)} {ACC1:acc#652.itm#1(10)} -attr xrf 62828 -attr oid 18 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#655.itm#1(0)} -attr vt d
+load net {ACC1:acc#655.itm#1(1)} -attr vt d
+load net {ACC1:acc#655.itm#1(2)} -attr vt d
+load net {ACC1:acc#655.itm#1(3)} -attr vt d
+load net {ACC1:acc#655.itm#1(4)} -attr vt d
+load net {ACC1:acc#655.itm#1(5)} -attr vt d
+load net {ACC1:acc#655.itm#1(6)} -attr vt d
+load net {ACC1:acc#655.itm#1(7)} -attr vt d
+load net {ACC1:acc#655.itm#1(8)} -attr vt d
+load net {ACC1:acc#655.itm#1(9)} -attr vt d
+load net {ACC1:acc#655.itm#1(10)} -attr vt d
+load net {ACC1:acc#655.itm#1(11)} -attr vt d
+load netBundle {ACC1:acc#655.itm#1} 12 {ACC1:acc#655.itm#1(0)} {ACC1:acc#655.itm#1(1)} {ACC1:acc#655.itm#1(2)} {ACC1:acc#655.itm#1(3)} {ACC1:acc#655.itm#1(4)} {ACC1:acc#655.itm#1(5)} {ACC1:acc#655.itm#1(6)} {ACC1:acc#655.itm#1(7)} {ACC1:acc#655.itm#1(8)} {ACC1:acc#655.itm#1(9)} {ACC1:acc#655.itm#1(10)} {ACC1:acc#655.itm#1(11)} -attr xrf 62829 -attr oid 19 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:mul#57.itm#1.sg2(0)} -attr vt d
+load net {ACC1:mul#57.itm#1.sg2(1)} -attr vt d
+load net {ACC1:mul#57.itm#1.sg2(2)} -attr vt d
+load net {ACC1:mul#57.itm#1.sg2(3)} -attr vt d
+load net {ACC1:mul#57.itm#1.sg2(4)} -attr vt d
+load netBundle {ACC1:mul#57.itm#1.sg2} 5 {ACC1:mul#57.itm#1.sg2(0)} {ACC1:mul#57.itm#1.sg2(1)} {ACC1:mul#57.itm#1.sg2(2)} {ACC1:mul#57.itm#1.sg2(3)} {ACC1:mul#57.itm#1.sg2(4)} -attr xrf 62830 -attr oid 20 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#1.sg2}
+load net {ACC1:mul#57.itm#2(0)} -attr vt d
+load net {ACC1:mul#57.itm#2(1)} -attr vt d
+load netBundle {ACC1:mul#57.itm#2} 2 {ACC1:mul#57.itm#2(0)} {ACC1:mul#57.itm#2(1)} -attr xrf 62831 -attr oid 21 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#2}
+load net {reg(regs.regs(0).sva).cse(0)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(1)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(2)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(3)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(4)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(5)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(6)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(7)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(8)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(9)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(10)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(11)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(12)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(13)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(14)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(15)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(16)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(17)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(18)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(19)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(20)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(21)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(22)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(23)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(24)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(25)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(26)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(27)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(28)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(29)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(30)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(31)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(32)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(33)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(34)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(35)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(36)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(37)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(38)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(39)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(40)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(41)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(42)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(43)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(44)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(45)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(46)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(47)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(48)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(49)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(50)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(51)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(52)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(53)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(54)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(55)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(56)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(57)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(58)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(59)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(60)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(61)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(62)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(63)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(64)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(65)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(66)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(67)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(68)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(69)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(70)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(71)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(72)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(73)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(74)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(75)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(76)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(77)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(78)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(79)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(80)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(81)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(82)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(83)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(84)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(85)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(86)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(87)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(88)} -attr vt d
+load net {reg(regs.regs(0).sva).cse(89)} -attr vt d
+load netBundle {reg(regs.regs(0).sva).cse} 90 {reg(regs.regs(0).sva).cse(0)} {reg(regs.regs(0).sva).cse(1)} {reg(regs.regs(0).sva).cse(2)} {reg(regs.regs(0).sva).cse(3)} {reg(regs.regs(0).sva).cse(4)} {reg(regs.regs(0).sva).cse(5)} {reg(regs.regs(0).sva).cse(6)} {reg(regs.regs(0).sva).cse(7)} {reg(regs.regs(0).sva).cse(8)} {reg(regs.regs(0).sva).cse(9)} {reg(regs.regs(0).sva).cse(10)} {reg(regs.regs(0).sva).cse(11)} {reg(regs.regs(0).sva).cse(12)} {reg(regs.regs(0).sva).cse(13)} {reg(regs.regs(0).sva).cse(14)} {reg(regs.regs(0).sva).cse(15)} {reg(regs.regs(0).sva).cse(16)} {reg(regs.regs(0).sva).cse(17)} {reg(regs.regs(0).sva).cse(18)} {reg(regs.regs(0).sva).cse(19)} {reg(regs.regs(0).sva).cse(20)} {reg(regs.regs(0).sva).cse(21)} {reg(regs.regs(0).sva).cse(22)} {reg(regs.regs(0).sva).cse(23)} {reg(regs.regs(0).sva).cse(24)} {reg(regs.regs(0).sva).cse(25)} {reg(regs.regs(0).sva).cse(26)} {reg(regs.regs(0).sva).cse(27)} {reg(regs.regs(0).sva).cse(28)} {reg(regs.regs(0).sva).cse(29)} {reg(regs.regs(0).sva).cse(30)} {reg(regs.regs(0).sva).cse(31)} {reg(regs.regs(0).sva).cse(32)} {reg(regs.regs(0).sva).cse(33)} {reg(regs.regs(0).sva).cse(34)} {reg(regs.regs(0).sva).cse(35)} {reg(regs.regs(0).sva).cse(36)} {reg(regs.regs(0).sva).cse(37)} {reg(regs.regs(0).sva).cse(38)} {reg(regs.regs(0).sva).cse(39)} {reg(regs.regs(0).sva).cse(40)} {reg(regs.regs(0).sva).cse(41)} {reg(regs.regs(0).sva).cse(42)} {reg(regs.regs(0).sva).cse(43)} {reg(regs.regs(0).sva).cse(44)} {reg(regs.regs(0).sva).cse(45)} {reg(regs.regs(0).sva).cse(46)} {reg(regs.regs(0).sva).cse(47)} {reg(regs.regs(0).sva).cse(48)} {reg(regs.regs(0).sva).cse(49)} {reg(regs.regs(0).sva).cse(50)} {reg(regs.regs(0).sva).cse(51)} {reg(regs.regs(0).sva).cse(52)} {reg(regs.regs(0).sva).cse(53)} {reg(regs.regs(0).sva).cse(54)} {reg(regs.regs(0).sva).cse(55)} {reg(regs.regs(0).sva).cse(56)} {reg(regs.regs(0).sva).cse(57)} {reg(regs.regs(0).sva).cse(58)} {reg(regs.regs(0).sva).cse(59)} {reg(regs.regs(0).sva).cse(60)} {reg(regs.regs(0).sva).cse(61)} {reg(regs.regs(0).sva).cse(62)} {reg(regs.regs(0).sva).cse(63)} {reg(regs.regs(0).sva).cse(64)} {reg(regs.regs(0).sva).cse(65)} {reg(regs.regs(0).sva).cse(66)} {reg(regs.regs(0).sva).cse(67)} {reg(regs.regs(0).sva).cse(68)} {reg(regs.regs(0).sva).cse(69)} {reg(regs.regs(0).sva).cse(70)} {reg(regs.regs(0).sva).cse(71)} {reg(regs.regs(0).sva).cse(72)} {reg(regs.regs(0).sva).cse(73)} {reg(regs.regs(0).sva).cse(74)} {reg(regs.regs(0).sva).cse(75)} {reg(regs.regs(0).sva).cse(76)} {reg(regs.regs(0).sva).cse(77)} {reg(regs.regs(0).sva).cse(78)} {reg(regs.regs(0).sva).cse(79)} {reg(regs.regs(0).sva).cse(80)} {reg(regs.regs(0).sva).cse(81)} {reg(regs.regs(0).sva).cse(82)} {reg(regs.regs(0).sva).cse(83)} {reg(regs.regs(0).sva).cse(84)} {reg(regs.regs(0).sva).cse(85)} {reg(regs.regs(0).sva).cse(86)} {reg(regs.regs(0).sva).cse(87)} {reg(regs.regs(0).sva).cse(88)} {reg(regs.regs(0).sva).cse(89)} -attr xrf 62832 -attr oid 22 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {FRAME:acc#2.psp.sva(0)} -attr vt d
+load net {FRAME:acc#2.psp.sva(1)} -attr vt d
+load net {FRAME:acc#2.psp.sva(2)} -attr vt d
+load net {FRAME:acc#2.psp.sva(3)} -attr vt d
+load net {FRAME:acc#2.psp.sva(4)} -attr vt d
+load net {FRAME:acc#2.psp.sva(5)} -attr vt d
+load net {FRAME:acc#2.psp.sva(6)} -attr vt d
+load net {FRAME:acc#2.psp.sva(7)} -attr vt d
+load net {FRAME:acc#2.psp.sva(8)} -attr vt d
+load net {FRAME:acc#2.psp.sva(9)} -attr vt d
+load net {FRAME:acc#2.psp.sva(10)} -attr vt d
+load net {FRAME:acc#2.psp.sva(11)} -attr vt d
+load netBundle {FRAME:acc#2.psp.sva} 12 {FRAME:acc#2.psp.sva(0)} {FRAME:acc#2.psp.sva(1)} {FRAME:acc#2.psp.sva(2)} {FRAME:acc#2.psp.sva(3)} {FRAME:acc#2.psp.sva(4)} {FRAME:acc#2.psp.sva(5)} {FRAME:acc#2.psp.sva(6)} {FRAME:acc#2.psp.sva(7)} {FRAME:acc#2.psp.sva(8)} {FRAME:acc#2.psp.sva(9)} {FRAME:acc#2.psp.sva(10)} {FRAME:acc#2.psp.sva(11)} -attr xrf 62833 -attr oid 23 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {ACC1:slc.psp.sva(0)} -attr vt d
+load net {ACC1:slc.psp.sva(1)} -attr vt d
+load net {ACC1:slc.psp.sva(2)} -attr vt d
+load net {ACC1:slc.psp.sva(3)} -attr vt d
+load net {ACC1:slc.psp.sva(4)} -attr vt d
+load net {ACC1:slc.psp.sva(5)} -attr vt d
+load net {ACC1:slc.psp.sva(6)} -attr vt d
+load net {ACC1:slc.psp.sva(7)} -attr vt d
+load net {ACC1:slc.psp.sva(8)} -attr vt d
+load net {ACC1:slc.psp.sva(9)} -attr vt d
+load net {ACC1:slc.psp.sva(10)} -attr vt d
+load net {ACC1:slc.psp.sva(11)} -attr vt d
+load net {ACC1:slc.psp.sva(12)} -attr vt d
+load net {ACC1:slc.psp.sva(13)} -attr vt d
+load netBundle {ACC1:slc.psp.sva} 14 {ACC1:slc.psp.sva(0)} {ACC1:slc.psp.sva(1)} {ACC1:slc.psp.sva(2)} {ACC1:slc.psp.sva(3)} {ACC1:slc.psp.sva(4)} {ACC1:slc.psp.sva(5)} {ACC1:slc.psp.sva(6)} {ACC1:slc.psp.sva(7)} {ACC1:slc.psp.sva(8)} {ACC1:slc.psp.sva(9)} {ACC1:slc.psp.sva(10)} {ACC1:slc.psp.sva(11)} {ACC1:slc.psp.sva(12)} {ACC1:slc.psp.sva(13)} -attr xrf 62834 -attr oid 24 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc.psp.sva}
+load net {acc.imod#24.sva(0)} -attr vt d
+load net {acc.imod#24.sva(1)} -attr vt d
+load net {acc.imod#24.sva(2)} -attr vt d
+load net {acc.imod#24.sva(3)} -attr vt d
+load net {acc.imod#24.sva(4)} -attr vt d
+load net {acc.imod#24.sva(5)} -attr vt d
+load netBundle {acc.imod#24.sva} 6 {acc.imod#24.sva(0)} {acc.imod#24.sva(1)} {acc.imod#24.sva(2)} {acc.imod#24.sva(3)} {acc.imod#24.sva(4)} {acc.imod#24.sva(5)} -attr xrf 62835 -attr oid 25 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load net {ACC1:acc#228.psp.sva(0)} -attr vt d
+load net {ACC1:acc#228.psp.sva(1)} -attr vt d
+load net {ACC1:acc#228.psp.sva(2)} -attr vt d
+load net {ACC1:acc#228.psp.sva(3)} -attr vt d
+load net {ACC1:acc#228.psp.sva(4)} -attr vt d
+load net {ACC1:acc#228.psp.sva(5)} -attr vt d
+load net {ACC1:acc#228.psp.sva(6)} -attr vt d
+load net {ACC1:acc#228.psp.sva(7)} -attr vt d
+load net {ACC1:acc#228.psp.sva(8)} -attr vt d
+load net {ACC1:acc#228.psp.sva(9)} -attr vt d
+load net {ACC1:acc#228.psp.sva(10)} -attr vt d
+load net {ACC1:acc#228.psp.sva(11)} -attr vt d
+load netBundle {ACC1:acc#228.psp.sva} 12 {ACC1:acc#228.psp.sva(0)} {ACC1:acc#228.psp.sva(1)} {ACC1:acc#228.psp.sva(2)} {ACC1:acc#228.psp.sva(3)} {ACC1:acc#228.psp.sva(4)} {ACC1:acc#228.psp.sva(5)} {ACC1:acc#228.psp.sva(6)} {ACC1:acc#228.psp.sva(7)} {ACC1:acc#228.psp.sva(8)} {ACC1:acc#228.psp.sva(9)} {ACC1:acc#228.psp.sva(10)} {ACC1:acc#228.psp.sva(11)} -attr xrf 62836 -attr oid 26 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#509.cse(0)} -attr vt d
+load net {ACC1:acc#509.cse(1)} -attr vt d
+load net {ACC1:acc#509.cse(2)} -attr vt d
+load netBundle {ACC1:acc#509.cse} 3 {ACC1:acc#509.cse(0)} {ACC1:acc#509.cse(1)} {ACC1:acc#509.cse(2)} -attr xrf 62837 -attr oid 27 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#506.cse(0)} -attr vt d
+load net {ACC1:acc#506.cse(1)} -attr vt d
+load net {ACC1:acc#506.cse(2)} -attr vt d
+load netBundle {ACC1:acc#506.cse} 3 {ACC1:acc#506.cse(0)} {ACC1:acc#506.cse(1)} {ACC1:acc#506.cse(2)} -attr xrf 62838 -attr oid 28 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#562.ncse(0)} -attr vt d
+load net {ACC1:acc#562.ncse(1)} -attr vt d
+load net {ACC1:acc#562.ncse(2)} -attr vt d
+load net {ACC1:acc#562.ncse(3)} -attr vt d
+load netBundle {ACC1:acc#562.ncse} 4 {ACC1:acc#562.ncse(0)} {ACC1:acc#562.ncse(1)} {ACC1:acc#562.ncse(2)} {ACC1:acc#562.ncse(3)} -attr xrf 62839 -attr oid 29 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#502.cse(0)} -attr vt d
+load net {ACC1:acc#502.cse(1)} -attr vt d
+load net {ACC1:acc#502.cse(2)} -attr vt d
+load netBundle {ACC1:acc#502.cse} 3 {ACC1:acc#502.cse(0)} {ACC1:acc#502.cse(1)} {ACC1:acc#502.cse(2)} -attr xrf 62840 -attr oid 30 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#489.cse(0)} -attr vt d
+load net {ACC1:acc#489.cse(1)} -attr vt d
+load net {ACC1:acc#489.cse(2)} -attr vt d
+load netBundle {ACC1:acc#489.cse} 3 {ACC1:acc#489.cse(0)} {ACC1:acc#489.cse(1)} {ACC1:acc#489.cse(2)} -attr xrf 62841 -attr oid 31 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#226.psp.sva(0)} -attr vt d
+load net {ACC1:acc#226.psp.sva(1)} -attr vt d
+load net {ACC1:acc#226.psp.sva(2)} -attr vt d
+load net {ACC1:acc#226.psp.sva(3)} -attr vt d
+load net {ACC1:acc#226.psp.sva(4)} -attr vt d
+load net {ACC1:acc#226.psp.sva(5)} -attr vt d
+load net {ACC1:acc#226.psp.sva(6)} -attr vt d
+load net {ACC1:acc#226.psp.sva(7)} -attr vt d
+load net {ACC1:acc#226.psp.sva(8)} -attr vt d
+load net {ACC1:acc#226.psp.sva(9)} -attr vt d
+load net {ACC1:acc#226.psp.sva(10)} -attr vt d
+load net {ACC1:acc#226.psp.sva(11)} -attr vt d
+load netBundle {ACC1:acc#226.psp.sva} 12 {ACC1:acc#226.psp.sva(0)} {ACC1:acc#226.psp.sva(1)} {ACC1:acc#226.psp.sva(2)} {ACC1:acc#226.psp.sva(3)} {ACC1:acc#226.psp.sva(4)} {ACC1:acc#226.psp.sva(5)} {ACC1:acc#226.psp.sva(6)} {ACC1:acc#226.psp.sva(7)} {ACC1:acc#226.psp.sva(8)} {ACC1:acc#226.psp.sva(9)} {ACC1:acc#226.psp.sva(10)} {ACC1:acc#226.psp.sva(11)} -attr xrf 62842 -attr oid 32 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#553.ncse(0)} -attr vt d
+load net {ACC1:acc#553.ncse(1)} -attr vt d
+load net {ACC1:acc#553.ncse(2)} -attr vt d
+load net {ACC1:acc#553.ncse(3)} -attr vt d
+load netBundle {ACC1:acc#553.ncse} 4 {ACC1:acc#553.ncse(0)} {ACC1:acc#553.ncse(1)} {ACC1:acc#553.ncse(2)} {ACC1:acc#553.ncse(3)} -attr xrf 62843 -attr oid 33 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#224.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(2)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(3)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(4)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(5)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(6)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(7)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(8)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(9)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(10)} -attr vt d
+load net {ACC1:acc#224.psp#1.sva(11)} -attr vt d
+load netBundle {ACC1:acc#224.psp#1.sva} 12 {ACC1:acc#224.psp#1.sva(0)} {ACC1:acc#224.psp#1.sva(1)} {ACC1:acc#224.psp#1.sva(2)} {ACC1:acc#224.psp#1.sva(3)} {ACC1:acc#224.psp#1.sva(4)} {ACC1:acc#224.psp#1.sva(5)} {ACC1:acc#224.psp#1.sva(6)} {ACC1:acc#224.psp#1.sva(7)} {ACC1:acc#224.psp#1.sva(8)} {ACC1:acc#224.psp#1.sva(9)} {ACC1:acc#224.psp#1.sva(10)} {ACC1:acc#224.psp#1.sva(11)} -attr xrf 62844 -attr oid 34 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp.sva(0)} -attr vt d
+load net {ACC1:acc#224.psp.sva(1)} -attr vt d
+load net {ACC1:acc#224.psp.sva(2)} -attr vt d
+load net {ACC1:acc#224.psp.sva(3)} -attr vt d
+load net {ACC1:acc#224.psp.sva(4)} -attr vt d
+load net {ACC1:acc#224.psp.sva(5)} -attr vt d
+load net {ACC1:acc#224.psp.sva(6)} -attr vt d
+load net {ACC1:acc#224.psp.sva(7)} -attr vt d
+load net {ACC1:acc#224.psp.sva(8)} -attr vt d
+load net {ACC1:acc#224.psp.sva(9)} -attr vt d
+load net {ACC1:acc#224.psp.sva(10)} -attr vt d
+load net {ACC1:acc#224.psp.sva(11)} -attr vt d
+load netBundle {ACC1:acc#224.psp.sva} 12 {ACC1:acc#224.psp.sva(0)} {ACC1:acc#224.psp.sva(1)} {ACC1:acc#224.psp.sva(2)} {ACC1:acc#224.psp.sva(3)} {ACC1:acc#224.psp.sva(4)} {ACC1:acc#224.psp.sva(5)} {ACC1:acc#224.psp.sva(6)} {ACC1:acc#224.psp.sva(7)} {ACC1:acc#224.psp.sva(8)} {ACC1:acc#224.psp.sva(9)} {ACC1:acc#224.psp.sva(10)} {ACC1:acc#224.psp.sva(11)} -attr xrf 62845 -attr oid 35 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#516.cse(0)} -attr vt d
+load net {ACC1:acc#516.cse(1)} -attr vt d
+load net {ACC1:acc#516.cse(2)} -attr vt d
+load netBundle {ACC1:acc#516.cse} 3 {ACC1:acc#516.cse(0)} {ACC1:acc#516.cse(1)} {ACC1:acc#516.cse(2)} -attr xrf 62846 -attr oid 36 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#221.psp.sva(0)} -attr vt d
+load net {ACC1:acc#221.psp.sva(1)} -attr vt d
+load net {ACC1:acc#221.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#221.psp.sva} 3 {ACC1:acc#221.psp.sva(0)} {ACC1:acc#221.psp.sva(1)} {ACC1:acc#221.psp.sva(2)} -attr xrf 62847 -attr oid 37 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp.sva}
+load net {ACC1:acc#221.psp#2.sva(0)} -attr vt d
+load net {ACC1:acc#221.psp#2.sva(1)} -attr vt d
+load net {ACC1:acc#221.psp#2.sva(2)} -attr vt d
+load netBundle {ACC1:acc#221.psp#2.sva} 3 {ACC1:acc#221.psp#2.sva(0)} {ACC1:acc#221.psp#2.sva(1)} {ACC1:acc#221.psp#2.sva(2)} -attr xrf 62848 -attr oid 38 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp#2.sva}
+load net {ACC1:acc#219.psp#2.sva(0)} -attr vt d
+load net {ACC1:acc#219.psp#2.sva(1)} -attr vt d
+load net {ACC1:acc#219.psp#2.sva(2)} -attr vt d
+load netBundle {ACC1:acc#219.psp#2.sva} 3 {ACC1:acc#219.psp#2.sva(0)} {ACC1:acc#219.psp#2.sva(1)} {ACC1:acc#219.psp#2.sva(2)} -attr xrf 62849 -attr oid 39 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#2.sva}
+load net {ACC1:acc#222.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#222.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#222.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#222.psp#1.sva} 3 {ACC1:acc#222.psp#1.sva(0)} {ACC1:acc#222.psp#1.sva(1)} {ACC1:acc#222.psp#1.sva(2)} -attr xrf 62850 -attr oid 40 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp#1.sva}
+load net {ACC1:acc#219.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#219.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#219.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#219.psp#1.sva} 3 {ACC1:acc#219.psp#1.sva(0)} {ACC1:acc#219.psp#1.sva(1)} {ACC1:acc#219.psp#1.sva(2)} -attr xrf 62851 -attr oid 41 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#1.sva}
+load net {ACC1:acc#724.cse(0)} -attr vt d
+load net {ACC1:acc#724.cse(1)} -attr vt d
+load net {ACC1:acc#724.cse(2)} -attr vt d
+load netBundle {ACC1:acc#724.cse} 3 {ACC1:acc#724.cse(0)} {ACC1:acc#724.cse(1)} {ACC1:acc#724.cse(2)} -attr xrf 62852 -attr oid 42 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#724.cse}
+load net {ACC1:mul#57.itm(0)} -attr vt d
+load net {ACC1:mul#57.itm(1)} -attr vt d
+load net {ACC1:mul#57.itm(2)} -attr vt d
+load net {ACC1:mul#57.itm(3)} -attr vt d
+load net {ACC1:mul#57.itm(4)} -attr vt d
+load net {ACC1:mul#57.itm(5)} -attr vt d
+load net {ACC1:mul#57.itm(6)} -attr vt d
+load net {ACC1:mul#57.itm(7)} -attr vt d
+load net {ACC1:mul#57.itm(8)} -attr vt d
+load net {ACC1:mul#57.itm(9)} -attr vt d
+load net {ACC1:mul#57.itm(10)} -attr vt d
+load net {ACC1:mul#57.itm(11)} -attr vt d
+load net {ACC1:mul#57.itm(12)} -attr vt d
+load net {ACC1:mul#57.itm(13)} -attr vt d
+load netBundle {ACC1:mul#57.itm} 14 {ACC1:mul#57.itm(0)} {ACC1:mul#57.itm(1)} {ACC1:mul#57.itm(2)} {ACC1:mul#57.itm(3)} {ACC1:mul#57.itm(4)} {ACC1:mul#57.itm(5)} {ACC1:mul#57.itm(6)} {ACC1:mul#57.itm(7)} {ACC1:mul#57.itm(8)} {ACC1:mul#57.itm(9)} {ACC1:mul#57.itm(10)} {ACC1:mul#57.itm(11)} {ACC1:mul#57.itm(12)} {ACC1:mul#57.itm(13)} -attr xrf 62853 -attr oid 43 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:acc#223.psp.sva(0)} -attr vt d
+load net {ACC1:acc#223.psp.sva(1)} -attr vt d
+load net {ACC1:acc#223.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#223.psp.sva} 3 {ACC1:acc#223.psp.sva(0)} {ACC1:acc#223.psp.sva(1)} {ACC1:acc#223.psp.sva(2)} -attr xrf 62854 -attr oid 44 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp.sva}
+load net {ACC1:acc#220.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#220.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#220.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#220.psp#1.sva} 3 {ACC1:acc#220.psp#1.sva(0)} {ACC1:acc#220.psp#1.sva(1)} {ACC1:acc#220.psp#1.sva(2)} -attr xrf 62855 -attr oid 45 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.psp#1.sva}
+load net {ACC1:acc#220.psp.sva(0)} -attr vt d
+load net {ACC1:acc#220.psp.sva(1)} -attr vt d
+load net {ACC1:acc#220.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#220.psp.sva} 3 {ACC1:acc#220.psp.sva(0)} {ACC1:acc#220.psp.sva(1)} {ACC1:acc#220.psp.sva(2)} -attr xrf 62856 -attr oid 46 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.psp.sva}
+load net {ACC1:acc#222.psp.sva(0)} -attr vt d
+load net {ACC1:acc#222.psp.sva(1)} -attr vt d
+load net {ACC1:acc#222.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#222.psp.sva} 3 {ACC1:acc#222.psp.sva(0)} {ACC1:acc#222.psp.sva(1)} {ACC1:acc#222.psp.sva(2)} -attr xrf 62857 -attr oid 47 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp.sva}
+load net {ACC1:acc#673.cse(0)} -attr vt d
+load net {ACC1:acc#673.cse(1)} -attr vt d
+load net {ACC1:acc#673.cse(2)} -attr vt d
+load netBundle {ACC1:acc#673.cse} 3 {ACC1:acc#673.cse(0)} {ACC1:acc#673.cse(1)} {ACC1:acc#673.cse(2)} -attr xrf 62858 -attr oid 48 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#223.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#223.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#223.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#223.psp#1.sva} 3 {ACC1:acc#223.psp#1.sva(0)} {ACC1:acc#223.psp#1.sva(1)} {ACC1:acc#223.psp#1.sva(2)} -attr xrf 62859 -attr oid 49 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp#1.sva}
+load net {ACC1:acc#699.cse(0)} -attr vt d
+load net {ACC1:acc#699.cse(1)} -attr vt d
+load net {ACC1:acc#699.cse(2)} -attr vt d
+load netBundle {ACC1:acc#699.cse} 3 {ACC1:acc#699.cse(0)} {ACC1:acc#699.cse(1)} {ACC1:acc#699.cse(2)} -attr xrf 62860 -attr oid 50 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {mux.itm(0)} -attr vt d
+load net {mux.itm(1)} -attr vt d
+load net {mux.itm(2)} -attr vt d
+load net {mux.itm(3)} -attr vt d
+load net {mux.itm(4)} -attr vt d
+load net {mux.itm(5)} -attr vt d
+load net {mux.itm(6)} -attr vt d
+load net {mux.itm(7)} -attr vt d
+load net {mux.itm(8)} -attr vt d
+load net {mux.itm(9)} -attr vt d
+load net {mux.itm(10)} -attr vt d
+load net {mux.itm(11)} -attr vt d
+load net {mux.itm(12)} -attr vt d
+load net {mux.itm(13)} -attr vt d
+load net {mux.itm(14)} -attr vt d
+load net {mux.itm(15)} -attr vt d
+load net {mux.itm(16)} -attr vt d
+load net {mux.itm(17)} -attr vt d
+load net {mux.itm(18)} -attr vt d
+load net {mux.itm(19)} -attr vt d
+load net {mux.itm(20)} -attr vt d
+load net {mux.itm(21)} -attr vt d
+load net {mux.itm(22)} -attr vt d
+load net {mux.itm(23)} -attr vt d
+load net {mux.itm(24)} -attr vt d
+load net {mux.itm(25)} -attr vt d
+load net {mux.itm(26)} -attr vt d
+load net {mux.itm(27)} -attr vt d
+load net {mux.itm(28)} -attr vt d
+load net {mux.itm(29)} -attr vt d
+load netBundle {mux.itm} 30 {mux.itm(0)} {mux.itm(1)} {mux.itm(2)} {mux.itm(3)} {mux.itm(4)} {mux.itm(5)} {mux.itm(6)} {mux.itm(7)} {mux.itm(8)} {mux.itm(9)} {mux.itm(10)} {mux.itm(11)} {mux.itm(12)} {mux.itm(13)} {mux.itm(14)} {mux.itm(15)} {mux.itm(16)} {mux.itm(17)} {mux.itm(18)} {mux.itm(19)} {mux.itm(20)} {mux.itm(21)} {mux.itm(22)} {mux.itm(23)} {mux.itm(24)} {mux.itm(25)} {mux.itm(26)} {mux.itm(27)} {mux.itm(28)} {mux.itm(29)} -attr xrf 62861 -attr oid 51 -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {FRAME:conc#11.itm(0)} -attr vt d
+load net {FRAME:conc#11.itm(1)} -attr vt d
+load net {FRAME:conc#11.itm(2)} -attr vt d
+load net {FRAME:conc#11.itm(3)} -attr vt d
+load net {FRAME:conc#11.itm(4)} -attr vt d
+load net {FRAME:conc#11.itm(5)} -attr vt d
+load net {FRAME:conc#11.itm(6)} -attr vt d
+load net {FRAME:conc#11.itm(7)} -attr vt d
+load net {FRAME:conc#11.itm(8)} -attr vt d
+load net {FRAME:conc#11.itm(9)} -attr vt d
+load net {FRAME:conc#11.itm(10)} -attr vt d
+load net {FRAME:conc#11.itm(11)} -attr vt d
+load net {FRAME:conc#11.itm(12)} -attr vt d
+load net {FRAME:conc#11.itm(13)} -attr vt d
+load net {FRAME:conc#11.itm(14)} -attr vt d
+load net {FRAME:conc#11.itm(15)} -attr vt d
+load net {FRAME:conc#11.itm(16)} -attr vt d
+load net {FRAME:conc#11.itm(17)} -attr vt d
+load net {FRAME:conc#11.itm(18)} -attr vt d
+load net {FRAME:conc#11.itm(19)} -attr vt d
+load net {FRAME:conc#11.itm(20)} -attr vt d
+load net {FRAME:conc#11.itm(21)} -attr vt d
+load net {FRAME:conc#11.itm(22)} -attr vt d
+load net {FRAME:conc#11.itm(23)} -attr vt d
+load net {FRAME:conc#11.itm(24)} -attr vt d
+load net {FRAME:conc#11.itm(25)} -attr vt d
+load net {FRAME:conc#11.itm(26)} -attr vt d
+load net {FRAME:conc#11.itm(27)} -attr vt d
+load net {FRAME:conc#11.itm(28)} -attr vt d
+load net {FRAME:conc#11.itm(29)} -attr vt d
+load netBundle {FRAME:conc#11.itm} 30 {FRAME:conc#11.itm(0)} {FRAME:conc#11.itm(1)} {FRAME:conc#11.itm(2)} {FRAME:conc#11.itm(3)} {FRAME:conc#11.itm(4)} {FRAME:conc#11.itm(5)} {FRAME:conc#11.itm(6)} {FRAME:conc#11.itm(7)} {FRAME:conc#11.itm(8)} {FRAME:conc#11.itm(9)} {FRAME:conc#11.itm(10)} {FRAME:conc#11.itm(11)} {FRAME:conc#11.itm(12)} {FRAME:conc#11.itm(13)} {FRAME:conc#11.itm(14)} {FRAME:conc#11.itm(15)} {FRAME:conc#11.itm(16)} {FRAME:conc#11.itm(17)} {FRAME:conc#11.itm(18)} {FRAME:conc#11.itm(19)} {FRAME:conc#11.itm(20)} {FRAME:conc#11.itm(21)} {FRAME:conc#11.itm(22)} {FRAME:conc#11.itm(23)} {FRAME:conc#11.itm(24)} {FRAME:conc#11.itm(25)} {FRAME:conc#11.itm(26)} {FRAME:conc#11.itm(27)} {FRAME:conc#11.itm(28)} {FRAME:conc#11.itm(29)} -attr xrf 62862 -attr oid 52 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(0)} -attr vt d
+load net {FRAME:or.itm(1)} -attr vt d
+load net {FRAME:or.itm(2)} -attr vt d
+load net {FRAME:or.itm(3)} -attr vt d
+load net {FRAME:or.itm(4)} -attr vt d
+load net {FRAME:or.itm(5)} -attr vt d
+load net {FRAME:or.itm(6)} -attr vt d
+load net {FRAME:or.itm(7)} -attr vt d
+load net {FRAME:or.itm(8)} -attr vt d
+load net {FRAME:or.itm(9)} -attr vt d
+load netBundle {FRAME:or.itm} 10 {FRAME:or.itm(0)} {FRAME:or.itm(1)} {FRAME:or.itm(2)} {FRAME:or.itm(3)} {FRAME:or.itm(4)} {FRAME:or.itm(5)} {FRAME:or.itm(6)} {FRAME:or.itm(7)} {FRAME:or.itm(8)} {FRAME:or.itm(9)} -attr xrf 62863 -attr oid 53 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(3)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(4)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(5)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(6)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(7)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(8)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(9)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#4.itm} 10 {slc(FRAME:acc#2.psp.sva)#4.itm(0)} {slc(FRAME:acc#2.psp.sva)#4.itm(1)} {slc(FRAME:acc#2.psp.sva)#4.itm(2)} {slc(FRAME:acc#2.psp.sva)#4.itm(3)} {slc(FRAME:acc#2.psp.sva)#4.itm(4)} {slc(FRAME:acc#2.psp.sva)#4.itm(5)} {slc(FRAME:acc#2.psp.sva)#4.itm(6)} {slc(FRAME:acc#2.psp.sva)#4.itm(7)} {slc(FRAME:acc#2.psp.sva)#4.itm(8)} {slc(FRAME:acc#2.psp.sva)#4.itm(9)} -attr xrf 62864 -attr oid 54 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {conc#878.itm(0)} -attr vt d
+load net {conc#878.itm(1)} -attr vt d
+load net {conc#878.itm(2)} -attr vt d
+load net {conc#878.itm(3)} -attr vt d
+load net {conc#878.itm(4)} -attr vt d
+load net {conc#878.itm(5)} -attr vt d
+load net {conc#878.itm(6)} -attr vt d
+load net {conc#878.itm(7)} -attr vt d
+load net {conc#878.itm(8)} -attr vt d
+load net {conc#878.itm(9)} -attr vt d
+load netBundle {conc#878.itm} 10 {conc#878.itm(0)} {conc#878.itm(1)} {conc#878.itm(2)} {conc#878.itm(3)} {conc#878.itm(4)} {conc#878.itm(5)} {conc#878.itm(6)} {conc#878.itm(7)} {conc#878.itm(8)} {conc#878.itm(9)} -attr xrf 62865 -attr oid 55 -attr vt d -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {slc(FRAME:acc#2.psp.sva)#5.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#5.itm(1)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#5.itm} 2 {slc(FRAME:acc#2.psp.sva)#5.itm(0)} {slc(FRAME:acc#2.psp.sva)#5.itm(1)} -attr xrf 62866 -attr oid 56 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#5.itm}
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(3)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#2.itm} 4 {slc(FRAME:acc#2.psp.sva)#2.itm(0)} {slc(FRAME:acc#2.psp.sva)#2.itm(1)} {slc(FRAME:acc#2.psp.sva)#2.itm(2)} {slc(FRAME:acc#2.psp.sva)#2.itm(3)} -attr xrf 62867 -attr oid 57 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#2.itm}
+load net {FRAME:or#3.itm(0)} -attr vt d
+load net {FRAME:or#3.itm(1)} -attr vt d
+load net {FRAME:or#3.itm(2)} -attr vt d
+load net {FRAME:or#3.itm(3)} -attr vt d
+load net {FRAME:or#3.itm(4)} -attr vt d
+load net {FRAME:or#3.itm(5)} -attr vt d
+load netBundle {FRAME:or#3.itm} 6 {FRAME:or#3.itm(0)} {FRAME:or#3.itm(1)} {FRAME:or#3.itm(2)} {FRAME:or#3.itm(3)} {FRAME:or#3.itm(4)} {FRAME:or#3.itm(5)} -attr xrf 62868 -attr oid 58 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(3)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(4)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(5)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#3.itm} 6 {slc(FRAME:acc#2.psp.sva)#3.itm(0)} {slc(FRAME:acc#2.psp.sva)#3.itm(1)} {slc(FRAME:acc#2.psp.sva)#3.itm(2)} {slc(FRAME:acc#2.psp.sva)#3.itm(3)} {slc(FRAME:acc#2.psp.sva)#3.itm(4)} {slc(FRAME:acc#2.psp.sva)#3.itm(5)} -attr xrf 62869 -attr oid 59 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {conc#879.itm(0)} -attr vt d
+load net {conc#879.itm(1)} -attr vt d
+load net {conc#879.itm(2)} -attr vt d
+load net {conc#879.itm(3)} -attr vt d
+load net {conc#879.itm(4)} -attr vt d
+load net {conc#879.itm(5)} -attr vt d
+load netBundle {conc#879.itm} 6 {conc#879.itm(0)} {conc#879.itm(1)} {conc#879.itm(2)} {conc#879.itm(3)} {conc#879.itm(4)} {conc#879.itm(5)} -attr xrf 62870 -attr oid 60 -attr vt d -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {slc(FRAME:acc#2.psp.sva)#1.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#1.itm(1)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#1.itm} 2 {slc(FRAME:acc#2.psp.sva)#1.itm(0)} {slc(FRAME:acc#2.psp.sva)#1.itm(1)} -attr xrf 62871 -attr oid 61 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#1.itm}
+load net {slc(FRAME:acc#2.psp.sva).itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(3)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(4)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(5)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(6)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(7)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(8)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(9)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva).itm} 10 {slc(FRAME:acc#2.psp.sva).itm(0)} {slc(FRAME:acc#2.psp.sva).itm(1)} {slc(FRAME:acc#2.psp.sva).itm(2)} {slc(FRAME:acc#2.psp.sva).itm(3)} {slc(FRAME:acc#2.psp.sva).itm(4)} {slc(FRAME:acc#2.psp.sva).itm(5)} {slc(FRAME:acc#2.psp.sva).itm(6)} {slc(FRAME:acc#2.psp.sva).itm(7)} {slc(FRAME:acc#2.psp.sva).itm(8)} {slc(FRAME:acc#2.psp.sva).itm(9)} -attr xrf 62872 -attr oid 62 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva).itm}
+load net {ACC1:acc#659.itm(0)} -attr vt d
+load net {ACC1:acc#659.itm(1)} -attr vt d
+load net {ACC1:acc#659.itm(2)} -attr vt d
+load net {ACC1:acc#659.itm(3)} -attr vt d
+load net {ACC1:acc#659.itm(4)} -attr vt d
+load net {ACC1:acc#659.itm(5)} -attr vt d
+load net {ACC1:acc#659.itm(6)} -attr vt d
+load net {ACC1:acc#659.itm(7)} -attr vt d
+load net {ACC1:acc#659.itm(8)} -attr vt d
+load net {ACC1:acc#659.itm(9)} -attr vt d
+load net {ACC1:acc#659.itm(10)} -attr vt d
+load net {ACC1:acc#659.itm(11)} -attr vt d
+load net {ACC1:acc#659.itm(12)} -attr vt d
+load netBundle {ACC1:acc#659.itm} 13 {ACC1:acc#659.itm(0)} {ACC1:acc#659.itm(1)} {ACC1:acc#659.itm(2)} {ACC1:acc#659.itm(3)} {ACC1:acc#659.itm(4)} {ACC1:acc#659.itm(5)} {ACC1:acc#659.itm(6)} {ACC1:acc#659.itm(7)} {ACC1:acc#659.itm(8)} {ACC1:acc#659.itm(9)} {ACC1:acc#659.itm(10)} {ACC1:acc#659.itm(11)} {ACC1:acc#659.itm(12)} -attr xrf 62873 -attr oid 63 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#654.itm(0)} -attr vt d
+load net {ACC1:acc#654.itm(1)} -attr vt d
+load net {ACC1:acc#654.itm(2)} -attr vt d
+load net {ACC1:acc#654.itm(3)} -attr vt d
+load net {ACC1:acc#654.itm(4)} -attr vt d
+load net {ACC1:acc#654.itm(5)} -attr vt d
+load net {ACC1:acc#654.itm(6)} -attr vt d
+load net {ACC1:acc#654.itm(7)} -attr vt d
+load net {ACC1:acc#654.itm(8)} -attr vt d
+load net {ACC1:acc#654.itm(9)} -attr vt d
+load net {ACC1:acc#654.itm(10)} -attr vt d
+load net {ACC1:acc#654.itm(11)} -attr vt d
+load netBundle {ACC1:acc#654.itm} 12 {ACC1:acc#654.itm(0)} {ACC1:acc#654.itm(1)} {ACC1:acc#654.itm(2)} {ACC1:acc#654.itm(3)} {ACC1:acc#654.itm(4)} {ACC1:acc#654.itm(5)} {ACC1:acc#654.itm(6)} {ACC1:acc#654.itm(7)} {ACC1:acc#654.itm(8)} {ACC1:acc#654.itm(9)} {ACC1:acc#654.itm(10)} {ACC1:acc#654.itm(11)} -attr xrf 62874 -attr oid 64 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {conc#880.itm(0)} -attr vt d
+load net {conc#880.itm(1)} -attr vt d
+load net {conc#880.itm(2)} -attr vt d
+load net {conc#880.itm(3)} -attr vt d
+load net {conc#880.itm(4)} -attr vt d
+load net {conc#880.itm(5)} -attr vt d
+load net {conc#880.itm(6)} -attr vt d
+load net {conc#880.itm(7)} -attr vt d
+load net {conc#880.itm(8)} -attr vt d
+load net {conc#880.itm(9)} -attr vt d
+load net {conc#880.itm(10)} -attr vt d
+load netBundle {conc#880.itm} 11 {conc#880.itm(0)} {conc#880.itm(1)} {conc#880.itm(2)} {conc#880.itm(3)} {conc#880.itm(4)} {conc#880.itm(5)} {conc#880.itm(6)} {conc#880.itm(7)} {conc#880.itm(8)} {conc#880.itm(9)} {conc#880.itm(10)} -attr xrf 62875 -attr oid 65 -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1:conc#1105.itm(0)} -attr vt d
+load net {ACC1:conc#1105.itm(1)} -attr vt d
+load net {ACC1:conc#1105.itm(2)} -attr vt d
+load net {ACC1:conc#1105.itm(3)} -attr vt d
+load net {ACC1:conc#1105.itm(4)} -attr vt d
+load net {ACC1:conc#1105.itm(5)} -attr vt d
+load net {ACC1:conc#1105.itm(6)} -attr vt d
+load net {ACC1:conc#1105.itm(7)} -attr vt d
+load net {ACC1:conc#1105.itm(8)} -attr vt d
+load net {ACC1:conc#1105.itm(9)} -attr vt d
+load net {ACC1:conc#1105.itm(10)} -attr vt d
+load netBundle {ACC1:conc#1105.itm} 11 {ACC1:conc#1105.itm(0)} {ACC1:conc#1105.itm(1)} {ACC1:conc#1105.itm(2)} {ACC1:conc#1105.itm(3)} {ACC1:conc#1105.itm(4)} {ACC1:conc#1105.itm(5)} {ACC1:conc#1105.itm(6)} {ACC1:conc#1105.itm(7)} {ACC1:conc#1105.itm(8)} {ACC1:conc#1105.itm(9)} {ACC1:conc#1105.itm(10)} -attr xrf 62876 -attr oid 66 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(0)} -attr vt d
+load net {ACC1:mul#58.itm(1)} -attr vt d
+load net {ACC1:mul#58.itm(2)} -attr vt d
+load net {ACC1:mul#58.itm(3)} -attr vt d
+load net {ACC1:mul#58.itm(4)} -attr vt d
+load net {ACC1:mul#58.itm(5)} -attr vt d
+load net {ACC1:mul#58.itm(6)} -attr vt d
+load net {ACC1:mul#58.itm(7)} -attr vt d
+load netBundle {ACC1:mul#58.itm} 8 {ACC1:mul#58.itm(0)} {ACC1:mul#58.itm(1)} {ACC1:mul#58.itm(2)} {ACC1:mul#58.itm(3)} {ACC1:mul#58.itm(4)} {ACC1:mul#58.itm(5)} {ACC1:mul#58.itm(6)} {ACC1:mul#58.itm(7)} -attr xrf 62877 -attr oid 67 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:acc#320.itm(0)} -attr vt d
+load net {ACC1:acc#320.itm(1)} -attr vt d
+load net {ACC1:acc#320.itm(2)} -attr vt d
+load net {ACC1:acc#320.itm(3)} -attr vt d
+load netBundle {ACC1:acc#320.itm} 4 {ACC1:acc#320.itm(0)} {ACC1:acc#320.itm(1)} {ACC1:acc#320.itm(2)} {ACC1:acc#320.itm(3)} -attr xrf 62878 -attr oid 68 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#321.itm(0)} -attr vt d
+load net {ACC1:acc#321.itm(1)} -attr vt d
+load net {ACC1:acc#321.itm(2)} -attr vt d
+load netBundle {ACC1:acc#321.itm} 3 {ACC1:acc#321.itm(0)} {ACC1:acc#321.itm(1)} {ACC1:acc#321.itm(2)} -attr xrf 62879 -attr oid 69 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#322.itm(0)} -attr vt d
+load net {ACC1:acc#322.itm(1)} -attr vt d
+load net {ACC1:acc#322.itm(2)} -attr vt d
+load netBundle {ACC1:acc#322.itm} 3 {ACC1:acc#322.itm(0)} {ACC1:acc#322.itm(1)} {ACC1:acc#322.itm(2)} -attr xrf 62880 -attr oid 70 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#323.itm(0)} -attr vt d
+load net {ACC1:acc#323.itm(1)} -attr vt d
+load net {ACC1:acc#323.itm(2)} -attr vt d
+load netBundle {ACC1:acc#323.itm} 3 {ACC1:acc#323.itm(0)} {ACC1:acc#323.itm(1)} {ACC1:acc#323.itm(2)} -attr xrf 62881 -attr oid 71 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#324.itm(0)} -attr vt d
+load net {ACC1:acc#324.itm(1)} -attr vt d
+load net {ACC1:acc#324.itm(2)} -attr vt d
+load netBundle {ACC1:acc#324.itm} 3 {ACC1:acc#324.itm(0)} {ACC1:acc#324.itm(1)} {ACC1:acc#324.itm(2)} -attr xrf 62882 -attr oid 72 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#325.itm(0)} -attr vt d
+load net {ACC1:acc#325.itm(1)} -attr vt d
+load netBundle {ACC1:acc#325.itm} 2 {ACC1:acc#325.itm(0)} {ACC1:acc#325.itm(1)} -attr xrf 62883 -attr oid 73 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#326.itm(0)} -attr vt d
+load net {ACC1:acc#326.itm(1)} -attr vt d
+load netBundle {ACC1:acc#326.itm} 2 {ACC1:acc#326.itm(0)} {ACC1:acc#326.itm(1)} -attr xrf 62884 -attr oid 74 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1-3:exs#1051.itm(0)} -attr vt d
+load net {ACC1-3:exs#1051.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1051.itm} 2 {ACC1-3:exs#1051.itm(0)} {ACC1-3:exs#1051.itm(1)} -attr xrf 62885 -attr oid 75 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1051.itm}
+load net {ACC1:acc#653.itm(0)} -attr vt d
+load net {ACC1:acc#653.itm(1)} -attr vt d
+load net {ACC1:acc#653.itm(2)} -attr vt d
+load net {ACC1:acc#653.itm(3)} -attr vt d
+load net {ACC1:acc#653.itm(4)} -attr vt d
+load net {ACC1:acc#653.itm(5)} -attr vt d
+load net {ACC1:acc#653.itm(6)} -attr vt d
+load net {ACC1:acc#653.itm(7)} -attr vt d
+load net {ACC1:acc#653.itm(8)} -attr vt d
+load net {ACC1:acc#653.itm(9)} -attr vt d
+load net {ACC1:acc#653.itm(10)} -attr vt d
+load net {ACC1:acc#653.itm(11)} -attr vt d
+load netBundle {ACC1:acc#653.itm} 12 {ACC1:acc#653.itm(0)} {ACC1:acc#653.itm(1)} {ACC1:acc#653.itm(2)} {ACC1:acc#653.itm(3)} {ACC1:acc#653.itm(4)} {ACC1:acc#653.itm(5)} {ACC1:acc#653.itm(6)} {ACC1:acc#653.itm(7)} {ACC1:acc#653.itm(8)} {ACC1:acc#653.itm(9)} {ACC1:acc#653.itm(10)} {ACC1:acc#653.itm(11)} -attr xrf 62886 -attr oid 76 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1-1:acc#2.itm(0)} -attr vt d
+load net {ACC1-1:acc#2.itm(1)} -attr vt d
+load net {ACC1-1:acc#2.itm(2)} -attr vt d
+load net {ACC1-1:acc#2.itm(3)} -attr vt d
+load net {ACC1-1:acc#2.itm(4)} -attr vt d
+load net {ACC1-1:acc#2.itm(5)} -attr vt d
+load net {ACC1-1:acc#2.itm(6)} -attr vt d
+load net {ACC1-1:acc#2.itm(7)} -attr vt d
+load net {ACC1-1:acc#2.itm(8)} -attr vt d
+load net {ACC1-1:acc#2.itm(9)} -attr vt d
+load net {ACC1-1:acc#2.itm(10)} -attr vt d
+load netBundle {ACC1-1:acc#2.itm} 11 {ACC1-1:acc#2.itm(0)} {ACC1-1:acc#2.itm(1)} {ACC1-1:acc#2.itm(2)} {ACC1-1:acc#2.itm(3)} {ACC1-1:acc#2.itm(4)} {ACC1-1:acc#2.itm(5)} {ACC1-1:acc#2.itm(6)} {ACC1-1:acc#2.itm(7)} {ACC1-1:acc#2.itm(8)} {ACC1-1:acc#2.itm(9)} {ACC1-1:acc#2.itm(10)} -attr xrf 62887 -attr oid 77 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1:acc#690.itm(0)} -attr vt d
+load net {ACC1:acc#690.itm(1)} -attr vt d
+load net {ACC1:acc#690.itm(2)} -attr vt d
+load net {ACC1:acc#690.itm(3)} -attr vt d
+load net {ACC1:acc#690.itm(4)} -attr vt d
+load net {ACC1:acc#690.itm(5)} -attr vt d
+load net {ACC1:acc#690.itm(6)} -attr vt d
+load net {ACC1:acc#690.itm(7)} -attr vt d
+load net {ACC1:acc#690.itm(8)} -attr vt d
+load net {ACC1:acc#690.itm(9)} -attr vt d
+load net {ACC1:acc#690.itm(10)} -attr vt d
+load netBundle {ACC1:acc#690.itm} 11 {ACC1:acc#690.itm(0)} {ACC1:acc#690.itm(1)} {ACC1:acc#690.itm(2)} {ACC1:acc#690.itm(3)} {ACC1:acc#690.itm(4)} {ACC1:acc#690.itm(5)} {ACC1:acc#690.itm(6)} {ACC1:acc#690.itm(7)} {ACC1:acc#690.itm(8)} {ACC1:acc#690.itm(9)} {ACC1:acc#690.itm(10)} -attr xrf 62888 -attr oid 78 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#688.itm(0)} -attr vt d
+load net {ACC1:acc#688.itm(1)} -attr vt d
+load net {ACC1:acc#688.itm(2)} -attr vt d
+load net {ACC1:acc#688.itm(3)} -attr vt d
+load net {ACC1:acc#688.itm(4)} -attr vt d
+load net {ACC1:acc#688.itm(5)} -attr vt d
+load net {ACC1:acc#688.itm(6)} -attr vt d
+load net {ACC1:acc#688.itm(7)} -attr vt d
+load net {ACC1:acc#688.itm(8)} -attr vt d
+load net {ACC1:acc#688.itm(9)} -attr vt d
+load netBundle {ACC1:acc#688.itm} 10 {ACC1:acc#688.itm(0)} {ACC1:acc#688.itm(1)} {ACC1:acc#688.itm(2)} {ACC1:acc#688.itm(3)} {ACC1:acc#688.itm(4)} {ACC1:acc#688.itm(5)} {ACC1:acc#688.itm(6)} {ACC1:acc#688.itm(7)} {ACC1:acc#688.itm(8)} {ACC1:acc#688.itm(9)} -attr xrf 62889 -attr oid 79 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {conc#881.itm(0)} -attr vt d
+load net {conc#881.itm(1)} -attr vt d
+load net {conc#881.itm(2)} -attr vt d
+load net {conc#881.itm(3)} -attr vt d
+load net {conc#881.itm(4)} -attr vt d
+load net {conc#881.itm(5)} -attr vt d
+load net {conc#881.itm(6)} -attr vt d
+load net {conc#881.itm(7)} -attr vt d
+load net {conc#881.itm(8)} -attr vt d
+load netBundle {conc#881.itm} 9 {conc#881.itm(0)} {conc#881.itm(1)} {conc#881.itm(2)} {conc#881.itm(3)} {conc#881.itm(4)} {conc#881.itm(5)} {conc#881.itm(6)} {conc#881.itm(7)} {conc#881.itm(8)} -attr xrf 62890 -attr oid 80 -attr vt d -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {ACC1:acc#686.itm(0)} -attr vt d
+load net {ACC1:acc#686.itm(1)} -attr vt d
+load net {ACC1:acc#686.itm(2)} -attr vt d
+load net {ACC1:acc#686.itm(3)} -attr vt d
+load net {ACC1:acc#686.itm(4)} -attr vt d
+load net {ACC1:acc#686.itm(5)} -attr vt d
+load net {ACC1:acc#686.itm(6)} -attr vt d
+load net {ACC1:acc#686.itm(7)} -attr vt d
+load netBundle {ACC1:acc#686.itm} 8 {ACC1:acc#686.itm(0)} {ACC1:acc#686.itm(1)} {ACC1:acc#686.itm(2)} {ACC1:acc#686.itm(3)} {ACC1:acc#686.itm(4)} {ACC1:acc#686.itm(5)} {ACC1:acc#686.itm(6)} {ACC1:acc#686.itm(7)} -attr xrf 62891 -attr oid 81 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {conc#882.itm(0)} -attr vt d
+load net {conc#882.itm(1)} -attr vt d
+load net {conc#882.itm(2)} -attr vt d
+load net {conc#882.itm(3)} -attr vt d
+load net {conc#882.itm(4)} -attr vt d
+load net {conc#882.itm(5)} -attr vt d
+load net {conc#882.itm(6)} -attr vt d
+load net {conc#882.itm(7)} -attr vt d
+load netBundle {conc#882.itm} 8 {conc#882.itm(0)} {conc#882.itm(1)} {conc#882.itm(2)} {conc#882.itm(3)} {conc#882.itm(4)} {conc#882.itm(5)} {conc#882.itm(6)} {conc#882.itm(7)} -attr xrf 62892 -attr oid 82 -attr vt d -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {ACC1-1:exs#1055.itm(0)} -attr vt d
+load net {ACC1-1:exs#1055.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1055.itm} 2 {ACC1-1:exs#1055.itm(0)} {ACC1-1:exs#1055.itm(1)} -attr xrf 62893 -attr oid 83 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1055.itm}
+load net {ACC1:acc#683.itm(0)} -attr vt d
+load net {ACC1:acc#683.itm(1)} -attr vt d
+load net {ACC1:acc#683.itm(2)} -attr vt d
+load net {ACC1:acc#683.itm(3)} -attr vt d
+load net {ACC1:acc#683.itm(4)} -attr vt d
+load net {ACC1:acc#683.itm(5)} -attr vt d
+load net {ACC1:acc#683.itm(6)} -attr vt d
+load netBundle {ACC1:acc#683.itm} 7 {ACC1:acc#683.itm(0)} {ACC1:acc#683.itm(1)} {ACC1:acc#683.itm(2)} {ACC1:acc#683.itm(3)} {ACC1:acc#683.itm(4)} {ACC1:acc#683.itm(5)} {ACC1:acc#683.itm(6)} -attr xrf 62894 -attr oid 84 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {conc#883.itm(0)} -attr vt d
+load net {conc#883.itm(1)} -attr vt d
+load net {conc#883.itm(2)} -attr vt d
+load net {conc#883.itm(3)} -attr vt d
+load net {conc#883.itm(4)} -attr vt d
+load net {conc#883.itm(5)} -attr vt d
+load netBundle {conc#883.itm} 6 {conc#883.itm(0)} {conc#883.itm(1)} {conc#883.itm(2)} {conc#883.itm(3)} {conc#883.itm(4)} {conc#883.itm(5)} -attr xrf 62895 -attr oid 85 -attr vt d -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {ACC1-1:exs#1058.itm(0)} -attr vt d
+load net {ACC1-1:exs#1058.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1058.itm} 2 {ACC1-1:exs#1058.itm(0)} {ACC1-1:exs#1058.itm(1)} -attr xrf 62896 -attr oid 86 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1058.itm}
+load net {ACC1:acc#680.itm(0)} -attr vt d
+load net {ACC1:acc#680.itm(1)} -attr vt d
+load net {ACC1:acc#680.itm(2)} -attr vt d
+load net {ACC1:acc#680.itm(3)} -attr vt d
+load net {ACC1:acc#680.itm(4)} -attr vt d
+load netBundle {ACC1:acc#680.itm} 5 {ACC1:acc#680.itm(0)} {ACC1:acc#680.itm(1)} {ACC1:acc#680.itm(2)} {ACC1:acc#680.itm(3)} {ACC1:acc#680.itm(4)} -attr xrf 62897 -attr oid 87 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#676.itm(0)} -attr vt d
+load net {ACC1:acc#676.itm(1)} -attr vt d
+load net {ACC1:acc#676.itm(2)} -attr vt d
+load net {ACC1:acc#676.itm(3)} -attr vt d
+load netBundle {ACC1:acc#676.itm} 4 {ACC1:acc#676.itm(0)} {ACC1:acc#676.itm(1)} {ACC1:acc#676.itm(2)} {ACC1:acc#676.itm(3)} -attr xrf 62898 -attr oid 88 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:slc#153.itm(0)} -attr vt d
+load net {ACC1:slc#153.itm(1)} -attr vt d
+load net {ACC1:slc#153.itm(2)} -attr vt d
+load netBundle {ACC1:slc#153.itm} 3 {ACC1:slc#153.itm(0)} {ACC1:slc#153.itm(1)} {ACC1:slc#153.itm(2)} -attr xrf 62899 -attr oid 89 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#153.itm}
+load net {ACC1:acc#670.itm(0)} -attr vt d
+load net {ACC1:acc#670.itm(1)} -attr vt d
+load net {ACC1:acc#670.itm(2)} -attr vt d
+load net {ACC1:acc#670.itm(3)} -attr vt d
+load netBundle {ACC1:acc#670.itm} 4 {ACC1:acc#670.itm(0)} {ACC1:acc#670.itm(1)} {ACC1:acc#670.itm(2)} {ACC1:acc#670.itm(3)} -attr xrf 62900 -attr oid 90 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#670.itm}
+load net {exs.itm(0)} -attr vt d
+load net {exs.itm(1)} -attr vt d
+load net {exs.itm(2)} -attr vt d
+load netBundle {exs.itm} 3 {exs.itm(0)} {exs.itm(1)} {exs.itm(2)} -attr xrf 62901 -attr oid 91 -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {conc#884.itm(0)} -attr vt d
+load net {conc#884.itm(1)} -attr vt d
+load netBundle {conc#884.itm} 2 {conc#884.itm(0)} {conc#884.itm(1)} -attr xrf 62902 -attr oid 92 -attr vt d -attr @path {/sobel/sobel:core/conc#884.itm}
+load net {ACC1:exs#1474.itm(0)} -attr vt d
+load net {ACC1:exs#1474.itm(1)} -attr vt d
+load net {ACC1:exs#1474.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1474.itm} 3 {ACC1:exs#1474.itm(0)} {ACC1:exs#1474.itm(1)} {ACC1:exs#1474.itm(2)} -attr xrf 62903 -attr oid 93 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1474.itm}
+load net {ACC1:conc#1430.itm(0)} -attr vt d
+load net {ACC1:conc#1430.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1430.itm} 2 {ACC1:conc#1430.itm(0)} {ACC1:conc#1430.itm(1)} -attr xrf 62904 -attr oid 94 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1430.itm}
+load net {ACC1:slc#152.itm(0)} -attr vt d
+load net {ACC1:slc#152.itm(1)} -attr vt d
+load net {ACC1:slc#152.itm(2)} -attr vt d
+load netBundle {ACC1:slc#152.itm} 3 {ACC1:slc#152.itm(0)} {ACC1:slc#152.itm(1)} {ACC1:slc#152.itm(2)} -attr xrf 62905 -attr oid 95 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#152.itm}
+load net {ACC1:acc#669.itm(0)} -attr vt d
+load net {ACC1:acc#669.itm(1)} -attr vt d
+load net {ACC1:acc#669.itm(2)} -attr vt d
+load net {ACC1:acc#669.itm(3)} -attr vt d
+load netBundle {ACC1:acc#669.itm} 4 {ACC1:acc#669.itm(0)} {ACC1:acc#669.itm(1)} {ACC1:acc#669.itm(2)} {ACC1:acc#669.itm(3)} -attr xrf 62906 -attr oid 96 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#669.itm}
+load net {exs#46.itm(0)} -attr vt d
+load net {exs#46.itm(1)} -attr vt d
+load net {exs#46.itm(2)} -attr vt d
+load netBundle {exs#46.itm} 3 {exs#46.itm(0)} {exs#46.itm(1)} {exs#46.itm(2)} -attr xrf 62907 -attr oid 97 -attr vt d -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {conc#885.itm(0)} -attr vt d
+load net {conc#885.itm(1)} -attr vt d
+load netBundle {conc#885.itm} 2 {conc#885.itm(0)} {conc#885.itm(1)} -attr xrf 62908 -attr oid 98 -attr vt d -attr @path {/sobel/sobel:core/conc#885.itm}
+load net {ACC1:exs#1476.itm(0)} -attr vt d
+load net {ACC1:exs#1476.itm(1)} -attr vt d
+load net {ACC1:exs#1476.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1476.itm} 3 {ACC1:exs#1476.itm(0)} {ACC1:exs#1476.itm(1)} {ACC1:exs#1476.itm(2)} -attr xrf 62909 -attr oid 99 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1476.itm}
+load net {ACC1:conc#1428.itm(0)} -attr vt d
+load net {ACC1:conc#1428.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1428.itm} 2 {ACC1:conc#1428.itm(0)} {ACC1:conc#1428.itm(1)} -attr xrf 62910 -attr oid 100 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1428.itm}
+load net {ACC1:acc#675.itm(0)} -attr vt d
+load net {ACC1:acc#675.itm(1)} -attr vt d
+load net {ACC1:acc#675.itm(2)} -attr vt d
+load net {ACC1:acc#675.itm(3)} -attr vt d
+load netBundle {ACC1:acc#675.itm} 4 {ACC1:acc#675.itm(0)} {ACC1:acc#675.itm(1)} {ACC1:acc#675.itm(2)} {ACC1:acc#675.itm(3)} -attr xrf 62911 -attr oid 101 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:slc#151.itm(0)} -attr vt d
+load net {ACC1:slc#151.itm(1)} -attr vt d
+load net {ACC1:slc#151.itm(2)} -attr vt d
+load netBundle {ACC1:slc#151.itm} 3 {ACC1:slc#151.itm(0)} {ACC1:slc#151.itm(1)} {ACC1:slc#151.itm(2)} -attr xrf 62912 -attr oid 102 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#151.itm}
+load net {ACC1:acc#668.itm(0)} -attr vt d
+load net {ACC1:acc#668.itm(1)} -attr vt d
+load net {ACC1:acc#668.itm(2)} -attr vt d
+load net {ACC1:acc#668.itm(3)} -attr vt d
+load netBundle {ACC1:acc#668.itm} 4 {ACC1:acc#668.itm(0)} {ACC1:acc#668.itm(1)} {ACC1:acc#668.itm(2)} {ACC1:acc#668.itm(3)} -attr xrf 62913 -attr oid 103 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#668.itm}
+load net {exs#47.itm(0)} -attr vt d
+load net {exs#47.itm(1)} -attr vt d
+load net {exs#47.itm(2)} -attr vt d
+load netBundle {exs#47.itm} 3 {exs#47.itm(0)} {exs#47.itm(1)} {exs#47.itm(2)} -attr xrf 62914 -attr oid 104 -attr vt d -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {conc#886.itm(0)} -attr vt d
+load net {conc#886.itm(1)} -attr vt d
+load netBundle {conc#886.itm} 2 {conc#886.itm(0)} {conc#886.itm(1)} -attr xrf 62915 -attr oid 105 -attr vt d -attr @path {/sobel/sobel:core/conc#886.itm}
+load net {ACC1:exs#1478.itm(0)} -attr vt d
+load net {ACC1:exs#1478.itm(1)} -attr vt d
+load net {ACC1:exs#1478.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1478.itm} 3 {ACC1:exs#1478.itm(0)} {ACC1:exs#1478.itm(1)} {ACC1:exs#1478.itm(2)} -attr xrf 62916 -attr oid 106 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1478.itm}
+load net {ACC1:conc#1426.itm(0)} -attr vt d
+load net {ACC1:conc#1426.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1426.itm} 2 {ACC1:conc#1426.itm(0)} {ACC1:conc#1426.itm(1)} -attr xrf 62917 -attr oid 107 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1426.itm}
+load net {ACC1:slc#150.itm(0)} -attr vt d
+load net {ACC1:slc#150.itm(1)} -attr vt d
+load net {ACC1:slc#150.itm(2)} -attr vt d
+load netBundle {ACC1:slc#150.itm} 3 {ACC1:slc#150.itm(0)} {ACC1:slc#150.itm(1)} {ACC1:slc#150.itm(2)} -attr xrf 62918 -attr oid 108 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#150.itm}
+load net {ACC1:acc#667.itm(0)} -attr vt d
+load net {ACC1:acc#667.itm(1)} -attr vt d
+load net {ACC1:acc#667.itm(2)} -attr vt d
+load net {ACC1:acc#667.itm(3)} -attr vt d
+load netBundle {ACC1:acc#667.itm} 4 {ACC1:acc#667.itm(0)} {ACC1:acc#667.itm(1)} {ACC1:acc#667.itm(2)} {ACC1:acc#667.itm(3)} -attr xrf 62919 -attr oid 109 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#667.itm}
+load net {exs#48.itm(0)} -attr vt d
+load net {exs#48.itm(1)} -attr vt d
+load net {exs#48.itm(2)} -attr vt d
+load netBundle {exs#48.itm} 3 {exs#48.itm(0)} {exs#48.itm(1)} {exs#48.itm(2)} -attr xrf 62920 -attr oid 110 -attr vt d -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {conc#887.itm(0)} -attr vt d
+load net {conc#887.itm(1)} -attr vt d
+load netBundle {conc#887.itm} 2 {conc#887.itm(0)} {conc#887.itm(1)} -attr xrf 62921 -attr oid 111 -attr vt d -attr @path {/sobel/sobel:core/conc#887.itm}
+load net {ACC1:exs#1480.itm(0)} -attr vt d
+load net {ACC1:exs#1480.itm(1)} -attr vt d
+load net {ACC1:exs#1480.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1480.itm} 3 {ACC1:exs#1480.itm(0)} {ACC1:exs#1480.itm(1)} {ACC1:exs#1480.itm(2)} -attr xrf 62922 -attr oid 112 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1480.itm}
+load net {ACC1:conc#1424.itm(0)} -attr vt d
+load net {ACC1:conc#1424.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1424.itm} 2 {ACC1:conc#1424.itm(0)} {ACC1:conc#1424.itm(1)} -attr xrf 62923 -attr oid 113 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1424.itm}
+load net {ACC1:acc#687.itm(0)} -attr vt d
+load net {ACC1:acc#687.itm(1)} -attr vt d
+load net {ACC1:acc#687.itm(2)} -attr vt d
+load net {ACC1:acc#687.itm(3)} -attr vt d
+load net {ACC1:acc#687.itm(4)} -attr vt d
+load net {ACC1:acc#687.itm(5)} -attr vt d
+load net {ACC1:acc#687.itm(6)} -attr vt d
+load net {ACC1:acc#687.itm(7)} -attr vt d
+load net {ACC1:acc#687.itm(8)} -attr vt d
+load net {ACC1:acc#687.itm(9)} -attr vt d
+load netBundle {ACC1:acc#687.itm} 10 {ACC1:acc#687.itm(0)} {ACC1:acc#687.itm(1)} {ACC1:acc#687.itm(2)} {ACC1:acc#687.itm(3)} {ACC1:acc#687.itm(4)} {ACC1:acc#687.itm(5)} {ACC1:acc#687.itm(6)} {ACC1:acc#687.itm(7)} {ACC1:acc#687.itm(8)} {ACC1:acc#687.itm(9)} -attr xrf 62924 -attr oid 114 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#685.itm(0)} -attr vt d
+load net {ACC1:acc#685.itm(1)} -attr vt d
+load net {ACC1:acc#685.itm(2)} -attr vt d
+load net {ACC1:acc#685.itm(3)} -attr vt d
+load net {ACC1:acc#685.itm(4)} -attr vt d
+load net {ACC1:acc#685.itm(5)} -attr vt d
+load net {ACC1:acc#685.itm(6)} -attr vt d
+load net {ACC1:acc#685.itm(7)} -attr vt d
+load netBundle {ACC1:acc#685.itm} 8 {ACC1:acc#685.itm(0)} {ACC1:acc#685.itm(1)} {ACC1:acc#685.itm(2)} {ACC1:acc#685.itm(3)} {ACC1:acc#685.itm(4)} {ACC1:acc#685.itm(5)} {ACC1:acc#685.itm(6)} {ACC1:acc#685.itm(7)} -attr xrf 62925 -attr oid 115 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#682.itm(0)} -attr vt d
+load net {ACC1:acc#682.itm(1)} -attr vt d
+load net {ACC1:acc#682.itm(2)} -attr vt d
+load net {ACC1:acc#682.itm(3)} -attr vt d
+load net {ACC1:acc#682.itm(4)} -attr vt d
+load net {ACC1:acc#682.itm(5)} -attr vt d
+load netBundle {ACC1:acc#682.itm} 6 {ACC1:acc#682.itm(0)} {ACC1:acc#682.itm(1)} {ACC1:acc#682.itm(2)} {ACC1:acc#682.itm(3)} {ACC1:acc#682.itm(4)} {ACC1:acc#682.itm(5)} -attr xrf 62926 -attr oid 116 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#679.itm(0)} -attr vt d
+load net {ACC1:acc#679.itm(1)} -attr vt d
+load net {ACC1:acc#679.itm(2)} -attr vt d
+load net {ACC1:acc#679.itm(3)} -attr vt d
+load netBundle {ACC1:acc#679.itm} 4 {ACC1:acc#679.itm(0)} {ACC1:acc#679.itm(1)} {ACC1:acc#679.itm(2)} {ACC1:acc#679.itm(3)} -attr xrf 62927 -attr oid 117 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#674.itm(0)} -attr vt d
+load net {ACC1:acc#674.itm(1)} -attr vt d
+load net {ACC1:acc#674.itm(2)} -attr vt d
+load netBundle {ACC1:acc#674.itm} 3 {ACC1:acc#674.itm(0)} {ACC1:acc#674.itm(1)} {ACC1:acc#674.itm(2)} -attr xrf 62928 -attr oid 118 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load net {ACC1:slc#148.itm(0)} -attr vt d
+load net {ACC1:slc#148.itm(1)} -attr vt d
+load net {ACC1:slc#148.itm(2)} -attr vt d
+load netBundle {ACC1:slc#148.itm} 3 {ACC1:slc#148.itm(0)} {ACC1:slc#148.itm(1)} {ACC1:slc#148.itm(2)} -attr xrf 62929 -attr oid 119 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#148.itm}
+load net {ACC1:acc#665.itm(0)} -attr vt d
+load net {ACC1:acc#665.itm(1)} -attr vt d
+load net {ACC1:acc#665.itm(2)} -attr vt d
+load net {ACC1:acc#665.itm(3)} -attr vt d
+load netBundle {ACC1:acc#665.itm} 4 {ACC1:acc#665.itm(0)} {ACC1:acc#665.itm(1)} {ACC1:acc#665.itm(2)} {ACC1:acc#665.itm(3)} -attr xrf 62930 -attr oid 120 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#665.itm}
+load net {conc#888.itm(0)} -attr vt d
+load net {conc#888.itm(1)} -attr vt d
+load net {conc#888.itm(2)} -attr vt d
+load netBundle {conc#888.itm} 3 {conc#888.itm(0)} {conc#888.itm(1)} {conc#888.itm(2)} -attr xrf 62931 -attr oid 121 -attr vt d -attr @path {/sobel/sobel:core/conc#888.itm}
+load net {ACC1:conc#1420.itm(0)} -attr vt d
+load net {ACC1:conc#1420.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1420.itm} 2 {ACC1:conc#1420.itm(0)} {ACC1:conc#1420.itm(1)} -attr xrf 62932 -attr oid 122 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1420.itm}
+load net {slc(ACC1:acc#220.psp#1.sva)#3.itm(0)} -attr vt d
+load net {slc(ACC1:acc#220.psp#1.sva)#3.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#220.psp#1.sva)#3.itm} 2 {slc(ACC1:acc#220.psp#1.sva)#3.itm(0)} {slc(ACC1:acc#220.psp#1.sva)#3.itm(1)} -attr xrf 62933 -attr oid 123 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva)#3.itm}
+load net {ACC1:slc#149.itm(0)} -attr vt d
+load net {ACC1:slc#149.itm(1)} -attr vt d
+load net {ACC1:slc#149.itm(2)} -attr vt d
+load net {ACC1:slc#149.itm(3)} -attr vt d
+load netBundle {ACC1:slc#149.itm} 4 {ACC1:slc#149.itm(0)} {ACC1:slc#149.itm(1)} {ACC1:slc#149.itm(2)} {ACC1:slc#149.itm(3)} -attr xrf 62934 -attr oid 124 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#149.itm}
+load net {ACC1:acc#666.itm(0)} -attr vt d
+load net {ACC1:acc#666.itm(1)} -attr vt d
+load net {ACC1:acc#666.itm(2)} -attr vt d
+load net {ACC1:acc#666.itm(3)} -attr vt d
+load net {ACC1:acc#666.itm(4)} -attr vt d
+load netBundle {ACC1:acc#666.itm} 5 {ACC1:acc#666.itm(0)} {ACC1:acc#666.itm(1)} {ACC1:acc#666.itm(2)} {ACC1:acc#666.itm(3)} {ACC1:acc#666.itm(4)} -attr xrf 62935 -attr oid 125 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666.itm}
+load net {conc#889.itm(0)} -attr vt d
+load net {conc#889.itm(1)} -attr vt d
+load net {conc#889.itm(2)} -attr vt d
+load netBundle {conc#889.itm} 3 {conc#889.itm(0)} {conc#889.itm(1)} {conc#889.itm(2)} -attr xrf 62936 -attr oid 126 -attr vt d -attr @path {/sobel/sobel:core/conc#889.itm}
+load net {ACC1:conc#1422.itm(0)} -attr vt d
+load net {ACC1:conc#1422.itm(1)} -attr vt d
+load net {ACC1:conc#1422.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1422.itm} 3 {ACC1:conc#1422.itm(0)} {ACC1:conc#1422.itm(1)} {ACC1:conc#1422.itm(2)} -attr xrf 62937 -attr oid 127 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1422.itm}
+load net {ACC1:acc#678.itm(0)} -attr vt d
+load net {ACC1:acc#678.itm(1)} -attr vt d
+load net {ACC1:acc#678.itm(2)} -attr vt d
+load net {ACC1:acc#678.itm(3)} -attr vt d
+load net {ACC1:acc#678.itm(4)} -attr vt d
+load netBundle {ACC1:acc#678.itm} 5 {ACC1:acc#678.itm(0)} {ACC1:acc#678.itm(1)} {ACC1:acc#678.itm(2)} {ACC1:acc#678.itm(3)} {ACC1:acc#678.itm(4)} -attr xrf 62938 -attr oid 128 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1-1:conc#558.itm(0)} -attr vt d
+load net {ACC1-1:conc#558.itm(1)} -attr vt d
+load net {ACC1-1:conc#558.itm(2)} -attr vt d
+load net {ACC1-1:conc#558.itm(3)} -attr vt d
+load netBundle {ACC1-1:conc#558.itm} 4 {ACC1-1:conc#558.itm(0)} {ACC1-1:conc#558.itm(1)} {ACC1-1:conc#558.itm(2)} {ACC1-1:conc#558.itm(3)} -attr xrf 62939 -attr oid 129 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#558.itm}
+load net {ACC1-1:exs#1043.itm(0)} -attr vt d
+load net {ACC1-1:exs#1043.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1043.itm} 2 {ACC1-1:exs#1043.itm(0)} {ACC1-1:exs#1043.itm(1)} -attr xrf 62940 -attr oid 130 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1043.itm}
+load net {conc#890.itm(0)} -attr vt d
+load net {conc#890.itm(1)} -attr vt d
+load net {conc#890.itm(2)} -attr vt d
+load net {conc#890.itm(3)} -attr vt d
+load net {conc#890.itm(4)} -attr vt d
+load net {conc#890.itm(5)} -attr vt d
+load net {conc#890.itm(6)} -attr vt d
+load netBundle {conc#890.itm} 7 {conc#890.itm(0)} {conc#890.itm(1)} {conc#890.itm(2)} {conc#890.itm(3)} {conc#890.itm(4)} {conc#890.itm(5)} {conc#890.itm(6)} -attr xrf 62941 -attr oid 131 -attr vt d -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {ACC1:acc#684.itm(0)} -attr vt d
+load net {ACC1:acc#684.itm(1)} -attr vt d
+load net {ACC1:acc#684.itm(2)} -attr vt d
+load net {ACC1:acc#684.itm(3)} -attr vt d
+load net {ACC1:acc#684.itm(4)} -attr vt d
+load net {ACC1:acc#684.itm(5)} -attr vt d
+load net {ACC1:acc#684.itm(6)} -attr vt d
+load net {ACC1:acc#684.itm(7)} -attr vt d
+load netBundle {ACC1:acc#684.itm} 8 {ACC1:acc#684.itm(0)} {ACC1:acc#684.itm(1)} {ACC1:acc#684.itm(2)} {ACC1:acc#684.itm(3)} {ACC1:acc#684.itm(4)} {ACC1:acc#684.itm(5)} {ACC1:acc#684.itm(6)} {ACC1:acc#684.itm(7)} -attr xrf 62942 -attr oid 132 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1-1:exs#1045.itm(0)} -attr vt d
+load net {ACC1-1:exs#1045.itm(1)} -attr vt d
+load net {ACC1-1:exs#1045.itm(2)} -attr vt d
+load net {ACC1-1:exs#1045.itm(3)} -attr vt d
+load net {ACC1-1:exs#1045.itm(4)} -attr vt d
+load net {ACC1-1:exs#1045.itm(5)} -attr vt d
+load net {ACC1-1:exs#1045.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#1045.itm} 7 {ACC1-1:exs#1045.itm(0)} {ACC1-1:exs#1045.itm(1)} {ACC1-1:exs#1045.itm(2)} {ACC1-1:exs#1045.itm(3)} {ACC1-1:exs#1045.itm(4)} {ACC1-1:exs#1045.itm(5)} {ACC1-1:exs#1045.itm(6)} -attr xrf 62943 -attr oid 133 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {ACC1-1:conc#600.itm(0)} -attr vt d
+load net {ACC1-1:conc#600.itm(1)} -attr vt d
+load net {ACC1-1:conc#600.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#600.itm} 3 {ACC1-1:conc#600.itm(0)} {ACC1-1:conc#600.itm(1)} {ACC1-1:conc#600.itm(2)} -attr xrf 62944 -attr oid 134 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#600.itm}
+load net {ACC1-1:exs#1049.itm(0)} -attr vt d
+load net {ACC1-1:exs#1049.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1049.itm} 2 {ACC1-1:exs#1049.itm(0)} {ACC1-1:exs#1049.itm(1)} -attr xrf 62945 -attr oid 135 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1049.itm}
+load net {ACC1:acc#681.itm(0)} -attr vt d
+load net {ACC1:acc#681.itm(1)} -attr vt d
+load net {ACC1:acc#681.itm(2)} -attr vt d
+load net {ACC1:acc#681.itm(3)} -attr vt d
+load net {ACC1:acc#681.itm(4)} -attr vt d
+load net {ACC1:acc#681.itm(5)} -attr vt d
+load netBundle {ACC1:acc#681.itm} 6 {ACC1:acc#681.itm(0)} {ACC1:acc#681.itm(1)} {ACC1:acc#681.itm(2)} {ACC1:acc#681.itm(3)} {ACC1:acc#681.itm(4)} {ACC1:acc#681.itm(5)} -attr xrf 62946 -attr oid 136 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {conc#891.itm(0)} -attr vt d
+load net {conc#891.itm(1)} -attr vt d
+load net {conc#891.itm(2)} -attr vt d
+load net {conc#891.itm(3)} -attr vt d
+load net {conc#891.itm(4)} -attr vt d
+load netBundle {conc#891.itm} 5 {conc#891.itm(0)} {conc#891.itm(1)} {conc#891.itm(2)} {conc#891.itm(3)} {conc#891.itm(4)} -attr xrf 62947 -attr oid 137 -attr vt d -attr @path {/sobel/sobel:core/conc#891.itm}
+load net {ACC1:acc#677.itm(0)} -attr vt d
+load net {ACC1:acc#677.itm(1)} -attr vt d
+load net {ACC1:acc#677.itm(2)} -attr vt d
+load net {ACC1:acc#677.itm(3)} -attr vt d
+load netBundle {ACC1:acc#677.itm} 4 {ACC1:acc#677.itm(0)} {ACC1:acc#677.itm(1)} {ACC1:acc#677.itm(2)} {ACC1:acc#677.itm(3)} -attr xrf 62948 -attr oid 138 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:slc#154.itm(0)} -attr vt d
+load net {ACC1:slc#154.itm(1)} -attr vt d
+load net {ACC1:slc#154.itm(2)} -attr vt d
+load netBundle {ACC1:slc#154.itm} 3 {ACC1:slc#154.itm(0)} {ACC1:slc#154.itm(1)} {ACC1:slc#154.itm(2)} -attr xrf 62949 -attr oid 139 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#154.itm}
+load net {ACC1:acc#671.itm(0)} -attr vt d
+load net {ACC1:acc#671.itm(1)} -attr vt d
+load net {ACC1:acc#671.itm(2)} -attr vt d
+load net {ACC1:acc#671.itm(3)} -attr vt d
+load netBundle {ACC1:acc#671.itm} 4 {ACC1:acc#671.itm(0)} {ACC1:acc#671.itm(1)} {ACC1:acc#671.itm(2)} {ACC1:acc#671.itm(3)} -attr xrf 62950 -attr oid 140 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#671.itm}
+load net {exs#49.itm(0)} -attr vt d
+load net {exs#49.itm(1)} -attr vt d
+load net {exs#49.itm(2)} -attr vt d
+load netBundle {exs#49.itm} 3 {exs#49.itm(0)} {exs#49.itm(1)} {exs#49.itm(2)} -attr xrf 62951 -attr oid 141 -attr vt d -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {conc#892.itm(0)} -attr vt d
+load net {conc#892.itm(1)} -attr vt d
+load netBundle {conc#892.itm} 2 {conc#892.itm(0)} {conc#892.itm(1)} -attr xrf 62952 -attr oid 142 -attr vt d -attr @path {/sobel/sobel:core/conc#892.itm}
+load net {ACC1:exs#1482.itm(0)} -attr vt d
+load net {ACC1:exs#1482.itm(1)} -attr vt d
+load net {ACC1:exs#1482.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1482.itm} 3 {ACC1:exs#1482.itm(0)} {ACC1:exs#1482.itm(1)} {ACC1:exs#1482.itm(2)} -attr xrf 62953 -attr oid 143 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1482.itm}
+load net {ACC1:conc#1432.itm(0)} -attr vt d
+load net {ACC1:conc#1432.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1432.itm} 2 {ACC1:conc#1432.itm(0)} {ACC1:conc#1432.itm(1)} -attr xrf 62954 -attr oid 144 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1432.itm}
+load net {conc#893.itm(0)} -attr vt d
+load net {conc#893.itm(1)} -attr vt d
+load net {conc#893.itm(2)} -attr vt d
+load net {conc#893.itm(3)} -attr vt d
+load net {conc#893.itm(4)} -attr vt d
+load net {conc#893.itm(5)} -attr vt d
+load net {conc#893.itm(6)} -attr vt d
+load net {conc#893.itm(7)} -attr vt d
+load net {conc#893.itm(8)} -attr vt d
+load net {conc#893.itm(9)} -attr vt d
+load net {conc#893.itm(10)} -attr vt d
+load netBundle {conc#893.itm} 11 {conc#893.itm(0)} {conc#893.itm(1)} {conc#893.itm(2)} {conc#893.itm(3)} {conc#893.itm(4)} {conc#893.itm(5)} {conc#893.itm(6)} {conc#893.itm(7)} {conc#893.itm(8)} {conc#893.itm(9)} {conc#893.itm(10)} -attr xrf 62955 -attr oid 145 -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {ACC1:acc#718.itm(0)} -attr vt d
+load net {ACC1:acc#718.itm(1)} -attr vt d
+load net {ACC1:acc#718.itm(2)} -attr vt d
+load netBundle {ACC1:acc#718.itm} 3 {ACC1:acc#718.itm(0)} {ACC1:acc#718.itm(1)} {ACC1:acc#718.itm(2)} -attr xrf 62956 -attr oid 146 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#718.itm}
+load net {ACC1:exs#1640.itm(0)} -attr vt d
+load net {ACC1:exs#1640.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1640.itm} 2 {ACC1:exs#1640.itm(0)} {ACC1:exs#1640.itm(1)} -attr xrf 62957 -attr oid 147 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1640.itm}
+load net {ACC1-1:acc#27.itm(0)} -attr vt d
+load net {ACC1-1:acc#27.itm(1)} -attr vt d
+load net {ACC1-1:acc#27.itm(2)} -attr vt d
+load net {ACC1-1:acc#27.itm(3)} -attr vt d
+load net {ACC1-1:acc#27.itm(4)} -attr vt d
+load net {ACC1-1:acc#27.itm(5)} -attr vt d
+load net {ACC1-1:acc#27.itm(6)} -attr vt d
+load net {ACC1-1:acc#27.itm(7)} -attr vt d
+load net {ACC1-1:acc#27.itm(8)} -attr vt d
+load net {ACC1-1:acc#27.itm(9)} -attr vt d
+load net {ACC1-1:acc#27.itm(10)} -attr vt d
+load netBundle {ACC1-1:acc#27.itm} 11 {ACC1-1:acc#27.itm(0)} {ACC1-1:acc#27.itm(1)} {ACC1-1:acc#27.itm(2)} {ACC1-1:acc#27.itm(3)} {ACC1-1:acc#27.itm(4)} {ACC1-1:acc#27.itm(5)} {ACC1-1:acc#27.itm(6)} {ACC1-1:acc#27.itm(7)} {ACC1-1:acc#27.itm(8)} {ACC1-1:acc#27.itm(9)} {ACC1-1:acc#27.itm(10)} -attr xrf 62958 -attr oid 148 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1:acc#716.itm(0)} -attr vt d
+load net {ACC1:acc#716.itm(1)} -attr vt d
+load net {ACC1:acc#716.itm(2)} -attr vt d
+load net {ACC1:acc#716.itm(3)} -attr vt d
+load net {ACC1:acc#716.itm(4)} -attr vt d
+load net {ACC1:acc#716.itm(5)} -attr vt d
+load net {ACC1:acc#716.itm(6)} -attr vt d
+load net {ACC1:acc#716.itm(7)} -attr vt d
+load net {ACC1:acc#716.itm(8)} -attr vt d
+load net {ACC1:acc#716.itm(9)} -attr vt d
+load net {ACC1:acc#716.itm(10)} -attr vt d
+load netBundle {ACC1:acc#716.itm} 11 {ACC1:acc#716.itm(0)} {ACC1:acc#716.itm(1)} {ACC1:acc#716.itm(2)} {ACC1:acc#716.itm(3)} {ACC1:acc#716.itm(4)} {ACC1:acc#716.itm(5)} {ACC1:acc#716.itm(6)} {ACC1:acc#716.itm(7)} {ACC1:acc#716.itm(8)} {ACC1:acc#716.itm(9)} {ACC1:acc#716.itm(10)} -attr xrf 62959 -attr oid 149 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#714.itm(0)} -attr vt d
+load net {ACC1:acc#714.itm(1)} -attr vt d
+load net {ACC1:acc#714.itm(2)} -attr vt d
+load net {ACC1:acc#714.itm(3)} -attr vt d
+load net {ACC1:acc#714.itm(4)} -attr vt d
+load net {ACC1:acc#714.itm(5)} -attr vt d
+load net {ACC1:acc#714.itm(6)} -attr vt d
+load net {ACC1:acc#714.itm(7)} -attr vt d
+load net {ACC1:acc#714.itm(8)} -attr vt d
+load net {ACC1:acc#714.itm(9)} -attr vt d
+load netBundle {ACC1:acc#714.itm} 10 {ACC1:acc#714.itm(0)} {ACC1:acc#714.itm(1)} {ACC1:acc#714.itm(2)} {ACC1:acc#714.itm(3)} {ACC1:acc#714.itm(4)} {ACC1:acc#714.itm(5)} {ACC1:acc#714.itm(6)} {ACC1:acc#714.itm(7)} {ACC1:acc#714.itm(8)} {ACC1:acc#714.itm(9)} -attr xrf 62960 -attr oid 150 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {conc#894.itm(0)} -attr vt d
+load net {conc#894.itm(1)} -attr vt d
+load net {conc#894.itm(2)} -attr vt d
+load net {conc#894.itm(3)} -attr vt d
+load net {conc#894.itm(4)} -attr vt d
+load net {conc#894.itm(5)} -attr vt d
+load net {conc#894.itm(6)} -attr vt d
+load net {conc#894.itm(7)} -attr vt d
+load net {conc#894.itm(8)} -attr vt d
+load netBundle {conc#894.itm} 9 {conc#894.itm(0)} {conc#894.itm(1)} {conc#894.itm(2)} {conc#894.itm(3)} {conc#894.itm(4)} {conc#894.itm(5)} {conc#894.itm(6)} {conc#894.itm(7)} {conc#894.itm(8)} -attr xrf 62961 -attr oid 151 -attr vt d -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {ACC1:acc#712.itm(0)} -attr vt d
+load net {ACC1:acc#712.itm(1)} -attr vt d
+load net {ACC1:acc#712.itm(2)} -attr vt d
+load net {ACC1:acc#712.itm(3)} -attr vt d
+load net {ACC1:acc#712.itm(4)} -attr vt d
+load net {ACC1:acc#712.itm(5)} -attr vt d
+load net {ACC1:acc#712.itm(6)} -attr vt d
+load net {ACC1:acc#712.itm(7)} -attr vt d
+load netBundle {ACC1:acc#712.itm} 8 {ACC1:acc#712.itm(0)} {ACC1:acc#712.itm(1)} {ACC1:acc#712.itm(2)} {ACC1:acc#712.itm(3)} {ACC1:acc#712.itm(4)} {ACC1:acc#712.itm(5)} {ACC1:acc#712.itm(6)} {ACC1:acc#712.itm(7)} -attr xrf 62962 -attr oid 152 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {conc#895.itm(0)} -attr vt d
+load net {conc#895.itm(1)} -attr vt d
+load net {conc#895.itm(2)} -attr vt d
+load net {conc#895.itm(3)} -attr vt d
+load net {conc#895.itm(4)} -attr vt d
+load net {conc#895.itm(5)} -attr vt d
+load net {conc#895.itm(6)} -attr vt d
+load net {conc#895.itm(7)} -attr vt d
+load netBundle {conc#895.itm} 8 {conc#895.itm(0)} {conc#895.itm(1)} {conc#895.itm(2)} {conc#895.itm(3)} {conc#895.itm(4)} {conc#895.itm(5)} {conc#895.itm(6)} {conc#895.itm(7)} -attr xrf 62963 -attr oid 153 -attr vt d -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {ACC1-1:exs#1060.itm(0)} -attr vt d
+load net {ACC1-1:exs#1060.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1060.itm} 2 {ACC1-1:exs#1060.itm(0)} {ACC1-1:exs#1060.itm(1)} -attr xrf 62964 -attr oid 154 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1060.itm}
+load net {ACC1:acc#709.itm(0)} -attr vt d
+load net {ACC1:acc#709.itm(1)} -attr vt d
+load net {ACC1:acc#709.itm(2)} -attr vt d
+load net {ACC1:acc#709.itm(3)} -attr vt d
+load net {ACC1:acc#709.itm(4)} -attr vt d
+load net {ACC1:acc#709.itm(5)} -attr vt d
+load net {ACC1:acc#709.itm(6)} -attr vt d
+load netBundle {ACC1:acc#709.itm} 7 {ACC1:acc#709.itm(0)} {ACC1:acc#709.itm(1)} {ACC1:acc#709.itm(2)} {ACC1:acc#709.itm(3)} {ACC1:acc#709.itm(4)} {ACC1:acc#709.itm(5)} {ACC1:acc#709.itm(6)} -attr xrf 62965 -attr oid 155 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {conc#896.itm(0)} -attr vt d
+load net {conc#896.itm(1)} -attr vt d
+load net {conc#896.itm(2)} -attr vt d
+load net {conc#896.itm(3)} -attr vt d
+load net {conc#896.itm(4)} -attr vt d
+load net {conc#896.itm(5)} -attr vt d
+load netBundle {conc#896.itm} 6 {conc#896.itm(0)} {conc#896.itm(1)} {conc#896.itm(2)} {conc#896.itm(3)} {conc#896.itm(4)} {conc#896.itm(5)} -attr xrf 62966 -attr oid 156 -attr vt d -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {ACC1-1:exs#1063.itm(0)} -attr vt d
+load net {ACC1-1:exs#1063.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1063.itm} 2 {ACC1-1:exs#1063.itm(0)} {ACC1-1:exs#1063.itm(1)} -attr xrf 62967 -attr oid 157 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1063.itm}
+load net {ACC1:acc#706.itm(0)} -attr vt d
+load net {ACC1:acc#706.itm(1)} -attr vt d
+load net {ACC1:acc#706.itm(2)} -attr vt d
+load net {ACC1:acc#706.itm(3)} -attr vt d
+load net {ACC1:acc#706.itm(4)} -attr vt d
+load netBundle {ACC1:acc#706.itm} 5 {ACC1:acc#706.itm(0)} {ACC1:acc#706.itm(1)} {ACC1:acc#706.itm(2)} {ACC1:acc#706.itm(3)} {ACC1:acc#706.itm(4)} -attr xrf 62968 -attr oid 158 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#702.itm(0)} -attr vt d
+load net {ACC1:acc#702.itm(1)} -attr vt d
+load net {ACC1:acc#702.itm(2)} -attr vt d
+load net {ACC1:acc#702.itm(3)} -attr vt d
+load netBundle {ACC1:acc#702.itm} 4 {ACC1:acc#702.itm(0)} {ACC1:acc#702.itm(1)} {ACC1:acc#702.itm(2)} {ACC1:acc#702.itm(3)} -attr xrf 62969 -attr oid 159 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:slc#160.itm(0)} -attr vt d
+load net {ACC1:slc#160.itm(1)} -attr vt d
+load net {ACC1:slc#160.itm(2)} -attr vt d
+load netBundle {ACC1:slc#160.itm} 3 {ACC1:slc#160.itm(0)} {ACC1:slc#160.itm(1)} {ACC1:slc#160.itm(2)} -attr xrf 62970 -attr oid 160 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#160.itm}
+load net {ACC1:acc#696.itm(0)} -attr vt d
+load net {ACC1:acc#696.itm(1)} -attr vt d
+load net {ACC1:acc#696.itm(2)} -attr vt d
+load net {ACC1:acc#696.itm(3)} -attr vt d
+load netBundle {ACC1:acc#696.itm} 4 {ACC1:acc#696.itm(0)} {ACC1:acc#696.itm(1)} {ACC1:acc#696.itm(2)} {ACC1:acc#696.itm(3)} -attr xrf 62971 -attr oid 161 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#696.itm}
+load net {exs#50.itm(0)} -attr vt d
+load net {exs#50.itm(1)} -attr vt d
+load net {exs#50.itm(2)} -attr vt d
+load netBundle {exs#50.itm} 3 {exs#50.itm(0)} {exs#50.itm(1)} {exs#50.itm(2)} -attr xrf 62972 -attr oid 162 -attr vt d -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {conc#897.itm(0)} -attr vt d
+load net {conc#897.itm(1)} -attr vt d
+load netBundle {conc#897.itm} 2 {conc#897.itm(0)} {conc#897.itm(1)} -attr xrf 62973 -attr oid 163 -attr vt d -attr @path {/sobel/sobel:core/conc#897.itm}
+load net {ACC1:exs#1485.itm(0)} -attr vt d
+load net {ACC1:exs#1485.itm(1)} -attr vt d
+load net {ACC1:exs#1485.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1485.itm} 3 {ACC1:exs#1485.itm(0)} {ACC1:exs#1485.itm(1)} {ACC1:exs#1485.itm(2)} -attr xrf 62974 -attr oid 164 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1485.itm}
+load net {ACC1:conc#1445.itm(0)} -attr vt d
+load net {ACC1:conc#1445.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1445.itm} 2 {ACC1:conc#1445.itm(0)} {ACC1:conc#1445.itm(1)} -attr xrf 62975 -attr oid 165 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1445.itm}
+load net {ACC1:slc#159.itm(0)} -attr vt d
+load net {ACC1:slc#159.itm(1)} -attr vt d
+load net {ACC1:slc#159.itm(2)} -attr vt d
+load netBundle {ACC1:slc#159.itm} 3 {ACC1:slc#159.itm(0)} {ACC1:slc#159.itm(1)} {ACC1:slc#159.itm(2)} -attr xrf 62976 -attr oid 166 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#159.itm}
+load net {ACC1:acc#695.itm(0)} -attr vt d
+load net {ACC1:acc#695.itm(1)} -attr vt d
+load net {ACC1:acc#695.itm(2)} -attr vt d
+load net {ACC1:acc#695.itm(3)} -attr vt d
+load netBundle {ACC1:acc#695.itm} 4 {ACC1:acc#695.itm(0)} {ACC1:acc#695.itm(1)} {ACC1:acc#695.itm(2)} {ACC1:acc#695.itm(3)} -attr xrf 62977 -attr oid 167 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#695.itm}
+load net {exs#51.itm(0)} -attr vt d
+load net {exs#51.itm(1)} -attr vt d
+load net {exs#51.itm(2)} -attr vt d
+load netBundle {exs#51.itm} 3 {exs#51.itm(0)} {exs#51.itm(1)} {exs#51.itm(2)} -attr xrf 62978 -attr oid 168 -attr vt d -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {conc#898.itm(0)} -attr vt d
+load net {conc#898.itm(1)} -attr vt d
+load netBundle {conc#898.itm} 2 {conc#898.itm(0)} {conc#898.itm(1)} -attr xrf 62979 -attr oid 169 -attr vt d -attr @path {/sobel/sobel:core/conc#898.itm}
+load net {ACC1:exs#1487.itm(0)} -attr vt d
+load net {ACC1:exs#1487.itm(1)} -attr vt d
+load net {ACC1:exs#1487.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1487.itm} 3 {ACC1:exs#1487.itm(0)} {ACC1:exs#1487.itm(1)} {ACC1:exs#1487.itm(2)} -attr xrf 62980 -attr oid 170 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1487.itm}
+load net {ACC1:conc#1443.itm(0)} -attr vt d
+load net {ACC1:conc#1443.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1443.itm} 2 {ACC1:conc#1443.itm(0)} {ACC1:conc#1443.itm(1)} -attr xrf 62981 -attr oid 171 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1443.itm}
+load net {ACC1:acc#701.itm(0)} -attr vt d
+load net {ACC1:acc#701.itm(1)} -attr vt d
+load net {ACC1:acc#701.itm(2)} -attr vt d
+load net {ACC1:acc#701.itm(3)} -attr vt d
+load netBundle {ACC1:acc#701.itm} 4 {ACC1:acc#701.itm(0)} {ACC1:acc#701.itm(1)} {ACC1:acc#701.itm(2)} {ACC1:acc#701.itm(3)} -attr xrf 62982 -attr oid 172 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:slc#158.itm(0)} -attr vt d
+load net {ACC1:slc#158.itm(1)} -attr vt d
+load net {ACC1:slc#158.itm(2)} -attr vt d
+load netBundle {ACC1:slc#158.itm} 3 {ACC1:slc#158.itm(0)} {ACC1:slc#158.itm(1)} {ACC1:slc#158.itm(2)} -attr xrf 62983 -attr oid 173 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#158.itm}
+load net {ACC1:acc#694.itm(0)} -attr vt d
+load net {ACC1:acc#694.itm(1)} -attr vt d
+load net {ACC1:acc#694.itm(2)} -attr vt d
+load net {ACC1:acc#694.itm(3)} -attr vt d
+load netBundle {ACC1:acc#694.itm} 4 {ACC1:acc#694.itm(0)} {ACC1:acc#694.itm(1)} {ACC1:acc#694.itm(2)} {ACC1:acc#694.itm(3)} -attr xrf 62984 -attr oid 174 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#694.itm}
+load net {exs#52.itm(0)} -attr vt d
+load net {exs#52.itm(1)} -attr vt d
+load net {exs#52.itm(2)} -attr vt d
+load netBundle {exs#52.itm} 3 {exs#52.itm(0)} {exs#52.itm(1)} {exs#52.itm(2)} -attr xrf 62985 -attr oid 175 -attr vt d -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {conc#899.itm(0)} -attr vt d
+load net {conc#899.itm(1)} -attr vt d
+load netBundle {conc#899.itm} 2 {conc#899.itm(0)} {conc#899.itm(1)} -attr xrf 62986 -attr oid 176 -attr vt d -attr @path {/sobel/sobel:core/conc#899.itm}
+load net {ACC1:exs#1489.itm(0)} -attr vt d
+load net {ACC1:exs#1489.itm(1)} -attr vt d
+load net {ACC1:exs#1489.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1489.itm} 3 {ACC1:exs#1489.itm(0)} {ACC1:exs#1489.itm(1)} {ACC1:exs#1489.itm(2)} -attr xrf 62987 -attr oid 177 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1489.itm}
+load net {ACC1:conc#1441.itm(0)} -attr vt d
+load net {ACC1:conc#1441.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1441.itm} 2 {ACC1:conc#1441.itm(0)} {ACC1:conc#1441.itm(1)} -attr xrf 62988 -attr oid 178 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1441.itm}
+load net {ACC1:slc#157.itm(0)} -attr vt d
+load net {ACC1:slc#157.itm(1)} -attr vt d
+load net {ACC1:slc#157.itm(2)} -attr vt d
+load netBundle {ACC1:slc#157.itm} 3 {ACC1:slc#157.itm(0)} {ACC1:slc#157.itm(1)} {ACC1:slc#157.itm(2)} -attr xrf 62989 -attr oid 179 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#157.itm}
+load net {ACC1:acc#693.itm(0)} -attr vt d
+load net {ACC1:acc#693.itm(1)} -attr vt d
+load net {ACC1:acc#693.itm(2)} -attr vt d
+load net {ACC1:acc#693.itm(3)} -attr vt d
+load netBundle {ACC1:acc#693.itm} 4 {ACC1:acc#693.itm(0)} {ACC1:acc#693.itm(1)} {ACC1:acc#693.itm(2)} {ACC1:acc#693.itm(3)} -attr xrf 62990 -attr oid 180 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#693.itm}
+load net {exs#53.itm(0)} -attr vt d
+load net {exs#53.itm(1)} -attr vt d
+load net {exs#53.itm(2)} -attr vt d
+load netBundle {exs#53.itm} 3 {exs#53.itm(0)} {exs#53.itm(1)} {exs#53.itm(2)} -attr xrf 62991 -attr oid 181 -attr vt d -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {conc#900.itm(0)} -attr vt d
+load net {conc#900.itm(1)} -attr vt d
+load netBundle {conc#900.itm} 2 {conc#900.itm(0)} {conc#900.itm(1)} -attr xrf 62992 -attr oid 182 -attr vt d -attr @path {/sobel/sobel:core/conc#900.itm}
+load net {ACC1:exs#1491.itm(0)} -attr vt d
+load net {ACC1:exs#1491.itm(1)} -attr vt d
+load net {ACC1:exs#1491.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1491.itm} 3 {ACC1:exs#1491.itm(0)} {ACC1:exs#1491.itm(1)} {ACC1:exs#1491.itm(2)} -attr xrf 62993 -attr oid 183 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1491.itm}
+load net {ACC1:conc#1439.itm(0)} -attr vt d
+load net {ACC1:conc#1439.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1439.itm} 2 {ACC1:conc#1439.itm(0)} {ACC1:conc#1439.itm(1)} -attr xrf 62994 -attr oid 184 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1439.itm}
+load net {ACC1:acc#713.itm(0)} -attr vt d
+load net {ACC1:acc#713.itm(1)} -attr vt d
+load net {ACC1:acc#713.itm(2)} -attr vt d
+load net {ACC1:acc#713.itm(3)} -attr vt d
+load net {ACC1:acc#713.itm(4)} -attr vt d
+load net {ACC1:acc#713.itm(5)} -attr vt d
+load net {ACC1:acc#713.itm(6)} -attr vt d
+load net {ACC1:acc#713.itm(7)} -attr vt d
+load net {ACC1:acc#713.itm(8)} -attr vt d
+load net {ACC1:acc#713.itm(9)} -attr vt d
+load netBundle {ACC1:acc#713.itm} 10 {ACC1:acc#713.itm(0)} {ACC1:acc#713.itm(1)} {ACC1:acc#713.itm(2)} {ACC1:acc#713.itm(3)} {ACC1:acc#713.itm(4)} {ACC1:acc#713.itm(5)} {ACC1:acc#713.itm(6)} {ACC1:acc#713.itm(7)} {ACC1:acc#713.itm(8)} {ACC1:acc#713.itm(9)} -attr xrf 62995 -attr oid 185 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#711.itm(0)} -attr vt d
+load net {ACC1:acc#711.itm(1)} -attr vt d
+load net {ACC1:acc#711.itm(2)} -attr vt d
+load net {ACC1:acc#711.itm(3)} -attr vt d
+load net {ACC1:acc#711.itm(4)} -attr vt d
+load net {ACC1:acc#711.itm(5)} -attr vt d
+load net {ACC1:acc#711.itm(6)} -attr vt d
+load net {ACC1:acc#711.itm(7)} -attr vt d
+load netBundle {ACC1:acc#711.itm} 8 {ACC1:acc#711.itm(0)} {ACC1:acc#711.itm(1)} {ACC1:acc#711.itm(2)} {ACC1:acc#711.itm(3)} {ACC1:acc#711.itm(4)} {ACC1:acc#711.itm(5)} {ACC1:acc#711.itm(6)} {ACC1:acc#711.itm(7)} -attr xrf 62996 -attr oid 186 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#708.itm(0)} -attr vt d
+load net {ACC1:acc#708.itm(1)} -attr vt d
+load net {ACC1:acc#708.itm(2)} -attr vt d
+load net {ACC1:acc#708.itm(3)} -attr vt d
+load net {ACC1:acc#708.itm(4)} -attr vt d
+load net {ACC1:acc#708.itm(5)} -attr vt d
+load netBundle {ACC1:acc#708.itm} 6 {ACC1:acc#708.itm(0)} {ACC1:acc#708.itm(1)} {ACC1:acc#708.itm(2)} {ACC1:acc#708.itm(3)} {ACC1:acc#708.itm(4)} {ACC1:acc#708.itm(5)} -attr xrf 62997 -attr oid 187 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#705.itm(0)} -attr vt d
+load net {ACC1:acc#705.itm(1)} -attr vt d
+load net {ACC1:acc#705.itm(2)} -attr vt d
+load net {ACC1:acc#705.itm(3)} -attr vt d
+load netBundle {ACC1:acc#705.itm} 4 {ACC1:acc#705.itm(0)} {ACC1:acc#705.itm(1)} {ACC1:acc#705.itm(2)} {ACC1:acc#705.itm(3)} -attr xrf 62998 -attr oid 188 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#700.itm(0)} -attr vt d
+load net {ACC1:acc#700.itm(1)} -attr vt d
+load net {ACC1:acc#700.itm(2)} -attr vt d
+load netBundle {ACC1:acc#700.itm} 3 {ACC1:acc#700.itm(0)} {ACC1:acc#700.itm(1)} {ACC1:acc#700.itm(2)} -attr xrf 62999 -attr oid 189 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load net {ACC1:slc#155.itm(0)} -attr vt d
+load net {ACC1:slc#155.itm(1)} -attr vt d
+load net {ACC1:slc#155.itm(2)} -attr vt d
+load netBundle {ACC1:slc#155.itm} 3 {ACC1:slc#155.itm(0)} {ACC1:slc#155.itm(1)} {ACC1:slc#155.itm(2)} -attr xrf 63000 -attr oid 190 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#155.itm}
+load net {ACC1:acc#691.itm(0)} -attr vt d
+load net {ACC1:acc#691.itm(1)} -attr vt d
+load net {ACC1:acc#691.itm(2)} -attr vt d
+load net {ACC1:acc#691.itm(3)} -attr vt d
+load netBundle {ACC1:acc#691.itm} 4 {ACC1:acc#691.itm(0)} {ACC1:acc#691.itm(1)} {ACC1:acc#691.itm(2)} {ACC1:acc#691.itm(3)} -attr xrf 63001 -attr oid 191 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#691.itm}
+load net {conc#901.itm(0)} -attr vt d
+load net {conc#901.itm(1)} -attr vt d
+load net {conc#901.itm(2)} -attr vt d
+load netBundle {conc#901.itm} 3 {conc#901.itm(0)} {conc#901.itm(1)} {conc#901.itm(2)} -attr xrf 63002 -attr oid 192 -attr vt d -attr @path {/sobel/sobel:core/conc#901.itm}
+load net {ACC1:conc#1435.itm(0)} -attr vt d
+load net {ACC1:conc#1435.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1435.itm} 2 {ACC1:conc#1435.itm(0)} {ACC1:conc#1435.itm(1)} -attr xrf 63003 -attr oid 193 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1435.itm}
+load net {slc(ACC1:acc#223.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#223.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#223.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#223.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#223.psp#1.sva)#2.itm(1)} -attr xrf 63004 -attr oid 194 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp#1.sva)#2.itm}
+load net {ACC1:slc#156.itm(0)} -attr vt d
+load net {ACC1:slc#156.itm(1)} -attr vt d
+load net {ACC1:slc#156.itm(2)} -attr vt d
+load net {ACC1:slc#156.itm(3)} -attr vt d
+load netBundle {ACC1:slc#156.itm} 4 {ACC1:slc#156.itm(0)} {ACC1:slc#156.itm(1)} {ACC1:slc#156.itm(2)} {ACC1:slc#156.itm(3)} -attr xrf 63005 -attr oid 195 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#156.itm}
+load net {ACC1:acc#692.itm(0)} -attr vt d
+load net {ACC1:acc#692.itm(1)} -attr vt d
+load net {ACC1:acc#692.itm(2)} -attr vt d
+load net {ACC1:acc#692.itm(3)} -attr vt d
+load net {ACC1:acc#692.itm(4)} -attr vt d
+load netBundle {ACC1:acc#692.itm} 5 {ACC1:acc#692.itm(0)} {ACC1:acc#692.itm(1)} {ACC1:acc#692.itm(2)} {ACC1:acc#692.itm(3)} {ACC1:acc#692.itm(4)} -attr xrf 63006 -attr oid 196 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692.itm}
+load net {conc#902.itm(0)} -attr vt d
+load net {conc#902.itm(1)} -attr vt d
+load net {conc#902.itm(2)} -attr vt d
+load netBundle {conc#902.itm} 3 {conc#902.itm(0)} {conc#902.itm(1)} {conc#902.itm(2)} -attr xrf 63007 -attr oid 197 -attr vt d -attr @path {/sobel/sobel:core/conc#902.itm}
+load net {ACC1:conc#1437.itm(0)} -attr vt d
+load net {ACC1:conc#1437.itm(1)} -attr vt d
+load net {ACC1:conc#1437.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1437.itm} 3 {ACC1:conc#1437.itm(0)} {ACC1:conc#1437.itm(1)} {ACC1:conc#1437.itm(2)} -attr xrf 63008 -attr oid 198 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1437.itm}
+load net {ACC1:acc#704.itm(0)} -attr vt d
+load net {ACC1:acc#704.itm(1)} -attr vt d
+load net {ACC1:acc#704.itm(2)} -attr vt d
+load net {ACC1:acc#704.itm(3)} -attr vt d
+load net {ACC1:acc#704.itm(4)} -attr vt d
+load netBundle {ACC1:acc#704.itm} 5 {ACC1:acc#704.itm(0)} {ACC1:acc#704.itm(1)} {ACC1:acc#704.itm(2)} {ACC1:acc#704.itm(3)} {ACC1:acc#704.itm(4)} -attr xrf 63009 -attr oid 199 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1-1:conc#594.itm(0)} -attr vt d
+load net {ACC1-1:conc#594.itm(1)} -attr vt d
+load net {ACC1-1:conc#594.itm(2)} -attr vt d
+load net {ACC1-1:conc#594.itm(3)} -attr vt d
+load netBundle {ACC1-1:conc#594.itm} 4 {ACC1-1:conc#594.itm(0)} {ACC1-1:conc#594.itm(1)} {ACC1-1:conc#594.itm(2)} {ACC1-1:conc#594.itm(3)} -attr xrf 63010 -attr oid 200 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#594.itm}
+load net {ACC1-1:exs#1054.itm(0)} -attr vt d
+load net {ACC1-1:exs#1054.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1054.itm} 2 {ACC1-1:exs#1054.itm(0)} {ACC1-1:exs#1054.itm(1)} -attr xrf 63011 -attr oid 201 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1054.itm}
+load net {conc#903.itm(0)} -attr vt d
+load net {conc#903.itm(1)} -attr vt d
+load net {conc#903.itm(2)} -attr vt d
+load net {conc#903.itm(3)} -attr vt d
+load net {conc#903.itm(4)} -attr vt d
+load net {conc#903.itm(5)} -attr vt d
+load net {conc#903.itm(6)} -attr vt d
+load netBundle {conc#903.itm} 7 {conc#903.itm(0)} {conc#903.itm(1)} {conc#903.itm(2)} {conc#903.itm(3)} {conc#903.itm(4)} {conc#903.itm(5)} {conc#903.itm(6)} -attr xrf 63012 -attr oid 202 -attr vt d -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {ACC1:acc#710.itm(0)} -attr vt d
+load net {ACC1:acc#710.itm(1)} -attr vt d
+load net {ACC1:acc#710.itm(2)} -attr vt d
+load net {ACC1:acc#710.itm(3)} -attr vt d
+load net {ACC1:acc#710.itm(4)} -attr vt d
+load net {ACC1:acc#710.itm(5)} -attr vt d
+load net {ACC1:acc#710.itm(6)} -attr vt d
+load net {ACC1:acc#710.itm(7)} -attr vt d
+load netBundle {ACC1:acc#710.itm} 8 {ACC1:acc#710.itm(0)} {ACC1:acc#710.itm(1)} {ACC1:acc#710.itm(2)} {ACC1:acc#710.itm(3)} {ACC1:acc#710.itm(4)} {ACC1:acc#710.itm(5)} {ACC1:acc#710.itm(6)} {ACC1:acc#710.itm(7)} -attr xrf 63013 -attr oid 203 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1-1:exs#1040.itm(0)} -attr vt d
+load net {ACC1-1:exs#1040.itm(1)} -attr vt d
+load net {ACC1-1:exs#1040.itm(2)} -attr vt d
+load net {ACC1-1:exs#1040.itm(3)} -attr vt d
+load net {ACC1-1:exs#1040.itm(4)} -attr vt d
+load net {ACC1-1:exs#1040.itm(5)} -attr vt d
+load net {ACC1-1:exs#1040.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#1040.itm} 7 {ACC1-1:exs#1040.itm(0)} {ACC1-1:exs#1040.itm(1)} {ACC1-1:exs#1040.itm(2)} {ACC1-1:exs#1040.itm(3)} {ACC1-1:exs#1040.itm(4)} {ACC1-1:exs#1040.itm(5)} {ACC1-1:exs#1040.itm(6)} -attr xrf 63014 -attr oid 204 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {ACC1-1:conc#538.itm(0)} -attr vt d
+load net {ACC1-1:conc#538.itm(1)} -attr vt d
+load net {ACC1-1:conc#538.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#538.itm} 3 {ACC1-1:conc#538.itm(0)} {ACC1-1:conc#538.itm(1)} {ACC1-1:conc#538.itm(2)} -attr xrf 63015 -attr oid 205 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#538.itm}
+load net {ACC1-1:exs#1047.itm(0)} -attr vt d
+load net {ACC1-1:exs#1047.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1047.itm} 2 {ACC1-1:exs#1047.itm(0)} {ACC1-1:exs#1047.itm(1)} -attr xrf 63016 -attr oid 206 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1047.itm}
+load net {ACC1:acc#707.itm(0)} -attr vt d
+load net {ACC1:acc#707.itm(1)} -attr vt d
+load net {ACC1:acc#707.itm(2)} -attr vt d
+load net {ACC1:acc#707.itm(3)} -attr vt d
+load net {ACC1:acc#707.itm(4)} -attr vt d
+load net {ACC1:acc#707.itm(5)} -attr vt d
+load netBundle {ACC1:acc#707.itm} 6 {ACC1:acc#707.itm(0)} {ACC1:acc#707.itm(1)} {ACC1:acc#707.itm(2)} {ACC1:acc#707.itm(3)} {ACC1:acc#707.itm(4)} {ACC1:acc#707.itm(5)} -attr xrf 63017 -attr oid 207 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {conc#904.itm(0)} -attr vt d
+load net {conc#904.itm(1)} -attr vt d
+load net {conc#904.itm(2)} -attr vt d
+load net {conc#904.itm(3)} -attr vt d
+load net {conc#904.itm(4)} -attr vt d
+load netBundle {conc#904.itm} 5 {conc#904.itm(0)} {conc#904.itm(1)} {conc#904.itm(2)} {conc#904.itm(3)} {conc#904.itm(4)} -attr xrf 63018 -attr oid 208 -attr vt d -attr @path {/sobel/sobel:core/conc#904.itm}
+load net {ACC1:acc#703.itm(0)} -attr vt d
+load net {ACC1:acc#703.itm(1)} -attr vt d
+load net {ACC1:acc#703.itm(2)} -attr vt d
+load net {ACC1:acc#703.itm(3)} -attr vt d
+load netBundle {ACC1:acc#703.itm} 4 {ACC1:acc#703.itm(0)} {ACC1:acc#703.itm(1)} {ACC1:acc#703.itm(2)} {ACC1:acc#703.itm(3)} -attr xrf 63019 -attr oid 209 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:slc#161.itm(0)} -attr vt d
+load net {ACC1:slc#161.itm(1)} -attr vt d
+load net {ACC1:slc#161.itm(2)} -attr vt d
+load netBundle {ACC1:slc#161.itm} 3 {ACC1:slc#161.itm(0)} {ACC1:slc#161.itm(1)} {ACC1:slc#161.itm(2)} -attr xrf 63020 -attr oid 210 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#161.itm}
+load net {ACC1:acc#697.itm(0)} -attr vt d
+load net {ACC1:acc#697.itm(1)} -attr vt d
+load net {ACC1:acc#697.itm(2)} -attr vt d
+load net {ACC1:acc#697.itm(3)} -attr vt d
+load netBundle {ACC1:acc#697.itm} 4 {ACC1:acc#697.itm(0)} {ACC1:acc#697.itm(1)} {ACC1:acc#697.itm(2)} {ACC1:acc#697.itm(3)} -attr xrf 63021 -attr oid 211 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#697.itm}
+load net {exs#91.itm(0)} -attr vt d
+load net {exs#91.itm(1)} -attr vt d
+load net {exs#91.itm(2)} -attr vt d
+load netBundle {exs#91.itm} 3 {exs#91.itm(0)} {exs#91.itm(1)} {exs#91.itm(2)} -attr xrf 63022 -attr oid 212 -attr vt d -attr @path {/sobel/sobel:core/exs#91.itm}
+load net {conc#905.itm(0)} -attr vt d
+load net {conc#905.itm(1)} -attr vt d
+load netBundle {conc#905.itm} 2 {conc#905.itm(0)} {conc#905.itm(1)} -attr xrf 63023 -attr oid 213 -attr vt d -attr @path {/sobel/sobel:core/conc#905.itm}
+load net {ACC1:exs#1493.itm(0)} -attr vt d
+load net {ACC1:exs#1493.itm(1)} -attr vt d
+load net {ACC1:exs#1493.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1493.itm} 3 {ACC1:exs#1493.itm(0)} {ACC1:exs#1493.itm(1)} {ACC1:exs#1493.itm(2)} -attr xrf 63024 -attr oid 214 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1493.itm}
+load net {ACC1:conc#1447.itm(0)} -attr vt d
+load net {ACC1:conc#1447.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1447.itm} 2 {ACC1:conc#1447.itm(0)} {ACC1:conc#1447.itm(1)} -attr xrf 63025 -attr oid 215 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1447.itm}
+load net {conc#907.itm(0)} -attr vt d
+load net {conc#907.itm(1)} -attr vt d
+load net {conc#907.itm(2)} -attr vt d
+load net {conc#907.itm(3)} -attr vt d
+load net {conc#907.itm(4)} -attr vt d
+load net {conc#907.itm(5)} -attr vt d
+load net {conc#907.itm(6)} -attr vt d
+load net {conc#907.itm(7)} -attr vt d
+load net {conc#907.itm(8)} -attr vt d
+load net {conc#907.itm(9)} -attr vt d
+load net {conc#907.itm(10)} -attr vt d
+load netBundle {conc#907.itm} 11 {conc#907.itm(0)} {conc#907.itm(1)} {conc#907.itm(2)} {conc#907.itm(3)} {conc#907.itm(4)} {conc#907.itm(5)} {conc#907.itm(6)} {conc#907.itm(7)} {conc#907.itm(8)} {conc#907.itm(9)} {conc#907.itm(10)} -attr xrf 63026 -attr oid 216 -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {ACC1:acc#720.itm(0)} -attr vt d
+load net {ACC1:acc#720.itm(1)} -attr vt d
+load net {ACC1:acc#720.itm(2)} -attr vt d
+load netBundle {ACC1:acc#720.itm} 3 {ACC1:acc#720.itm(0)} {ACC1:acc#720.itm(1)} {ACC1:acc#720.itm(2)} -attr xrf 63027 -attr oid 217 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#720.itm}
+load net {ACC1:exs#1654.itm(0)} -attr vt d
+load net {ACC1:exs#1654.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1654.itm} 2 {ACC1:exs#1654.itm(0)} {ACC1:exs#1654.itm(1)} -attr xrf 63028 -attr oid 218 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1654.itm}
+load net {ACC1:acc#658.itm(0)} -attr vt d
+load net {ACC1:acc#658.itm(1)} -attr vt d
+load net {ACC1:acc#658.itm(2)} -attr vt d
+load net {ACC1:acc#658.itm(3)} -attr vt d
+load net {ACC1:acc#658.itm(4)} -attr vt d
+load net {ACC1:acc#658.itm(5)} -attr vt d
+load net {ACC1:acc#658.itm(6)} -attr vt d
+load net {ACC1:acc#658.itm(7)} -attr vt d
+load net {ACC1:acc#658.itm(8)} -attr vt d
+load net {ACC1:acc#658.itm(9)} -attr vt d
+load net {ACC1:acc#658.itm(10)} -attr vt d
+load net {ACC1:acc#658.itm(11)} -attr vt d
+load net {ACC1:acc#658.itm(12)} -attr vt d
+load netBundle {ACC1:acc#658.itm} 13 {ACC1:acc#658.itm(0)} {ACC1:acc#658.itm(1)} {ACC1:acc#658.itm(2)} {ACC1:acc#658.itm(3)} {ACC1:acc#658.itm(4)} {ACC1:acc#658.itm(5)} {ACC1:acc#658.itm(6)} {ACC1:acc#658.itm(7)} {ACC1:acc#658.itm(8)} {ACC1:acc#658.itm(9)} {ACC1:acc#658.itm(10)} {ACC1:acc#658.itm(11)} {ACC1:acc#658.itm(12)} -attr xrf 63029 -attr oid 219 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#651.itm(0)} -attr vt d
+load net {ACC1:acc#651.itm(1)} -attr vt d
+load net {ACC1:acc#651.itm(2)} -attr vt d
+load net {ACC1:acc#651.itm(3)} -attr vt d
+load net {ACC1:acc#651.itm(4)} -attr vt d
+load net {ACC1:acc#651.itm(5)} -attr vt d
+load net {ACC1:acc#651.itm(6)} -attr vt d
+load net {ACC1:acc#651.itm(7)} -attr vt d
+load net {ACC1:acc#651.itm(8)} -attr vt d
+load net {ACC1:acc#651.itm(9)} -attr vt d
+load net {ACC1:acc#651.itm(10)} -attr vt d
+load net {ACC1:acc#651.itm(11)} -attr vt d
+load netBundle {ACC1:acc#651.itm} 12 {ACC1:acc#651.itm(0)} {ACC1:acc#651.itm(1)} {ACC1:acc#651.itm(2)} {ACC1:acc#651.itm(3)} {ACC1:acc#651.itm(4)} {ACC1:acc#651.itm(5)} {ACC1:acc#651.itm(6)} {ACC1:acc#651.itm(7)} {ACC1:acc#651.itm(8)} {ACC1:acc#651.itm(9)} {ACC1:acc#651.itm(10)} {ACC1:acc#651.itm(11)} -attr xrf 63030 -attr oid 220 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#646.itm(0)} -attr vt d
+load net {ACC1:acc#646.itm(1)} -attr vt d
+load net {ACC1:acc#646.itm(2)} -attr vt d
+load net {ACC1:acc#646.itm(3)} -attr vt d
+load net {ACC1:acc#646.itm(4)} -attr vt d
+load net {ACC1:acc#646.itm(5)} -attr vt d
+load net {ACC1:acc#646.itm(6)} -attr vt d
+load net {ACC1:acc#646.itm(7)} -attr vt d
+load net {ACC1:acc#646.itm(8)} -attr vt d
+load net {ACC1:acc#646.itm(9)} -attr vt d
+load netBundle {ACC1:acc#646.itm} 10 {ACC1:acc#646.itm(0)} {ACC1:acc#646.itm(1)} {ACC1:acc#646.itm(2)} {ACC1:acc#646.itm(3)} {ACC1:acc#646.itm(4)} {ACC1:acc#646.itm(5)} {ACC1:acc#646.itm(6)} {ACC1:acc#646.itm(7)} {ACC1:acc#646.itm(8)} {ACC1:acc#646.itm(9)} -attr xrf 63031 -attr oid 221 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#635.itm(0)} -attr vt d
+load net {ACC1:acc#635.itm(1)} -attr vt d
+load net {ACC1:acc#635.itm(2)} -attr vt d
+load net {ACC1:acc#635.itm(3)} -attr vt d
+load net {ACC1:acc#635.itm(4)} -attr vt d
+load net {ACC1:acc#635.itm(5)} -attr vt d
+load net {ACC1:acc#635.itm(6)} -attr vt d
+load net {ACC1:acc#635.itm(7)} -attr vt d
+load net {ACC1:acc#635.itm(8)} -attr vt d
+load netBundle {ACC1:acc#635.itm} 9 {ACC1:acc#635.itm(0)} {ACC1:acc#635.itm(1)} {ACC1:acc#635.itm(2)} {ACC1:acc#635.itm(3)} {ACC1:acc#635.itm(4)} {ACC1:acc#635.itm(5)} {ACC1:acc#635.itm(6)} {ACC1:acc#635.itm(7)} {ACC1:acc#635.itm(8)} -attr xrf 63032 -attr oid 222 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#620.itm(0)} -attr vt d
+load net {ACC1:acc#620.itm(1)} -attr vt d
+load net {ACC1:acc#620.itm(2)} -attr vt d
+load net {ACC1:acc#620.itm(3)} -attr vt d
+load net {ACC1:acc#620.itm(4)} -attr vt d
+load net {ACC1:acc#620.itm(5)} -attr vt d
+load net {ACC1:acc#620.itm(6)} -attr vt d
+load netBundle {ACC1:acc#620.itm} 7 {ACC1:acc#620.itm(0)} {ACC1:acc#620.itm(1)} {ACC1:acc#620.itm(2)} {ACC1:acc#620.itm(3)} {ACC1:acc#620.itm(4)} {ACC1:acc#620.itm(5)} {ACC1:acc#620.itm(6)} -attr xrf 63033 -attr oid 223 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#601.itm(0)} -attr vt d
+load net {ACC1:acc#601.itm(1)} -attr vt d
+load net {ACC1:acc#601.itm(2)} -attr vt d
+load net {ACC1:acc#601.itm(3)} -attr vt d
+load net {ACC1:acc#601.itm(4)} -attr vt d
+load net {ACC1:acc#601.itm(5)} -attr vt d
+load netBundle {ACC1:acc#601.itm} 6 {ACC1:acc#601.itm(0)} {ACC1:acc#601.itm(1)} {ACC1:acc#601.itm(2)} {ACC1:acc#601.itm(3)} {ACC1:acc#601.itm(4)} {ACC1:acc#601.itm(5)} -attr xrf 63034 -attr oid 224 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#572.itm(0)} -attr vt d
+load net {ACC1:acc#572.itm(1)} -attr vt d
+load net {ACC1:acc#572.itm(2)} -attr vt d
+load net {ACC1:acc#572.itm(3)} -attr vt d
+load net {ACC1:acc#572.itm(4)} -attr vt d
+load netBundle {ACC1:acc#572.itm} 5 {ACC1:acc#572.itm(0)} {ACC1:acc#572.itm(1)} {ACC1:acc#572.itm(2)} {ACC1:acc#572.itm(3)} {ACC1:acc#572.itm(4)} -attr xrf 63035 -attr oid 225 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:conc#1108.itm(0)} -attr vt d
+load net {ACC1:conc#1108.itm(1)} -attr vt d
+load net {ACC1:conc#1108.itm(2)} -attr vt d
+load net {ACC1:conc#1108.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1108.itm} 4 {ACC1:conc#1108.itm(0)} {ACC1:conc#1108.itm(1)} {ACC1:conc#1108.itm(2)} {ACC1:conc#1108.itm(3)} -attr xrf 63036 -attr oid 226 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1108.itm}
+load net {ACC1-3:exs#1063.itm(0)} -attr vt d
+load net {ACC1-3:exs#1063.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1063.itm} 2 {ACC1-3:exs#1063.itm(0)} {ACC1-3:exs#1063.itm(1)} -attr xrf 63037 -attr oid 227 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1063.itm}
+load net {ACC1:conc#1109.itm(0)} -attr vt d
+load net {ACC1:conc#1109.itm(1)} -attr vt d
+load net {ACC1:conc#1109.itm(2)} -attr vt d
+load net {ACC1:conc#1109.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1109.itm} 4 {ACC1:conc#1109.itm(0)} {ACC1:conc#1109.itm(1)} {ACC1:conc#1109.itm(2)} {ACC1:conc#1109.itm(3)} -attr xrf 63038 -attr oid 228 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1109.itm}
+load net {ACC1-2:exs#1053.itm(0)} -attr vt d
+load net {ACC1-2:exs#1053.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1053.itm} 2 {ACC1-2:exs#1053.itm(0)} {ACC1-2:exs#1053.itm(1)} -attr xrf 63039 -attr oid 229 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1053.itm}
+load net {ACC1:acc#571.itm(0)} -attr vt d
+load net {ACC1:acc#571.itm(1)} -attr vt d
+load net {ACC1:acc#571.itm(2)} -attr vt d
+load net {ACC1:acc#571.itm(3)} -attr vt d
+load net {ACC1:acc#571.itm(4)} -attr vt d
+load netBundle {ACC1:acc#571.itm} 5 {ACC1:acc#571.itm(0)} {ACC1:acc#571.itm(1)} {ACC1:acc#571.itm(2)} {ACC1:acc#571.itm(3)} {ACC1:acc#571.itm(4)} -attr xrf 63040 -attr oid 230 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:conc#1110.itm(0)} -attr vt d
+load net {ACC1:conc#1110.itm(1)} -attr vt d
+load net {ACC1:conc#1110.itm(2)} -attr vt d
+load net {ACC1:conc#1110.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1110.itm} 4 {ACC1:conc#1110.itm(0)} {ACC1:conc#1110.itm(1)} {ACC1:conc#1110.itm(2)} {ACC1:conc#1110.itm(3)} -attr xrf 63041 -attr oid 231 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1110.itm}
+load net {ACC1-2:exs#1054.itm(0)} -attr vt d
+load net {ACC1-2:exs#1054.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1054.itm} 2 {ACC1-2:exs#1054.itm(0)} {ACC1-2:exs#1054.itm(1)} -attr xrf 63042 -attr oid 232 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1054.itm}
+load net {ACC1:conc#1111.itm(0)} -attr vt d
+load net {ACC1:conc#1111.itm(1)} -attr vt d
+load net {ACC1:conc#1111.itm(2)} -attr vt d
+load net {ACC1:conc#1111.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1111.itm} 4 {ACC1:conc#1111.itm(0)} {ACC1:conc#1111.itm(1)} {ACC1:conc#1111.itm(2)} {ACC1:conc#1111.itm(3)} -attr xrf 63043 -attr oid 233 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1111.itm}
+load net {ACC1-2:exs#1055.itm(0)} -attr vt d
+load net {ACC1-2:exs#1055.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1055.itm} 2 {ACC1-2:exs#1055.itm(0)} {ACC1-2:exs#1055.itm(1)} -attr xrf 63044 -attr oid 234 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1055.itm}
+load net {ACC1:acc#600.itm(0)} -attr vt d
+load net {ACC1:acc#600.itm(1)} -attr vt d
+load net {ACC1:acc#600.itm(2)} -attr vt d
+load net {ACC1:acc#600.itm(3)} -attr vt d
+load net {ACC1:acc#600.itm(4)} -attr vt d
+load net {ACC1:acc#600.itm(5)} -attr vt d
+load netBundle {ACC1:acc#600.itm} 6 {ACC1:acc#600.itm(0)} {ACC1:acc#600.itm(1)} {ACC1:acc#600.itm(2)} {ACC1:acc#600.itm(3)} {ACC1:acc#600.itm(4)} {ACC1:acc#600.itm(5)} -attr xrf 63045 -attr oid 235 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#570.itm(0)} -attr vt d
+load net {ACC1:acc#570.itm(1)} -attr vt d
+load net {ACC1:acc#570.itm(2)} -attr vt d
+load net {ACC1:acc#570.itm(3)} -attr vt d
+load net {ACC1:acc#570.itm(4)} -attr vt d
+load netBundle {ACC1:acc#570.itm} 5 {ACC1:acc#570.itm(0)} {ACC1:acc#570.itm(1)} {ACC1:acc#570.itm(2)} {ACC1:acc#570.itm(3)} {ACC1:acc#570.itm(4)} -attr xrf 63046 -attr oid 236 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {conc#908.itm(0)} -attr vt d
+load net {conc#908.itm(1)} -attr vt d
+load net {conc#908.itm(2)} -attr vt d
+load net {conc#908.itm(3)} -attr vt d
+load netBundle {conc#908.itm} 4 {conc#908.itm(0)} {conc#908.itm(1)} {conc#908.itm(2)} {conc#908.itm(3)} -attr xrf 63047 -attr oid 237 -attr vt d -attr @path {/sobel/sobel:core/conc#908.itm}
+load net {ACC1:conc#1113.itm(0)} -attr vt d
+load net {ACC1:conc#1113.itm(1)} -attr vt d
+load net {ACC1:conc#1113.itm(2)} -attr vt d
+load net {ACC1:conc#1113.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1113.itm} 4 {ACC1:conc#1113.itm(0)} {ACC1:conc#1113.itm(1)} {ACC1:conc#1113.itm(2)} {ACC1:conc#1113.itm(3)} -attr xrf 63048 -attr oid 238 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1113.itm}
+load net {ACC1-2:exs#1045.itm(0)} -attr vt d
+load net {ACC1-2:exs#1045.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1045.itm} 2 {ACC1-2:exs#1045.itm(0)} {ACC1-2:exs#1045.itm(1)} -attr xrf 63049 -attr oid 239 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1045.itm}
+load net {ACC1:acc#524.itm(0)} -attr vt d
+load net {ACC1:acc#524.itm(1)} -attr vt d
+load net {ACC1:acc#524.itm(2)} -attr vt d
+load net {ACC1:acc#524.itm(3)} -attr vt d
+load net {ACC1:acc#524.itm(4)} -attr vt d
+load netBundle {ACC1:acc#524.itm} 5 {ACC1:acc#524.itm(0)} {ACC1:acc#524.itm(1)} {ACC1:acc#524.itm(2)} {ACC1:acc#524.itm(3)} {ACC1:acc#524.itm(4)} -attr xrf 63050 -attr oid 240 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:slc#93.itm(0)} -attr vt d
+load net {ACC1:slc#93.itm(1)} -attr vt d
+load net {ACC1:slc#93.itm(2)} -attr vt d
+load netBundle {ACC1:slc#93.itm} 3 {ACC1:slc#93.itm(0)} {ACC1:slc#93.itm(1)} {ACC1:slc#93.itm(2)} -attr xrf 63051 -attr oid 241 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#425.itm(0)} -attr vt d
+load net {ACC1:acc#425.itm(1)} -attr vt d
+load net {ACC1:acc#425.itm(2)} -attr vt d
+load net {ACC1:acc#425.itm(3)} -attr vt d
+load netBundle {ACC1:acc#425.itm} 4 {ACC1:acc#425.itm(0)} {ACC1:acc#425.itm(1)} {ACC1:acc#425.itm(2)} {ACC1:acc#425.itm(3)} -attr xrf 63052 -attr oid 242 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#425.itm}
+load net {conc#909.itm(0)} -attr vt d
+load net {conc#909.itm(1)} -attr vt d
+load net {conc#909.itm(2)} -attr vt d
+load netBundle {conc#909.itm} 3 {conc#909.itm(0)} {conc#909.itm(1)} {conc#909.itm(2)} -attr xrf 63053 -attr oid 243 -attr vt d -attr @path {/sobel/sobel:core/conc#909.itm}
+load net {ACC1:conc#1309.itm(0)} -attr vt d
+load net {ACC1:conc#1309.itm(1)} -attr vt d
+load net {ACC1:conc#1309.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1309.itm} 3 {ACC1:conc#1309.itm(0)} {ACC1:conc#1309.itm(1)} {ACC1:conc#1309.itm(2)} -attr xrf 63054 -attr oid 244 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1309.itm}
+load net {ACC1:conc#1097.itm(0)} -attr vt d
+load net {ACC1:conc#1097.itm(1)} -attr vt d
+load net {ACC1:conc#1097.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1097.itm} 3 {ACC1:conc#1097.itm(0)} {ACC1:conc#1097.itm(1)} {ACC1:conc#1097.itm(2)} -attr xrf 63055 -attr oid 245 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1097.itm}
+load net {slc(ACC1:acc#223.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#223.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#223.psp.sva)#2.itm} 2 {slc(ACC1:acc#223.psp.sva)#2.itm(0)} {slc(ACC1:acc#223.psp.sva)#2.itm(1)} -attr xrf 63056 -attr oid 246 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp.sva)#2.itm}
+load net {ACC1:acc#619.itm(0)} -attr vt d
+load net {ACC1:acc#619.itm(1)} -attr vt d
+load net {ACC1:acc#619.itm(2)} -attr vt d
+load net {ACC1:acc#619.itm(3)} -attr vt d
+load net {ACC1:acc#619.itm(4)} -attr vt d
+load net {ACC1:acc#619.itm(5)} -attr vt d
+load net {ACC1:acc#619.itm(6)} -attr vt d
+load netBundle {ACC1:acc#619.itm} 7 {ACC1:acc#619.itm(0)} {ACC1:acc#619.itm(1)} {ACC1:acc#619.itm(2)} {ACC1:acc#619.itm(3)} {ACC1:acc#619.itm(4)} {ACC1:acc#619.itm(5)} {ACC1:acc#619.itm(6)} -attr xrf 63057 -attr oid 247 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#573.itm(0)} -attr vt d
+load net {ACC1:acc#573.itm(1)} -attr vt d
+load net {ACC1:acc#573.itm(2)} -attr vt d
+load net {ACC1:acc#573.itm(3)} -attr vt d
+load net {ACC1:acc#573.itm(4)} -attr vt d
+load net {ACC1:acc#573.itm(5)} -attr vt d
+load netBundle {ACC1:acc#573.itm} 6 {ACC1:acc#573.itm(0)} {ACC1:acc#573.itm(1)} {ACC1:acc#573.itm(2)} {ACC1:acc#573.itm(3)} {ACC1:acc#573.itm(4)} {ACC1:acc#573.itm(5)} -attr xrf 63058 -attr oid 248 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:slc#111.itm(0)} -attr vt d
+load net {ACC1:slc#111.itm(1)} -attr vt d
+load net {ACC1:slc#111.itm(2)} -attr vt d
+load net {ACC1:slc#111.itm(3)} -attr vt d
+load netBundle {ACC1:slc#111.itm} 4 {ACC1:slc#111.itm(0)} {ACC1:slc#111.itm(1)} {ACC1:slc#111.itm(2)} {ACC1:slc#111.itm(3)} -attr xrf 63059 -attr oid 249 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#111.itm}
+load net {ACC1:acc#443.itm(0)} -attr vt d
+load net {ACC1:acc#443.itm(1)} -attr vt d
+load net {ACC1:acc#443.itm(2)} -attr vt d
+load net {ACC1:acc#443.itm(3)} -attr vt d
+load net {ACC1:acc#443.itm(4)} -attr vt d
+load netBundle {ACC1:acc#443.itm} 5 {ACC1:acc#443.itm(0)} {ACC1:acc#443.itm(1)} {ACC1:acc#443.itm(2)} {ACC1:acc#443.itm(3)} {ACC1:acc#443.itm(4)} -attr xrf 63060 -attr oid 250 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443.itm}
+load net {exs#54.itm(0)} -attr vt d
+load net {exs#54.itm(1)} -attr vt d
+load net {exs#54.itm(2)} -attr vt d
+load netBundle {exs#54.itm} 3 {exs#54.itm(0)} {exs#54.itm(1)} {exs#54.itm(2)} -attr xrf 63061 -attr oid 251 -attr vt d -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {conc#910.itm(0)} -attr vt d
+load net {conc#910.itm(1)} -attr vt d
+load netBundle {conc#910.itm} 2 {conc#910.itm(0)} {conc#910.itm(1)} -attr xrf 63062 -attr oid 252 -attr vt d -attr @path {/sobel/sobel:core/conc#910.itm}
+load net {ACC1:conc#1345.itm(0)} -attr vt d
+load net {ACC1:conc#1345.itm(1)} -attr vt d
+load net {ACC1:conc#1345.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1345.itm} 3 {ACC1:conc#1345.itm(0)} {ACC1:conc#1345.itm(1)} {ACC1:conc#1345.itm(2)} -attr xrf 63063 -attr oid 253 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1345.itm}
+load net {slc(ACC1:acc#220.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#220.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#220.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#220.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#220.psp#1.sva)#2.itm(1)} -attr xrf 63064 -attr oid 254 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva)#2.itm}
+load net {ACC1:conc#1107.itm(0)} -attr vt d
+load net {ACC1:conc#1107.itm(1)} -attr vt d
+load net {ACC1:conc#1107.itm(2)} -attr vt d
+load net {ACC1:conc#1107.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1107.itm} 4 {ACC1:conc#1107.itm(0)} {ACC1:conc#1107.itm(1)} {ACC1:conc#1107.itm(2)} {ACC1:conc#1107.itm(3)} -attr xrf 63065 -attr oid 255 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1107.itm}
+load net {ACC1-3:exs#1064.itm(0)} -attr vt d
+load net {ACC1-3:exs#1064.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1064.itm} 2 {ACC1-3:exs#1064.itm(0)} {ACC1-3:exs#1064.itm(1)} -attr xrf 63066 -attr oid 256 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1064.itm}
+load net {ACC1:acc#569.itm(0)} -attr vt d
+load net {ACC1:acc#569.itm(1)} -attr vt d
+load net {ACC1:acc#569.itm(2)} -attr vt d
+load net {ACC1:acc#569.itm(3)} -attr vt d
+load net {ACC1:acc#569.itm(4)} -attr vt d
+load net {ACC1:acc#569.itm(5)} -attr vt d
+load netBundle {ACC1:acc#569.itm} 6 {ACC1:acc#569.itm(0)} {ACC1:acc#569.itm(1)} {ACC1:acc#569.itm(2)} {ACC1:acc#569.itm(3)} {ACC1:acc#569.itm(4)} {ACC1:acc#569.itm(5)} -attr xrf 63067 -attr oid 257 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:conc#1114.itm(0)} -attr vt d
+load net {ACC1:conc#1114.itm(1)} -attr vt d
+load net {ACC1:conc#1114.itm(2)} -attr vt d
+load net {ACC1:conc#1114.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1114.itm} 4 {ACC1:conc#1114.itm(0)} {ACC1:conc#1114.itm(1)} {ACC1:conc#1114.itm(2)} {ACC1:conc#1114.itm(3)} -attr xrf 63068 -attr oid 258 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1114.itm}
+load net {ACC1-2:exs#18.itm(0)} -attr vt d
+load net {ACC1-2:exs#18.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#18.itm} 2 {ACC1-2:exs#18.itm(0)} {ACC1-2:exs#18.itm(1)} -attr xrf 63069 -attr oid 259 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#18.itm}
+load net {ACC1:acc#519.itm(0)} -attr vt d
+load net {ACC1:acc#519.itm(1)} -attr vt d
+load net {ACC1:acc#519.itm(2)} -attr vt d
+load netBundle {ACC1:acc#519.itm} 3 {ACC1:acc#519.itm(0)} {ACC1:acc#519.itm(1)} {ACC1:acc#519.itm(2)} -attr xrf 63070 -attr oid 260 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load net {slc(ACC1:acc#220.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#220.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#220.psp.sva)#2.itm} 2 {slc(ACC1:acc#220.psp.sva)#2.itm(0)} {slc(ACC1:acc#220.psp.sva)#2.itm(1)} -attr xrf 63071 -attr oid 261 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp.sva)#2.itm}
+load net {slc(ACC1:acc#222.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#222.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#222.psp.sva)#2.itm} 2 {slc(ACC1:acc#222.psp.sva)#2.itm(0)} {slc(ACC1:acc#222.psp.sva)#2.itm(1)} -attr xrf 63072 -attr oid 262 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp.sva)#2.itm}
+load net {conc#911.itm(0)} -attr vt d
+load net {conc#911.itm(1)} -attr vt d
+load net {conc#911.itm(2)} -attr vt d
+load net {conc#911.itm(3)} -attr vt d
+load net {conc#911.itm(4)} -attr vt d
+load net {conc#911.itm(5)} -attr vt d
+load net {conc#911.itm(6)} -attr vt d
+load net {conc#911.itm(7)} -attr vt d
+load net {conc#911.itm(8)} -attr vt d
+load netBundle {conc#911.itm} 9 {conc#911.itm(0)} {conc#911.itm(1)} {conc#911.itm(2)} {conc#911.itm(3)} {conc#911.itm(4)} {conc#911.itm(5)} {conc#911.itm(6)} {conc#911.itm(7)} {conc#911.itm(8)} -attr xrf 63073 -attr oid 263 -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {ACC1-3:exs#1065.itm(0)} -attr vt d
+load net {ACC1-3:exs#1065.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1065.itm} 2 {ACC1-3:exs#1065.itm(0)} {ACC1-3:exs#1065.itm(1)} -attr xrf 63074 -attr oid 264 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1065.itm}
+load net {ACC1:mul#54.itm(0)} -attr vt d
+load net {ACC1:mul#54.itm(1)} -attr vt d
+load net {ACC1:mul#54.itm(2)} -attr vt d
+load net {ACC1:mul#54.itm(3)} -attr vt d
+load net {ACC1:mul#54.itm(4)} -attr vt d
+load net {ACC1:mul#54.itm(5)} -attr vt d
+load net {ACC1:mul#54.itm(6)} -attr vt d
+load net {ACC1:mul#54.itm(7)} -attr vt d
+load net {ACC1:mul#54.itm(8)} -attr vt d
+load net {ACC1:mul#54.itm(9)} -attr vt d
+load netBundle {ACC1:mul#54.itm} 10 {ACC1:mul#54.itm(0)} {ACC1:mul#54.itm(1)} {ACC1:mul#54.itm(2)} {ACC1:mul#54.itm(3)} {ACC1:mul#54.itm(4)} {ACC1:mul#54.itm(5)} {ACC1:mul#54.itm(6)} {ACC1:mul#54.itm(7)} {ACC1:mul#54.itm(8)} {ACC1:mul#54.itm(9)} -attr xrf 63075 -attr oid 265 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:acc#302.itm(0)} -attr vt d
+load net {ACC1:acc#302.itm(1)} -attr vt d
+load net {ACC1:acc#302.itm(2)} -attr vt d
+load net {ACC1:acc#302.itm(3)} -attr vt d
+load netBundle {ACC1:acc#302.itm} 4 {ACC1:acc#302.itm(0)} {ACC1:acc#302.itm(1)} {ACC1:acc#302.itm(2)} {ACC1:acc#302.itm(3)} -attr xrf 63076 -attr oid 266 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#303.itm(0)} -attr vt d
+load net {ACC1:acc#303.itm(1)} -attr vt d
+load net {ACC1:acc#303.itm(2)} -attr vt d
+load netBundle {ACC1:acc#303.itm} 3 {ACC1:acc#303.itm(0)} {ACC1:acc#303.itm(1)} {ACC1:acc#303.itm(2)} -attr xrf 63077 -attr oid 267 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#304.itm(0)} -attr vt d
+load net {ACC1:acc#304.itm(1)} -attr vt d
+load net {ACC1:acc#304.itm(2)} -attr vt d
+load netBundle {ACC1:acc#304.itm} 3 {ACC1:acc#304.itm(0)} {ACC1:acc#304.itm(1)} {ACC1:acc#304.itm(2)} -attr xrf 63078 -attr oid 268 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#305.itm(0)} -attr vt d
+load net {ACC1:acc#305.itm(1)} -attr vt d
+load net {ACC1:acc#305.itm(2)} -attr vt d
+load netBundle {ACC1:acc#305.itm} 3 {ACC1:acc#305.itm(0)} {ACC1:acc#305.itm(1)} {ACC1:acc#305.itm(2)} -attr xrf 63079 -attr oid 269 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#306.itm(0)} -attr vt d
+load net {ACC1:acc#306.itm(1)} -attr vt d
+load net {ACC1:acc#306.itm(2)} -attr vt d
+load netBundle {ACC1:acc#306.itm} 3 {ACC1:acc#306.itm(0)} {ACC1:acc#306.itm(1)} {ACC1:acc#306.itm(2)} -attr xrf 63080 -attr oid 270 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#307.itm(0)} -attr vt d
+load net {ACC1:acc#307.itm(1)} -attr vt d
+load netBundle {ACC1:acc#307.itm} 2 {ACC1:acc#307.itm(0)} {ACC1:acc#307.itm(1)} -attr xrf 63081 -attr oid 271 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#308.itm(0)} -attr vt d
+load net {ACC1:acc#308.itm(1)} -attr vt d
+load netBundle {ACC1:acc#308.itm} 2 {ACC1:acc#308.itm(0)} {ACC1:acc#308.itm(1)} -attr xrf 63082 -attr oid 272 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:mul#55.itm(0)} -attr vt d
+load net {ACC1:mul#55.itm(1)} -attr vt d
+load net {ACC1:mul#55.itm(2)} -attr vt d
+load net {ACC1:mul#55.itm(3)} -attr vt d
+load net {ACC1:mul#55.itm(4)} -attr vt d
+load net {ACC1:mul#55.itm(5)} -attr vt d
+load net {ACC1:mul#55.itm(6)} -attr vt d
+load net {ACC1:mul#55.itm(7)} -attr vt d
+load net {ACC1:mul#55.itm(8)} -attr vt d
+load net {ACC1:mul#55.itm(9)} -attr vt d
+load net {ACC1:mul#55.itm(10)} -attr vt d
+load net {ACC1:mul#55.itm(11)} -attr vt d
+load netBundle {ACC1:mul#55.itm} 12 {ACC1:mul#55.itm(0)} {ACC1:mul#55.itm(1)} {ACC1:mul#55.itm(2)} {ACC1:mul#55.itm(3)} {ACC1:mul#55.itm(4)} {ACC1:mul#55.itm(5)} {ACC1:mul#55.itm(6)} {ACC1:mul#55.itm(7)} {ACC1:mul#55.itm(8)} {ACC1:mul#55.itm(9)} {ACC1:mul#55.itm(10)} {ACC1:mul#55.itm(11)} -attr xrf 63083 -attr oid 273 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:acc#309.itm(0)} -attr vt d
+load net {ACC1:acc#309.itm(1)} -attr vt d
+load net {ACC1:acc#309.itm(2)} -attr vt d
+load net {ACC1:acc#309.itm(3)} -attr vt d
+load netBundle {ACC1:acc#309.itm} 4 {ACC1:acc#309.itm(0)} {ACC1:acc#309.itm(1)} {ACC1:acc#309.itm(2)} {ACC1:acc#309.itm(3)} -attr xrf 63084 -attr oid 274 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#310.itm(0)} -attr vt d
+load net {ACC1:acc#310.itm(1)} -attr vt d
+load net {ACC1:acc#310.itm(2)} -attr vt d
+load netBundle {ACC1:acc#310.itm} 3 {ACC1:acc#310.itm(0)} {ACC1:acc#310.itm(1)} {ACC1:acc#310.itm(2)} -attr xrf 63085 -attr oid 275 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#311.itm(0)} -attr vt d
+load net {ACC1:acc#311.itm(1)} -attr vt d
+load net {ACC1:acc#311.itm(2)} -attr vt d
+load netBundle {ACC1:acc#311.itm} 3 {ACC1:acc#311.itm(0)} {ACC1:acc#311.itm(1)} {ACC1:acc#311.itm(2)} -attr xrf 63086 -attr oid 276 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#312.itm(0)} -attr vt d
+load net {ACC1:acc#312.itm(1)} -attr vt d
+load net {ACC1:acc#312.itm(2)} -attr vt d
+load netBundle {ACC1:acc#312.itm} 3 {ACC1:acc#312.itm(0)} {ACC1:acc#312.itm(1)} {ACC1:acc#312.itm(2)} -attr xrf 63087 -attr oid 277 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#313.itm(0)} -attr vt d
+load net {ACC1:acc#313.itm(1)} -attr vt d
+load net {ACC1:acc#313.itm(2)} -attr vt d
+load netBundle {ACC1:acc#313.itm} 3 {ACC1:acc#313.itm(0)} {ACC1:acc#313.itm(1)} {ACC1:acc#313.itm(2)} -attr xrf 63088 -attr oid 278 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#314.itm(0)} -attr vt d
+load net {ACC1:acc#314.itm(1)} -attr vt d
+load netBundle {ACC1:acc#314.itm} 2 {ACC1:acc#314.itm(0)} {ACC1:acc#314.itm(1)} -attr xrf 63089 -attr oid 279 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#315.itm(0)} -attr vt d
+load net {ACC1:acc#315.itm(1)} -attr vt d
+load netBundle {ACC1:acc#315.itm} 2 {ACC1:acc#315.itm(0)} {ACC1:acc#315.itm(1)} -attr xrf 63090 -attr oid 280 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#661.itm(0)} -attr vt d
+load net {ACC1:acc#661.itm(1)} -attr vt d
+load net {ACC1:acc#661.itm(2)} -attr vt d
+load net {ACC1:acc#661.itm(3)} -attr vt d
+load net {ACC1:acc#661.itm(4)} -attr vt d
+load net {ACC1:acc#661.itm(5)} -attr vt d
+load net {ACC1:acc#661.itm(6)} -attr vt d
+load net {ACC1:acc#661.itm(7)} -attr vt d
+load net {ACC1:acc#661.itm(8)} -attr vt d
+load net {ACC1:acc#661.itm(9)} -attr vt d
+load net {ACC1:acc#661.itm(10)} -attr vt d
+load net {ACC1:acc#661.itm(11)} -attr vt d
+load net {ACC1:acc#661.itm(12)} -attr vt d
+load net {ACC1:acc#661.itm(13)} -attr vt d
+load netBundle {ACC1:acc#661.itm} 14 {ACC1:acc#661.itm(0)} {ACC1:acc#661.itm(1)} {ACC1:acc#661.itm(2)} {ACC1:acc#661.itm(3)} {ACC1:acc#661.itm(4)} {ACC1:acc#661.itm(5)} {ACC1:acc#661.itm(6)} {ACC1:acc#661.itm(7)} {ACC1:acc#661.itm(8)} {ACC1:acc#661.itm(9)} {ACC1:acc#661.itm(10)} {ACC1:acc#661.itm(11)} {ACC1:acc#661.itm(12)} {ACC1:acc#661.itm(13)} -attr xrf 63091 -attr oid 281 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#657.itm(0)} -attr vt d
+load net {ACC1:acc#657.itm(1)} -attr vt d
+load net {ACC1:acc#657.itm(2)} -attr vt d
+load net {ACC1:acc#657.itm(3)} -attr vt d
+load net {ACC1:acc#657.itm(4)} -attr vt d
+load net {ACC1:acc#657.itm(5)} -attr vt d
+load net {ACC1:acc#657.itm(6)} -attr vt d
+load net {ACC1:acc#657.itm(7)} -attr vt d
+load net {ACC1:acc#657.itm(8)} -attr vt d
+load net {ACC1:acc#657.itm(9)} -attr vt d
+load net {ACC1:acc#657.itm(10)} -attr vt d
+load net {ACC1:acc#657.itm(11)} -attr vt d
+load net {ACC1:acc#657.itm(12)} -attr vt d
+load netBundle {ACC1:acc#657.itm} 13 {ACC1:acc#657.itm(0)} {ACC1:acc#657.itm(1)} {ACC1:acc#657.itm(2)} {ACC1:acc#657.itm(3)} {ACC1:acc#657.itm(4)} {ACC1:acc#657.itm(5)} {ACC1:acc#657.itm(6)} {ACC1:acc#657.itm(7)} {ACC1:acc#657.itm(8)} {ACC1:acc#657.itm(9)} {ACC1:acc#657.itm(10)} {ACC1:acc#657.itm(11)} {ACC1:acc#657.itm(12)} -attr xrf 63092 -attr oid 282 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {conc#912.itm(0)} -attr vt d
+load net {conc#912.itm(1)} -attr vt d
+load net {conc#912.itm(2)} -attr vt d
+load net {conc#912.itm(3)} -attr vt d
+load net {conc#912.itm(4)} -attr vt d
+load net {conc#912.itm(5)} -attr vt d
+load net {conc#912.itm(6)} -attr vt d
+load net {conc#912.itm(7)} -attr vt d
+load net {conc#912.itm(8)} -attr vt d
+load net {conc#912.itm(9)} -attr vt d
+load net {conc#912.itm(10)} -attr vt d
+load net {conc#912.itm(11)} -attr vt d
+load netBundle {conc#912.itm} 12 {conc#912.itm(0)} {conc#912.itm(1)} {conc#912.itm(2)} {conc#912.itm(3)} {conc#912.itm(4)} {conc#912.itm(5)} {conc#912.itm(6)} {conc#912.itm(7)} {conc#912.itm(8)} {conc#912.itm(9)} {conc#912.itm(10)} {conc#912.itm(11)} -attr xrf 63093 -attr oid 283 -attr vt d -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {ACC1:conc#1106.itm(0)} -attr vt d
+load net {ACC1:conc#1106.itm(1)} -attr vt d
+load net {ACC1:conc#1106.itm(2)} -attr vt d
+load net {ACC1:conc#1106.itm(3)} -attr vt d
+load net {ACC1:conc#1106.itm(4)} -attr vt d
+load net {ACC1:conc#1106.itm(5)} -attr vt d
+load net {ACC1:conc#1106.itm(6)} -attr vt d
+load net {ACC1:conc#1106.itm(7)} -attr vt d
+load net {ACC1:conc#1106.itm(8)} -attr vt d
+load net {ACC1:conc#1106.itm(9)} -attr vt d
+load net {ACC1:conc#1106.itm(10)} -attr vt d
+load net {ACC1:conc#1106.itm(11)} -attr vt d
+load netBundle {ACC1:conc#1106.itm} 12 {ACC1:conc#1106.itm(0)} {ACC1:conc#1106.itm(1)} {ACC1:conc#1106.itm(2)} {ACC1:conc#1106.itm(3)} {ACC1:conc#1106.itm(4)} {ACC1:conc#1106.itm(5)} {ACC1:conc#1106.itm(6)} {ACC1:conc#1106.itm(7)} {ACC1:conc#1106.itm(8)} {ACC1:conc#1106.itm(9)} {ACC1:conc#1106.itm(10)} {ACC1:conc#1106.itm(11)} -attr xrf 63094 -attr oid 284 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(0)} -attr vt d
+load net {ACC1:mul#59.itm(1)} -attr vt d
+load net {ACC1:mul#59.itm(2)} -attr vt d
+load net {ACC1:mul#59.itm(3)} -attr vt d
+load net {ACC1:mul#59.itm(4)} -attr vt d
+load net {ACC1:mul#59.itm(5)} -attr vt d
+load net {ACC1:mul#59.itm(6)} -attr vt d
+load net {ACC1:mul#59.itm(7)} -attr vt d
+load net {ACC1:mul#59.itm(8)} -attr vt d
+load netBundle {ACC1:mul#59.itm} 9 {ACC1:mul#59.itm(0)} {ACC1:mul#59.itm(1)} {ACC1:mul#59.itm(2)} {ACC1:mul#59.itm(3)} {ACC1:mul#59.itm(4)} {ACC1:mul#59.itm(5)} {ACC1:mul#59.itm(6)} {ACC1:mul#59.itm(7)} {ACC1:mul#59.itm(8)} -attr xrf 63095 -attr oid 285 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:acc#327.itm(0)} -attr vt d
+load net {ACC1:acc#327.itm(1)} -attr vt d
+load net {ACC1:acc#327.itm(2)} -attr vt d
+load netBundle {ACC1:acc#327.itm} 3 {ACC1:acc#327.itm(0)} {ACC1:acc#327.itm(1)} {ACC1:acc#327.itm(2)} -attr xrf 63096 -attr oid 286 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#328.itm(0)} -attr vt d
+load net {ACC1:acc#328.itm(1)} -attr vt d
+load netBundle {ACC1:acc#328.itm} 2 {ACC1:acc#328.itm(0)} {ACC1:acc#328.itm(1)} -attr xrf 63097 -attr oid 287 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#329.itm(0)} -attr vt d
+load net {ACC1:acc#329.itm(1)} -attr vt d
+load netBundle {ACC1:acc#329.itm} 2 {ACC1:acc#329.itm(0)} {ACC1:acc#329.itm(1)} -attr xrf 63098 -attr oid 288 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1-3:exs#1034.itm(0)} -attr vt d
+load net {ACC1-3:exs#1034.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1034.itm} 2 {ACC1-3:exs#1034.itm(0)} {ACC1-3:exs#1034.itm(1)} -attr xrf 63099 -attr oid 289 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1034.itm}
+load net {ACC1:mul#56.itm(0)} -attr vt d
+load net {ACC1:mul#56.itm(1)} -attr vt d
+load net {ACC1:mul#56.itm(2)} -attr vt d
+load net {ACC1:mul#56.itm(3)} -attr vt d
+load net {ACC1:mul#56.itm(4)} -attr vt d
+load net {ACC1:mul#56.itm(5)} -attr vt d
+load net {ACC1:mul#56.itm(6)} -attr vt d
+load net {ACC1:mul#56.itm(7)} -attr vt d
+load net {ACC1:mul#56.itm(8)} -attr vt d
+load net {ACC1:mul#56.itm(9)} -attr vt d
+load net {ACC1:mul#56.itm(10)} -attr vt d
+load net {ACC1:mul#56.itm(11)} -attr vt d
+load net {ACC1:mul#56.itm(12)} -attr vt d
+load netBundle {ACC1:mul#56.itm} 13 {ACC1:mul#56.itm(0)} {ACC1:mul#56.itm(1)} {ACC1:mul#56.itm(2)} {ACC1:mul#56.itm(3)} {ACC1:mul#56.itm(4)} {ACC1:mul#56.itm(5)} {ACC1:mul#56.itm(6)} {ACC1:mul#56.itm(7)} {ACC1:mul#56.itm(8)} {ACC1:mul#56.itm(9)} {ACC1:mul#56.itm(10)} {ACC1:mul#56.itm(11)} {ACC1:mul#56.itm(12)} -attr xrf 63100 -attr oid 290 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:acc#316.itm(0)} -attr vt d
+load net {ACC1:acc#316.itm(1)} -attr vt d
+load netBundle {ACC1:acc#316.itm} 2 {ACC1:acc#316.itm(0)} {ACC1:acc#316.itm(1)} -attr xrf 63101 -attr oid 291 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#317.itm(0)} -attr vt d
+load net {ACC1:acc#317.itm(1)} -attr vt d
+load netBundle {ACC1:acc#317.itm} 2 {ACC1:acc#317.itm(0)} {ACC1:acc#317.itm(1)} -attr xrf 63102 -attr oid 292 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {slc(ACC1:mul#57.itm)#2.itm(0)} -attr vt d
+load net {slc(ACC1:mul#57.itm)#2.itm(1)} -attr vt d
+load net {slc(ACC1:mul#57.itm)#2.itm(2)} -attr vt d
+load net {slc(ACC1:mul#57.itm)#2.itm(3)} -attr vt d
+load net {slc(ACC1:mul#57.itm)#2.itm(4)} -attr vt d
+load netBundle {slc(ACC1:mul#57.itm)#2.itm} 5 {slc(ACC1:mul#57.itm)#2.itm(0)} {slc(ACC1:mul#57.itm)#2.itm(1)} {slc(ACC1:mul#57.itm)#2.itm(2)} {slc(ACC1:mul#57.itm)#2.itm(3)} {slc(ACC1:mul#57.itm)#2.itm(4)} -attr xrf 63103 -attr oid 293 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#2.itm}
+load net {slc(ACC1:mul#57.itm)#3.itm(0)} -attr vt d
+load net {slc(ACC1:mul#57.itm)#3.itm(1)} -attr vt d
+load netBundle {slc(ACC1:mul#57.itm)#3.itm} 2 {slc(ACC1:mul#57.itm)#3.itm(0)} {slc(ACC1:mul#57.itm)#3.itm(1)} -attr xrf 63104 -attr oid 294 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#3.itm}
+load net {ACC1:acc#652.itm(0)} -attr vt d
+load net {ACC1:acc#652.itm(1)} -attr vt d
+load net {ACC1:acc#652.itm(2)} -attr vt d
+load net {ACC1:acc#652.itm(3)} -attr vt d
+load net {ACC1:acc#652.itm(4)} -attr vt d
+load net {ACC1:acc#652.itm(5)} -attr vt d
+load net {ACC1:acc#652.itm(6)} -attr vt d
+load net {ACC1:acc#652.itm(7)} -attr vt d
+load net {ACC1:acc#652.itm(8)} -attr vt d
+load net {ACC1:acc#652.itm(9)} -attr vt d
+load net {ACC1:acc#652.itm(10)} -attr vt d
+load netBundle {ACC1:acc#652.itm} 11 {ACC1:acc#652.itm(0)} {ACC1:acc#652.itm(1)} {ACC1:acc#652.itm(2)} {ACC1:acc#652.itm(3)} {ACC1:acc#652.itm(4)} {ACC1:acc#652.itm(5)} {ACC1:acc#652.itm(6)} {ACC1:acc#652.itm(7)} {ACC1:acc#652.itm(8)} {ACC1:acc#652.itm(9)} {ACC1:acc#652.itm(10)} -attr xrf 63105 -attr oid 295 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#649.itm(0)} -attr vt d
+load net {ACC1:acc#649.itm(1)} -attr vt d
+load net {ACC1:acc#649.itm(2)} -attr vt d
+load net {ACC1:acc#649.itm(3)} -attr vt d
+load net {ACC1:acc#649.itm(4)} -attr vt d
+load net {ACC1:acc#649.itm(5)} -attr vt d
+load net {ACC1:acc#649.itm(6)} -attr vt d
+load net {ACC1:acc#649.itm(7)} -attr vt d
+load net {ACC1:acc#649.itm(8)} -attr vt d
+load net {ACC1:acc#649.itm(9)} -attr vt d
+load netBundle {ACC1:acc#649.itm} 10 {ACC1:acc#649.itm(0)} {ACC1:acc#649.itm(1)} {ACC1:acc#649.itm(2)} {ACC1:acc#649.itm(3)} {ACC1:acc#649.itm(4)} {ACC1:acc#649.itm(5)} {ACC1:acc#649.itm(6)} {ACC1:acc#649.itm(7)} {ACC1:acc#649.itm(8)} {ACC1:acc#649.itm(9)} -attr xrf 63106 -attr oid 296 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#644.itm(0)} -attr vt d
+load net {ACC1:acc#644.itm(1)} -attr vt d
+load net {ACC1:acc#644.itm(2)} -attr vt d
+load net {ACC1:acc#644.itm(3)} -attr vt d
+load net {ACC1:acc#644.itm(4)} -attr vt d
+load net {ACC1:acc#644.itm(5)} -attr vt d
+load net {ACC1:acc#644.itm(6)} -attr vt d
+load net {ACC1:acc#644.itm(7)} -attr vt d
+load net {ACC1:acc#644.itm(8)} -attr vt d
+load netBundle {ACC1:acc#644.itm} 9 {ACC1:acc#644.itm(0)} {ACC1:acc#644.itm(1)} {ACC1:acc#644.itm(2)} {ACC1:acc#644.itm(3)} {ACC1:acc#644.itm(4)} {ACC1:acc#644.itm(5)} {ACC1:acc#644.itm(6)} {ACC1:acc#644.itm(7)} {ACC1:acc#644.itm(8)} -attr xrf 63107 -attr oid 297 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#636.itm(0)} -attr vt d
+load net {ACC1:acc#636.itm(1)} -attr vt d
+load net {ACC1:acc#636.itm(2)} -attr vt d
+load net {ACC1:acc#636.itm(3)} -attr vt d
+load net {ACC1:acc#636.itm(4)} -attr vt d
+load net {ACC1:acc#636.itm(5)} -attr vt d
+load net {ACC1:acc#636.itm(6)} -attr vt d
+load net {ACC1:acc#636.itm(7)} -attr vt d
+load netBundle {ACC1:acc#636.itm} 8 {ACC1:acc#636.itm(0)} {ACC1:acc#636.itm(1)} {ACC1:acc#636.itm(2)} {ACC1:acc#636.itm(3)} {ACC1:acc#636.itm(4)} {ACC1:acc#636.itm(5)} {ACC1:acc#636.itm(6)} {ACC1:acc#636.itm(7)} -attr xrf 63108 -attr oid 298 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#623.itm(0)} -attr vt d
+load net {ACC1:acc#623.itm(1)} -attr vt d
+load net {ACC1:acc#623.itm(2)} -attr vt d
+load net {ACC1:acc#623.itm(3)} -attr vt d
+load net {ACC1:acc#623.itm(4)} -attr vt d
+load net {ACC1:acc#623.itm(5)} -attr vt d
+load net {ACC1:acc#623.itm(6)} -attr vt d
+load netBundle {ACC1:acc#623.itm} 7 {ACC1:acc#623.itm(0)} {ACC1:acc#623.itm(1)} {ACC1:acc#623.itm(2)} {ACC1:acc#623.itm(3)} {ACC1:acc#623.itm(4)} {ACC1:acc#623.itm(5)} {ACC1:acc#623.itm(6)} -attr xrf 63109 -attr oid 299 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#607.itm(0)} -attr vt d
+load net {ACC1:acc#607.itm(1)} -attr vt d
+load net {ACC1:acc#607.itm(2)} -attr vt d
+load net {ACC1:acc#607.itm(3)} -attr vt d
+load net {ACC1:acc#607.itm(4)} -attr vt d
+load net {ACC1:acc#607.itm(5)} -attr vt d
+load netBundle {ACC1:acc#607.itm} 6 {ACC1:acc#607.itm(0)} {ACC1:acc#607.itm(1)} {ACC1:acc#607.itm(2)} {ACC1:acc#607.itm(3)} {ACC1:acc#607.itm(4)} {ACC1:acc#607.itm(5)} -attr xrf 63110 -attr oid 300 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#585.itm(0)} -attr vt d
+load net {ACC1:acc#585.itm(1)} -attr vt d
+load net {ACC1:acc#585.itm(2)} -attr vt d
+load net {ACC1:acc#585.itm(3)} -attr vt d
+load net {ACC1:acc#585.itm(4)} -attr vt d
+load netBundle {ACC1:acc#585.itm} 5 {ACC1:acc#585.itm(0)} {ACC1:acc#585.itm(1)} {ACC1:acc#585.itm(2)} {ACC1:acc#585.itm(3)} {ACC1:acc#585.itm(4)} -attr xrf 63111 -attr oid 301 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#540.itm(0)} -attr vt d
+load net {ACC1:acc#540.itm(1)} -attr vt d
+load net {ACC1:acc#540.itm(2)} -attr vt d
+load net {ACC1:acc#540.itm(3)} -attr vt d
+load netBundle {ACC1:acc#540.itm} 4 {ACC1:acc#540.itm(0)} {ACC1:acc#540.itm(1)} {ACC1:acc#540.itm(2)} {ACC1:acc#540.itm(3)} -attr xrf 63112 -attr oid 302 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:slc#126.itm(0)} -attr vt d
+load net {ACC1:slc#126.itm(1)} -attr vt d
+load net {ACC1:slc#126.itm(2)} -attr vt d
+load netBundle {ACC1:slc#126.itm} 3 {ACC1:slc#126.itm(0)} {ACC1:slc#126.itm(1)} {ACC1:slc#126.itm(2)} -attr xrf 63113 -attr oid 303 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#126.itm}
+load net {ACC1:acc#458.itm(0)} -attr vt d
+load net {ACC1:acc#458.itm(1)} -attr vt d
+load net {ACC1:acc#458.itm(2)} -attr vt d
+load net {ACC1:acc#458.itm(3)} -attr vt d
+load netBundle {ACC1:acc#458.itm} 4 {ACC1:acc#458.itm(0)} {ACC1:acc#458.itm(1)} {ACC1:acc#458.itm(2)} {ACC1:acc#458.itm(3)} -attr xrf 63114 -attr oid 304 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#458.itm}
+load net {exs#55.itm(0)} -attr vt d
+load net {exs#55.itm(1)} -attr vt d
+load net {exs#55.itm(2)} -attr vt d
+load netBundle {exs#55.itm} 3 {exs#55.itm(0)} {exs#55.itm(1)} {exs#55.itm(2)} -attr xrf 63115 -attr oid 305 -attr vt d -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {conc#913.itm(0)} -attr vt d
+load net {conc#913.itm(1)} -attr vt d
+load netBundle {conc#913.itm} 2 {conc#913.itm(0)} {conc#913.itm(1)} -attr xrf 63116 -attr oid 306 -attr vt d -attr @path {/sobel/sobel:core/conc#913.itm}
+load net {ACC1:exs#1497.itm(0)} -attr vt d
+load net {ACC1:exs#1497.itm(1)} -attr vt d
+load net {ACC1:exs#1497.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1497.itm} 3 {ACC1:exs#1497.itm(0)} {ACC1:exs#1497.itm(1)} {ACC1:exs#1497.itm(2)} -attr xrf 63117 -attr oid 307 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1497.itm}
+load net {ACC1:conc#1375.itm(0)} -attr vt d
+load net {ACC1:conc#1375.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1375.itm} 2 {ACC1:conc#1375.itm(0)} {ACC1:conc#1375.itm(1)} -attr xrf 63118 -attr oid 308 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1375.itm}
+load net {ACC1:slc#125.itm(0)} -attr vt d
+load net {ACC1:slc#125.itm(1)} -attr vt d
+load net {ACC1:slc#125.itm(2)} -attr vt d
+load netBundle {ACC1:slc#125.itm} 3 {ACC1:slc#125.itm(0)} {ACC1:slc#125.itm(1)} {ACC1:slc#125.itm(2)} -attr xrf 63119 -attr oid 309 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#125.itm}
+load net {ACC1:acc#457.itm(0)} -attr vt d
+load net {ACC1:acc#457.itm(1)} -attr vt d
+load net {ACC1:acc#457.itm(2)} -attr vt d
+load net {ACC1:acc#457.itm(3)} -attr vt d
+load netBundle {ACC1:acc#457.itm} 4 {ACC1:acc#457.itm(0)} {ACC1:acc#457.itm(1)} {ACC1:acc#457.itm(2)} {ACC1:acc#457.itm(3)} -attr xrf 63120 -attr oid 310 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#457.itm}
+load net {exs#56.itm(0)} -attr vt d
+load net {exs#56.itm(1)} -attr vt d
+load net {exs#56.itm(2)} -attr vt d
+load netBundle {exs#56.itm} 3 {exs#56.itm(0)} {exs#56.itm(1)} {exs#56.itm(2)} -attr xrf 63121 -attr oid 311 -attr vt d -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {conc#914.itm(0)} -attr vt d
+load net {conc#914.itm(1)} -attr vt d
+load netBundle {conc#914.itm} 2 {conc#914.itm(0)} {conc#914.itm(1)} -attr xrf 63122 -attr oid 312 -attr vt d -attr @path {/sobel/sobel:core/conc#914.itm}
+load net {ACC1:exs#1499.itm(0)} -attr vt d
+load net {ACC1:exs#1499.itm(1)} -attr vt d
+load net {ACC1:exs#1499.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1499.itm} 3 {ACC1:exs#1499.itm(0)} {ACC1:exs#1499.itm(1)} {ACC1:exs#1499.itm(2)} -attr xrf 63123 -attr oid 313 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1499.itm}
+load net {ACC1:conc#1373.itm(0)} -attr vt d
+load net {ACC1:conc#1373.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1373.itm} 2 {ACC1:conc#1373.itm(0)} {ACC1:conc#1373.itm(1)} -attr xrf 63124 -attr oid 314 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1373.itm}
+load net {ACC1:acc#539.itm(0)} -attr vt d
+load net {ACC1:acc#539.itm(1)} -attr vt d
+load net {ACC1:acc#539.itm(2)} -attr vt d
+load net {ACC1:acc#539.itm(3)} -attr vt d
+load netBundle {ACC1:acc#539.itm} 4 {ACC1:acc#539.itm(0)} {ACC1:acc#539.itm(1)} {ACC1:acc#539.itm(2)} {ACC1:acc#539.itm(3)} -attr xrf 63125 -attr oid 315 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:slc#124.itm(0)} -attr vt d
+load net {ACC1:slc#124.itm(1)} -attr vt d
+load net {ACC1:slc#124.itm(2)} -attr vt d
+load netBundle {ACC1:slc#124.itm} 3 {ACC1:slc#124.itm(0)} {ACC1:slc#124.itm(1)} {ACC1:slc#124.itm(2)} -attr xrf 63126 -attr oid 316 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#124.itm}
+load net {ACC1:acc#456.itm(0)} -attr vt d
+load net {ACC1:acc#456.itm(1)} -attr vt d
+load net {ACC1:acc#456.itm(2)} -attr vt d
+load net {ACC1:acc#456.itm(3)} -attr vt d
+load netBundle {ACC1:acc#456.itm} 4 {ACC1:acc#456.itm(0)} {ACC1:acc#456.itm(1)} {ACC1:acc#456.itm(2)} {ACC1:acc#456.itm(3)} -attr xrf 63127 -attr oid 317 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#456.itm}
+load net {exs#57.itm(0)} -attr vt d
+load net {exs#57.itm(1)} -attr vt d
+load net {exs#57.itm(2)} -attr vt d
+load netBundle {exs#57.itm} 3 {exs#57.itm(0)} {exs#57.itm(1)} {exs#57.itm(2)} -attr xrf 63128 -attr oid 318 -attr vt d -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {conc#915.itm(0)} -attr vt d
+load net {conc#915.itm(1)} -attr vt d
+load netBundle {conc#915.itm} 2 {conc#915.itm(0)} {conc#915.itm(1)} -attr xrf 63129 -attr oid 319 -attr vt d -attr @path {/sobel/sobel:core/conc#915.itm}
+load net {ACC1:exs#1501.itm(0)} -attr vt d
+load net {ACC1:exs#1501.itm(1)} -attr vt d
+load net {ACC1:exs#1501.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1501.itm} 3 {ACC1:exs#1501.itm(0)} {ACC1:exs#1501.itm(1)} {ACC1:exs#1501.itm(2)} -attr xrf 63130 -attr oid 320 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1501.itm}
+load net {ACC1:conc#1371.itm(0)} -attr vt d
+load net {ACC1:conc#1371.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1371.itm} 2 {ACC1:conc#1371.itm(0)} {ACC1:conc#1371.itm(1)} -attr xrf 63131 -attr oid 321 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1371.itm}
+load net {ACC1:slc#123.itm(0)} -attr vt d
+load net {ACC1:slc#123.itm(1)} -attr vt d
+load net {ACC1:slc#123.itm(2)} -attr vt d
+load netBundle {ACC1:slc#123.itm} 3 {ACC1:slc#123.itm(0)} {ACC1:slc#123.itm(1)} {ACC1:slc#123.itm(2)} -attr xrf 63132 -attr oid 322 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#123.itm}
+load net {ACC1:acc#455.itm(0)} -attr vt d
+load net {ACC1:acc#455.itm(1)} -attr vt d
+load net {ACC1:acc#455.itm(2)} -attr vt d
+load net {ACC1:acc#455.itm(3)} -attr vt d
+load netBundle {ACC1:acc#455.itm} 4 {ACC1:acc#455.itm(0)} {ACC1:acc#455.itm(1)} {ACC1:acc#455.itm(2)} {ACC1:acc#455.itm(3)} -attr xrf 63133 -attr oid 323 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#455.itm}
+load net {exs#58.itm(0)} -attr vt d
+load net {exs#58.itm(1)} -attr vt d
+load net {exs#58.itm(2)} -attr vt d
+load netBundle {exs#58.itm} 3 {exs#58.itm(0)} {exs#58.itm(1)} {exs#58.itm(2)} -attr xrf 63134 -attr oid 324 -attr vt d -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {conc#916.itm(0)} -attr vt d
+load net {conc#916.itm(1)} -attr vt d
+load netBundle {conc#916.itm} 2 {conc#916.itm(0)} {conc#916.itm(1)} -attr xrf 63135 -attr oid 325 -attr vt d -attr @path {/sobel/sobel:core/conc#916.itm}
+load net {ACC1:exs#1503.itm(0)} -attr vt d
+load net {ACC1:exs#1503.itm(1)} -attr vt d
+load net {ACC1:exs#1503.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1503.itm} 3 {ACC1:exs#1503.itm(0)} {ACC1:exs#1503.itm(1)} {ACC1:exs#1503.itm(2)} -attr xrf 63136 -attr oid 326 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1503.itm}
+load net {ACC1:conc#1369.itm(0)} -attr vt d
+load net {ACC1:conc#1369.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1369.itm} 2 {ACC1:conc#1369.itm(0)} {ACC1:conc#1369.itm(1)} -attr xrf 63137 -attr oid 327 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1369.itm}
+load net {ACC1:acc#584.itm(0)} -attr vt d
+load net {ACC1:acc#584.itm(1)} -attr vt d
+load net {ACC1:acc#584.itm(2)} -attr vt d
+load net {ACC1:acc#584.itm(3)} -attr vt d
+load net {ACC1:acc#584.itm(4)} -attr vt d
+load netBundle {ACC1:acc#584.itm} 5 {ACC1:acc#584.itm(0)} {ACC1:acc#584.itm(1)} {ACC1:acc#584.itm(2)} {ACC1:acc#584.itm(3)} {ACC1:acc#584.itm(4)} -attr xrf 63138 -attr oid 328 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#538.itm(0)} -attr vt d
+load net {ACC1:acc#538.itm(1)} -attr vt d
+load net {ACC1:acc#538.itm(2)} -attr vt d
+load net {ACC1:acc#538.itm(3)} -attr vt d
+load netBundle {ACC1:acc#538.itm} 4 {ACC1:acc#538.itm(0)} {ACC1:acc#538.itm(1)} {ACC1:acc#538.itm(2)} {ACC1:acc#538.itm(3)} -attr xrf 63139 -attr oid 329 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:slc#122.itm(0)} -attr vt d
+load net {ACC1:slc#122.itm(1)} -attr vt d
+load net {ACC1:slc#122.itm(2)} -attr vt d
+load netBundle {ACC1:slc#122.itm} 3 {ACC1:slc#122.itm(0)} {ACC1:slc#122.itm(1)} {ACC1:slc#122.itm(2)} -attr xrf 63140 -attr oid 330 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#122.itm}
+load net {ACC1:acc#454.itm(0)} -attr vt d
+load net {ACC1:acc#454.itm(1)} -attr vt d
+load net {ACC1:acc#454.itm(2)} -attr vt d
+load net {ACC1:acc#454.itm(3)} -attr vt d
+load netBundle {ACC1:acc#454.itm} 4 {ACC1:acc#454.itm(0)} {ACC1:acc#454.itm(1)} {ACC1:acc#454.itm(2)} {ACC1:acc#454.itm(3)} -attr xrf 63141 -attr oid 331 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#454.itm}
+load net {exs#59.itm(0)} -attr vt d
+load net {exs#59.itm(1)} -attr vt d
+load net {exs#59.itm(2)} -attr vt d
+load netBundle {exs#59.itm} 3 {exs#59.itm(0)} {exs#59.itm(1)} {exs#59.itm(2)} -attr xrf 63142 -attr oid 332 -attr vt d -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {conc#917.itm(0)} -attr vt d
+load net {conc#917.itm(1)} -attr vt d
+load netBundle {conc#917.itm} 2 {conc#917.itm(0)} {conc#917.itm(1)} -attr xrf 63143 -attr oid 333 -attr vt d -attr @path {/sobel/sobel:core/conc#917.itm}
+load net {ACC1:exs#1505.itm(0)} -attr vt d
+load net {ACC1:exs#1505.itm(1)} -attr vt d
+load net {ACC1:exs#1505.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1505.itm} 3 {ACC1:exs#1505.itm(0)} {ACC1:exs#1505.itm(1)} {ACC1:exs#1505.itm(2)} -attr xrf 63144 -attr oid 334 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1505.itm}
+load net {ACC1:conc#1367.itm(0)} -attr vt d
+load net {ACC1:conc#1367.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1367.itm} 2 {ACC1:conc#1367.itm(0)} {ACC1:conc#1367.itm(1)} -attr xrf 63145 -attr oid 335 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1367.itm}
+load net {ACC1:slc#121.itm(0)} -attr vt d
+load net {ACC1:slc#121.itm(1)} -attr vt d
+load net {ACC1:slc#121.itm(2)} -attr vt d
+load netBundle {ACC1:slc#121.itm} 3 {ACC1:slc#121.itm(0)} {ACC1:slc#121.itm(1)} {ACC1:slc#121.itm(2)} -attr xrf 63146 -attr oid 336 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#121.itm}
+load net {ACC1:acc#453.itm(0)} -attr vt d
+load net {ACC1:acc#453.itm(1)} -attr vt d
+load net {ACC1:acc#453.itm(2)} -attr vt d
+load net {ACC1:acc#453.itm(3)} -attr vt d
+load netBundle {ACC1:acc#453.itm} 4 {ACC1:acc#453.itm(0)} {ACC1:acc#453.itm(1)} {ACC1:acc#453.itm(2)} {ACC1:acc#453.itm(3)} -attr xrf 63147 -attr oid 337 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#453.itm}
+load net {exs#60.itm(0)} -attr vt d
+load net {exs#60.itm(1)} -attr vt d
+load net {exs#60.itm(2)} -attr vt d
+load netBundle {exs#60.itm} 3 {exs#60.itm(0)} {exs#60.itm(1)} {exs#60.itm(2)} -attr xrf 63148 -attr oid 338 -attr vt d -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {conc#918.itm(0)} -attr vt d
+load net {conc#918.itm(1)} -attr vt d
+load netBundle {conc#918.itm} 2 {conc#918.itm(0)} {conc#918.itm(1)} -attr xrf 63149 -attr oid 339 -attr vt d -attr @path {/sobel/sobel:core/conc#918.itm}
+load net {ACC1:exs#1507.itm(0)} -attr vt d
+load net {ACC1:exs#1507.itm(1)} -attr vt d
+load net {ACC1:exs#1507.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1507.itm} 3 {ACC1:exs#1507.itm(0)} {ACC1:exs#1507.itm(1)} {ACC1:exs#1507.itm(2)} -attr xrf 63150 -attr oid 340 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1507.itm}
+load net {ACC1:conc#1365.itm(0)} -attr vt d
+load net {ACC1:conc#1365.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1365.itm} 2 {ACC1:conc#1365.itm(0)} {ACC1:conc#1365.itm(1)} -attr xrf 63151 -attr oid 341 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1365.itm}
+load net {ACC1:acc#537.itm(0)} -attr vt d
+load net {ACC1:acc#537.itm(1)} -attr vt d
+load net {ACC1:acc#537.itm(2)} -attr vt d
+load net {ACC1:acc#537.itm(3)} -attr vt d
+load netBundle {ACC1:acc#537.itm} 4 {ACC1:acc#537.itm(0)} {ACC1:acc#537.itm(1)} {ACC1:acc#537.itm(2)} {ACC1:acc#537.itm(3)} -attr xrf 63152 -attr oid 342 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:slc#120.itm(0)} -attr vt d
+load net {ACC1:slc#120.itm(1)} -attr vt d
+load net {ACC1:slc#120.itm(2)} -attr vt d
+load netBundle {ACC1:slc#120.itm} 3 {ACC1:slc#120.itm(0)} {ACC1:slc#120.itm(1)} {ACC1:slc#120.itm(2)} -attr xrf 63153 -attr oid 343 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#120.itm}
+load net {ACC1:acc#452.itm(0)} -attr vt d
+load net {ACC1:acc#452.itm(1)} -attr vt d
+load net {ACC1:acc#452.itm(2)} -attr vt d
+load net {ACC1:acc#452.itm(3)} -attr vt d
+load netBundle {ACC1:acc#452.itm} 4 {ACC1:acc#452.itm(0)} {ACC1:acc#452.itm(1)} {ACC1:acc#452.itm(2)} {ACC1:acc#452.itm(3)} -attr xrf 63154 -attr oid 344 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#452.itm}
+load net {exs#61.itm(0)} -attr vt d
+load net {exs#61.itm(1)} -attr vt d
+load net {exs#61.itm(2)} -attr vt d
+load netBundle {exs#61.itm} 3 {exs#61.itm(0)} {exs#61.itm(1)} {exs#61.itm(2)} -attr xrf 63155 -attr oid 345 -attr vt d -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {conc#919.itm(0)} -attr vt d
+load net {conc#919.itm(1)} -attr vt d
+load netBundle {conc#919.itm} 2 {conc#919.itm(0)} {conc#919.itm(1)} -attr xrf 63156 -attr oid 346 -attr vt d -attr @path {/sobel/sobel:core/conc#919.itm}
+load net {ACC1:exs#1509.itm(0)} -attr vt d
+load net {ACC1:exs#1509.itm(1)} -attr vt d
+load net {ACC1:exs#1509.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1509.itm} 3 {ACC1:exs#1509.itm(0)} {ACC1:exs#1509.itm(1)} {ACC1:exs#1509.itm(2)} -attr xrf 63157 -attr oid 347 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1509.itm}
+load net {ACC1:conc#1363.itm(0)} -attr vt d
+load net {ACC1:conc#1363.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1363.itm} 2 {ACC1:conc#1363.itm(0)} {ACC1:conc#1363.itm(1)} -attr xrf 63158 -attr oid 348 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1363.itm}
+load net {ACC1:slc#119.itm(0)} -attr vt d
+load net {ACC1:slc#119.itm(1)} -attr vt d
+load net {ACC1:slc#119.itm(2)} -attr vt d
+load netBundle {ACC1:slc#119.itm} 3 {ACC1:slc#119.itm(0)} {ACC1:slc#119.itm(1)} {ACC1:slc#119.itm(2)} -attr xrf 63159 -attr oid 349 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#119.itm}
+load net {ACC1:acc#451.itm(0)} -attr vt d
+load net {ACC1:acc#451.itm(1)} -attr vt d
+load net {ACC1:acc#451.itm(2)} -attr vt d
+load net {ACC1:acc#451.itm(3)} -attr vt d
+load netBundle {ACC1:acc#451.itm} 4 {ACC1:acc#451.itm(0)} {ACC1:acc#451.itm(1)} {ACC1:acc#451.itm(2)} {ACC1:acc#451.itm(3)} -attr xrf 63160 -attr oid 350 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#451.itm}
+load net {exs#62.itm(0)} -attr vt d
+load net {exs#62.itm(1)} -attr vt d
+load net {exs#62.itm(2)} -attr vt d
+load netBundle {exs#62.itm} 3 {exs#62.itm(0)} {exs#62.itm(1)} {exs#62.itm(2)} -attr xrf 63161 -attr oid 351 -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {conc#920.itm(0)} -attr vt d
+load net {conc#920.itm(1)} -attr vt d
+load netBundle {conc#920.itm} 2 {conc#920.itm(0)} {conc#920.itm(1)} -attr xrf 63162 -attr oid 352 -attr vt d -attr @path {/sobel/sobel:core/conc#920.itm}
+load net {ACC1:exs#1511.itm(0)} -attr vt d
+load net {ACC1:exs#1511.itm(1)} -attr vt d
+load net {ACC1:exs#1511.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1511.itm} 3 {ACC1:exs#1511.itm(0)} {ACC1:exs#1511.itm(1)} {ACC1:exs#1511.itm(2)} -attr xrf 63163 -attr oid 353 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1511.itm}
+load net {ACC1:conc#1361.itm(0)} -attr vt d
+load net {ACC1:conc#1361.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1361.itm} 2 {ACC1:conc#1361.itm(0)} {ACC1:conc#1361.itm(1)} -attr xrf 63164 -attr oid 354 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1361.itm}
+load net {ACC1:acc#606.itm(0)} -attr vt d
+load net {ACC1:acc#606.itm(1)} -attr vt d
+load net {ACC1:acc#606.itm(2)} -attr vt d
+load net {ACC1:acc#606.itm(3)} -attr vt d
+load net {ACC1:acc#606.itm(4)} -attr vt d
+load net {ACC1:acc#606.itm(5)} -attr vt d
+load netBundle {ACC1:acc#606.itm} 6 {ACC1:acc#606.itm(0)} {ACC1:acc#606.itm(1)} {ACC1:acc#606.itm(2)} {ACC1:acc#606.itm(3)} {ACC1:acc#606.itm(4)} {ACC1:acc#606.itm(5)} -attr xrf 63165 -attr oid 355 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#583.itm(0)} -attr vt d
+load net {ACC1:acc#583.itm(1)} -attr vt d
+load net {ACC1:acc#583.itm(2)} -attr vt d
+load net {ACC1:acc#583.itm(3)} -attr vt d
+load net {ACC1:acc#583.itm(4)} -attr vt d
+load netBundle {ACC1:acc#583.itm} 5 {ACC1:acc#583.itm(0)} {ACC1:acc#583.itm(1)} {ACC1:acc#583.itm(2)} {ACC1:acc#583.itm(3)} {ACC1:acc#583.itm(4)} -attr xrf 63166 -attr oid 356 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#536.itm(0)} -attr vt d
+load net {ACC1:acc#536.itm(1)} -attr vt d
+load net {ACC1:acc#536.itm(2)} -attr vt d
+load net {ACC1:acc#536.itm(3)} -attr vt d
+load netBundle {ACC1:acc#536.itm} 4 {ACC1:acc#536.itm(0)} {ACC1:acc#536.itm(1)} {ACC1:acc#536.itm(2)} {ACC1:acc#536.itm(3)} -attr xrf 63167 -attr oid 357 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:slc#118.itm(0)} -attr vt d
+load net {ACC1:slc#118.itm(1)} -attr vt d
+load net {ACC1:slc#118.itm(2)} -attr vt d
+load netBundle {ACC1:slc#118.itm} 3 {ACC1:slc#118.itm(0)} {ACC1:slc#118.itm(1)} {ACC1:slc#118.itm(2)} -attr xrf 63168 -attr oid 358 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#118.itm}
+load net {ACC1:acc#450.itm(0)} -attr vt d
+load net {ACC1:acc#450.itm(1)} -attr vt d
+load net {ACC1:acc#450.itm(2)} -attr vt d
+load net {ACC1:acc#450.itm(3)} -attr vt d
+load netBundle {ACC1:acc#450.itm} 4 {ACC1:acc#450.itm(0)} {ACC1:acc#450.itm(1)} {ACC1:acc#450.itm(2)} {ACC1:acc#450.itm(3)} -attr xrf 63169 -attr oid 359 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#450.itm}
+load net {exs#63.itm(0)} -attr vt d
+load net {exs#63.itm(1)} -attr vt d
+load net {exs#63.itm(2)} -attr vt d
+load netBundle {exs#63.itm} 3 {exs#63.itm(0)} {exs#63.itm(1)} {exs#63.itm(2)} -attr xrf 63170 -attr oid 360 -attr vt d -attr @path {/sobel/sobel:core/exs#63.itm}
+load net {conc#921.itm(0)} -attr vt d
+load net {conc#921.itm(1)} -attr vt d
+load netBundle {conc#921.itm} 2 {conc#921.itm(0)} {conc#921.itm(1)} -attr xrf 63171 -attr oid 361 -attr vt d -attr @path {/sobel/sobel:core/conc#921.itm}
+load net {ACC1:exs#1513.itm(0)} -attr vt d
+load net {ACC1:exs#1513.itm(1)} -attr vt d
+load net {ACC1:exs#1513.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1513.itm} 3 {ACC1:exs#1513.itm(0)} {ACC1:exs#1513.itm(1)} {ACC1:exs#1513.itm(2)} -attr xrf 63172 -attr oid 362 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1513.itm}
+load net {ACC1:conc#1359.itm(0)} -attr vt d
+load net {ACC1:conc#1359.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1359.itm} 2 {ACC1:conc#1359.itm(0)} {ACC1:conc#1359.itm(1)} -attr xrf 63173 -attr oid 363 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1359.itm}
+load net {ACC1:slc#117.itm(0)} -attr vt d
+load net {ACC1:slc#117.itm(1)} -attr vt d
+load net {ACC1:slc#117.itm(2)} -attr vt d
+load netBundle {ACC1:slc#117.itm} 3 {ACC1:slc#117.itm(0)} {ACC1:slc#117.itm(1)} {ACC1:slc#117.itm(2)} -attr xrf 63174 -attr oid 364 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#117.itm}
+load net {ACC1:acc#449.itm(0)} -attr vt d
+load net {ACC1:acc#449.itm(1)} -attr vt d
+load net {ACC1:acc#449.itm(2)} -attr vt d
+load net {ACC1:acc#449.itm(3)} -attr vt d
+load netBundle {ACC1:acc#449.itm} 4 {ACC1:acc#449.itm(0)} {ACC1:acc#449.itm(1)} {ACC1:acc#449.itm(2)} {ACC1:acc#449.itm(3)} -attr xrf 63175 -attr oid 365 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#449.itm}
+load net {exs#64.itm(0)} -attr vt d
+load net {exs#64.itm(1)} -attr vt d
+load net {exs#64.itm(2)} -attr vt d
+load netBundle {exs#64.itm} 3 {exs#64.itm(0)} {exs#64.itm(1)} {exs#64.itm(2)} -attr xrf 63176 -attr oid 366 -attr vt d -attr @path {/sobel/sobel:core/exs#64.itm}
+load net {conc#922.itm(0)} -attr vt d
+load net {conc#922.itm(1)} -attr vt d
+load netBundle {conc#922.itm} 2 {conc#922.itm(0)} {conc#922.itm(1)} -attr xrf 63177 -attr oid 367 -attr vt d -attr @path {/sobel/sobel:core/conc#922.itm}
+load net {ACC1:exs#1515.itm(0)} -attr vt d
+load net {ACC1:exs#1515.itm(1)} -attr vt d
+load net {ACC1:exs#1515.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1515.itm} 3 {ACC1:exs#1515.itm(0)} {ACC1:exs#1515.itm(1)} {ACC1:exs#1515.itm(2)} -attr xrf 63178 -attr oid 368 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1515.itm}
+load net {ACC1:conc#1357.itm(0)} -attr vt d
+load net {ACC1:conc#1357.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1357.itm} 2 {ACC1:conc#1357.itm(0)} {ACC1:conc#1357.itm(1)} -attr xrf 63179 -attr oid 369 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1357.itm}
+load net {ACC1:acc#535.itm(0)} -attr vt d
+load net {ACC1:acc#535.itm(1)} -attr vt d
+load net {ACC1:acc#535.itm(2)} -attr vt d
+load net {ACC1:acc#535.itm(3)} -attr vt d
+load netBundle {ACC1:acc#535.itm} 4 {ACC1:acc#535.itm(0)} {ACC1:acc#535.itm(1)} {ACC1:acc#535.itm(2)} {ACC1:acc#535.itm(3)} -attr xrf 63180 -attr oid 370 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:slc#116.itm(0)} -attr vt d
+load net {ACC1:slc#116.itm(1)} -attr vt d
+load net {ACC1:slc#116.itm(2)} -attr vt d
+load netBundle {ACC1:slc#116.itm} 3 {ACC1:slc#116.itm(0)} {ACC1:slc#116.itm(1)} {ACC1:slc#116.itm(2)} -attr xrf 63181 -attr oid 371 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#116.itm}
+load net {ACC1:acc#448.itm(0)} -attr vt d
+load net {ACC1:acc#448.itm(1)} -attr vt d
+load net {ACC1:acc#448.itm(2)} -attr vt d
+load net {ACC1:acc#448.itm(3)} -attr vt d
+load netBundle {ACC1:acc#448.itm} 4 {ACC1:acc#448.itm(0)} {ACC1:acc#448.itm(1)} {ACC1:acc#448.itm(2)} {ACC1:acc#448.itm(3)} -attr xrf 63182 -attr oid 372 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#448.itm}
+load net {exs#65.itm(0)} -attr vt d
+load net {exs#65.itm(1)} -attr vt d
+load net {exs#65.itm(2)} -attr vt d
+load netBundle {exs#65.itm} 3 {exs#65.itm(0)} {exs#65.itm(1)} {exs#65.itm(2)} -attr xrf 63183 -attr oid 373 -attr vt d -attr @path {/sobel/sobel:core/exs#65.itm}
+load net {conc#923.itm(0)} -attr vt d
+load net {conc#923.itm(1)} -attr vt d
+load netBundle {conc#923.itm} 2 {conc#923.itm(0)} {conc#923.itm(1)} -attr xrf 63184 -attr oid 374 -attr vt d -attr @path {/sobel/sobel:core/conc#923.itm}
+load net {ACC1:exs#1517.itm(0)} -attr vt d
+load net {ACC1:exs#1517.itm(1)} -attr vt d
+load net {ACC1:exs#1517.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1517.itm} 3 {ACC1:exs#1517.itm(0)} {ACC1:exs#1517.itm(1)} {ACC1:exs#1517.itm(2)} -attr xrf 63185 -attr oid 375 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1517.itm}
+load net {ACC1:conc#1355.itm(0)} -attr vt d
+load net {ACC1:conc#1355.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1355.itm} 2 {ACC1:conc#1355.itm(0)} {ACC1:conc#1355.itm(1)} -attr xrf 63186 -attr oid 376 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1355.itm}
+load net {ACC1:slc#115.itm(0)} -attr vt d
+load net {ACC1:slc#115.itm(1)} -attr vt d
+load net {ACC1:slc#115.itm(2)} -attr vt d
+load netBundle {ACC1:slc#115.itm} 3 {ACC1:slc#115.itm(0)} {ACC1:slc#115.itm(1)} {ACC1:slc#115.itm(2)} -attr xrf 63187 -attr oid 377 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#115.itm}
+load net {ACC1:acc#447.itm(0)} -attr vt d
+load net {ACC1:acc#447.itm(1)} -attr vt d
+load net {ACC1:acc#447.itm(2)} -attr vt d
+load net {ACC1:acc#447.itm(3)} -attr vt d
+load netBundle {ACC1:acc#447.itm} 4 {ACC1:acc#447.itm(0)} {ACC1:acc#447.itm(1)} {ACC1:acc#447.itm(2)} {ACC1:acc#447.itm(3)} -attr xrf 63188 -attr oid 378 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#447.itm}
+load net {exs#92.itm(0)} -attr vt d
+load net {exs#92.itm(1)} -attr vt d
+load net {exs#92.itm(2)} -attr vt d
+load netBundle {exs#92.itm} 3 {exs#92.itm(0)} {exs#92.itm(1)} {exs#92.itm(2)} -attr xrf 63189 -attr oid 379 -attr vt d -attr @path {/sobel/sobel:core/exs#92.itm}
+load net {conc#924.itm(0)} -attr vt d
+load net {conc#924.itm(1)} -attr vt d
+load netBundle {conc#924.itm} 2 {conc#924.itm(0)} {conc#924.itm(1)} -attr xrf 63190 -attr oid 380 -attr vt d -attr @path {/sobel/sobel:core/conc#924.itm}
+load net {ACC1:exs#1519.itm(0)} -attr vt d
+load net {ACC1:exs#1519.itm(1)} -attr vt d
+load net {ACC1:exs#1519.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1519.itm} 3 {ACC1:exs#1519.itm(0)} {ACC1:exs#1519.itm(1)} {ACC1:exs#1519.itm(2)} -attr xrf 63191 -attr oid 381 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1519.itm}
+load net {ACC1:conc#1353.itm(0)} -attr vt d
+load net {ACC1:conc#1353.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1353.itm} 2 {ACC1:conc#1353.itm(0)} {ACC1:conc#1353.itm(1)} -attr xrf 63192 -attr oid 382 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1353.itm}
+load net {ACC1:acc#582.itm(0)} -attr vt d
+load net {ACC1:acc#582.itm(1)} -attr vt d
+load net {ACC1:acc#582.itm(2)} -attr vt d
+load net {ACC1:acc#582.itm(3)} -attr vt d
+load net {ACC1:acc#582.itm(4)} -attr vt d
+load netBundle {ACC1:acc#582.itm} 5 {ACC1:acc#582.itm(0)} {ACC1:acc#582.itm(1)} {ACC1:acc#582.itm(2)} {ACC1:acc#582.itm(3)} {ACC1:acc#582.itm(4)} -attr xrf 63193 -attr oid 383 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#534.itm(0)} -attr vt d
+load net {ACC1:acc#534.itm(1)} -attr vt d
+load net {ACC1:acc#534.itm(2)} -attr vt d
+load net {ACC1:acc#534.itm(3)} -attr vt d
+load netBundle {ACC1:acc#534.itm} 4 {ACC1:acc#534.itm(0)} {ACC1:acc#534.itm(1)} {ACC1:acc#534.itm(2)} {ACC1:acc#534.itm(3)} -attr xrf 63194 -attr oid 384 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:slc#114.itm(0)} -attr vt d
+load net {ACC1:slc#114.itm(1)} -attr vt d
+load net {ACC1:slc#114.itm(2)} -attr vt d
+load netBundle {ACC1:slc#114.itm} 3 {ACC1:slc#114.itm(0)} {ACC1:slc#114.itm(1)} {ACC1:slc#114.itm(2)} -attr xrf 63195 -attr oid 385 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#114.itm}
+load net {ACC1:acc#446.itm(0)} -attr vt d
+load net {ACC1:acc#446.itm(1)} -attr vt d
+load net {ACC1:acc#446.itm(2)} -attr vt d
+load net {ACC1:acc#446.itm(3)} -attr vt d
+load netBundle {ACC1:acc#446.itm} 4 {ACC1:acc#446.itm(0)} {ACC1:acc#446.itm(1)} {ACC1:acc#446.itm(2)} {ACC1:acc#446.itm(3)} -attr xrf 63196 -attr oid 386 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#446.itm}
+load net {exs#66.itm(0)} -attr vt d
+load net {exs#66.itm(1)} -attr vt d
+load net {exs#66.itm(2)} -attr vt d
+load netBundle {exs#66.itm} 3 {exs#66.itm(0)} {exs#66.itm(1)} {exs#66.itm(2)} -attr xrf 63197 -attr oid 387 -attr vt d -attr @path {/sobel/sobel:core/exs#66.itm}
+load net {conc#926.itm(0)} -attr vt d
+load net {conc#926.itm(1)} -attr vt d
+load netBundle {conc#926.itm} 2 {conc#926.itm(0)} {conc#926.itm(1)} -attr xrf 63198 -attr oid 388 -attr vt d -attr @path {/sobel/sobel:core/conc#926.itm}
+load net {ACC1:exs#1521.itm(0)} -attr vt d
+load net {ACC1:exs#1521.itm(1)} -attr vt d
+load net {ACC1:exs#1521.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1521.itm} 3 {ACC1:exs#1521.itm(0)} {ACC1:exs#1521.itm(1)} {ACC1:exs#1521.itm(2)} -attr xrf 63199 -attr oid 389 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1521.itm}
+load net {ACC1:conc#1351.itm(0)} -attr vt d
+load net {ACC1:conc#1351.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1351.itm} 2 {ACC1:conc#1351.itm(0)} {ACC1:conc#1351.itm(1)} -attr xrf 63200 -attr oid 390 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1351.itm}
+load net {ACC1:slc#113.itm(0)} -attr vt d
+load net {ACC1:slc#113.itm(1)} -attr vt d
+load net {ACC1:slc#113.itm(2)} -attr vt d
+load netBundle {ACC1:slc#113.itm} 3 {ACC1:slc#113.itm(0)} {ACC1:slc#113.itm(1)} {ACC1:slc#113.itm(2)} -attr xrf 63201 -attr oid 391 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#113.itm}
+load net {ACC1:acc#445.itm(0)} -attr vt d
+load net {ACC1:acc#445.itm(1)} -attr vt d
+load net {ACC1:acc#445.itm(2)} -attr vt d
+load net {ACC1:acc#445.itm(3)} -attr vt d
+load netBundle {ACC1:acc#445.itm} 4 {ACC1:acc#445.itm(0)} {ACC1:acc#445.itm(1)} {ACC1:acc#445.itm(2)} {ACC1:acc#445.itm(3)} -attr xrf 63202 -attr oid 392 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#445.itm}
+load net {exs#93.itm(0)} -attr vt d
+load net {exs#93.itm(1)} -attr vt d
+load net {exs#93.itm(2)} -attr vt d
+load netBundle {exs#93.itm} 3 {exs#93.itm(0)} {exs#93.itm(1)} {exs#93.itm(2)} -attr xrf 63203 -attr oid 393 -attr vt d -attr @path {/sobel/sobel:core/exs#93.itm}
+load net {conc#927.itm(0)} -attr vt d
+load net {conc#927.itm(1)} -attr vt d
+load netBundle {conc#927.itm} 2 {conc#927.itm(0)} {conc#927.itm(1)} -attr xrf 63204 -attr oid 394 -attr vt d -attr @path {/sobel/sobel:core/conc#927.itm}
+load net {ACC1:exs#1523.itm(0)} -attr vt d
+load net {ACC1:exs#1523.itm(1)} -attr vt d
+load net {ACC1:exs#1523.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1523.itm} 3 {ACC1:exs#1523.itm(0)} {ACC1:exs#1523.itm(1)} {ACC1:exs#1523.itm(2)} -attr xrf 63205 -attr oid 395 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1523.itm}
+load net {ACC1:conc#1349.itm(0)} -attr vt d
+load net {ACC1:conc#1349.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1349.itm} 2 {ACC1:conc#1349.itm(0)} {ACC1:conc#1349.itm(1)} -attr xrf 63206 -attr oid 396 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1349.itm}
+load net {ACC1:acc#533.itm(0)} -attr vt d
+load net {ACC1:acc#533.itm(1)} -attr vt d
+load net {ACC1:acc#533.itm(2)} -attr vt d
+load net {ACC1:acc#533.itm(3)} -attr vt d
+load netBundle {ACC1:acc#533.itm} 4 {ACC1:acc#533.itm(0)} {ACC1:acc#533.itm(1)} {ACC1:acc#533.itm(2)} {ACC1:acc#533.itm(3)} -attr xrf 63207 -attr oid 397 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:slc#112.itm(0)} -attr vt d
+load net {ACC1:slc#112.itm(1)} -attr vt d
+load net {ACC1:slc#112.itm(2)} -attr vt d
+load netBundle {ACC1:slc#112.itm} 3 {ACC1:slc#112.itm(0)} {ACC1:slc#112.itm(1)} {ACC1:slc#112.itm(2)} -attr xrf 63208 -attr oid 398 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#112.itm}
+load net {ACC1:acc#444.itm(0)} -attr vt d
+load net {ACC1:acc#444.itm(1)} -attr vt d
+load net {ACC1:acc#444.itm(2)} -attr vt d
+load net {ACC1:acc#444.itm(3)} -attr vt d
+load netBundle {ACC1:acc#444.itm} 4 {ACC1:acc#444.itm(0)} {ACC1:acc#444.itm(1)} {ACC1:acc#444.itm(2)} {ACC1:acc#444.itm(3)} -attr xrf 63209 -attr oid 399 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#444.itm}
+load net {exs#67.itm(0)} -attr vt d
+load net {exs#67.itm(1)} -attr vt d
+load net {exs#67.itm(2)} -attr vt d
+load netBundle {exs#67.itm} 3 {exs#67.itm(0)} {exs#67.itm(1)} {exs#67.itm(2)} -attr xrf 63210 -attr oid 400 -attr vt d -attr @path {/sobel/sobel:core/exs#67.itm}
+load net {conc#929.itm(0)} -attr vt d
+load net {conc#929.itm(1)} -attr vt d
+load netBundle {conc#929.itm} 2 {conc#929.itm(0)} {conc#929.itm(1)} -attr xrf 63211 -attr oid 401 -attr vt d -attr @path {/sobel/sobel:core/conc#929.itm}
+load net {ACC1:exs#1525.itm(0)} -attr vt d
+load net {ACC1:exs#1525.itm(1)} -attr vt d
+load net {ACC1:exs#1525.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1525.itm} 3 {ACC1:exs#1525.itm(0)} {ACC1:exs#1525.itm(1)} {ACC1:exs#1525.itm(2)} -attr xrf 63212 -attr oid 402 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1525.itm}
+load net {ACC1:conc#1347.itm(0)} -attr vt d
+load net {ACC1:conc#1347.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1347.itm} 2 {ACC1:conc#1347.itm(0)} {ACC1:conc#1347.itm(1)} -attr xrf 63213 -attr oid 403 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1347.itm}
+load net {ACC1:slc#110.itm(0)} -attr vt d
+load net {ACC1:slc#110.itm(1)} -attr vt d
+load net {ACC1:slc#110.itm(2)} -attr vt d
+load netBundle {ACC1:slc#110.itm} 3 {ACC1:slc#110.itm(0)} {ACC1:slc#110.itm(1)} {ACC1:slc#110.itm(2)} -attr xrf 63214 -attr oid 404 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#110.itm}
+load net {ACC1:acc#442.itm(0)} -attr vt d
+load net {ACC1:acc#442.itm(1)} -attr vt d
+load net {ACC1:acc#442.itm(2)} -attr vt d
+load net {ACC1:acc#442.itm(3)} -attr vt d
+load netBundle {ACC1:acc#442.itm} 4 {ACC1:acc#442.itm(0)} {ACC1:acc#442.itm(1)} {ACC1:acc#442.itm(2)} {ACC1:acc#442.itm(3)} -attr xrf 63215 -attr oid 405 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#442.itm}
+load net {exs#68.itm(0)} -attr vt d
+load net {exs#68.itm(1)} -attr vt d
+load net {exs#68.itm(2)} -attr vt d
+load netBundle {exs#68.itm} 3 {exs#68.itm(0)} {exs#68.itm(1)} {exs#68.itm(2)} -attr xrf 63216 -attr oid 406 -attr vt d -attr @path {/sobel/sobel:core/exs#68.itm}
+load net {conc#930.itm(0)} -attr vt d
+load net {conc#930.itm(1)} -attr vt d
+load netBundle {conc#930.itm} 2 {conc#930.itm(0)} {conc#930.itm(1)} -attr xrf 63217 -attr oid 407 -attr vt d -attr @path {/sobel/sobel:core/conc#930.itm}
+load net {ACC1:exs#1527.itm(0)} -attr vt d
+load net {ACC1:exs#1527.itm(1)} -attr vt d
+load net {ACC1:exs#1527.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1527.itm} 3 {ACC1:exs#1527.itm(0)} {ACC1:exs#1527.itm(1)} {ACC1:exs#1527.itm(2)} -attr xrf 63218 -attr oid 408 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1527.itm}
+load net {ACC1:conc#1343.itm(0)} -attr vt d
+load net {ACC1:conc#1343.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1343.itm} 2 {ACC1:conc#1343.itm(0)} {ACC1:conc#1343.itm(1)} -attr xrf 63219 -attr oid 409 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1343.itm}
+load net {ACC1:acc#622.itm(0)} -attr vt d
+load net {ACC1:acc#622.itm(1)} -attr vt d
+load net {ACC1:acc#622.itm(2)} -attr vt d
+load net {ACC1:acc#622.itm(3)} -attr vt d
+load net {ACC1:acc#622.itm(4)} -attr vt d
+load net {ACC1:acc#622.itm(5)} -attr vt d
+load net {ACC1:acc#622.itm(6)} -attr vt d
+load netBundle {ACC1:acc#622.itm} 7 {ACC1:acc#622.itm(0)} {ACC1:acc#622.itm(1)} {ACC1:acc#622.itm(2)} {ACC1:acc#622.itm(3)} {ACC1:acc#622.itm(4)} {ACC1:acc#622.itm(5)} {ACC1:acc#622.itm(6)} -attr xrf 63220 -attr oid 410 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#605.itm(0)} -attr vt d
+load net {ACC1:acc#605.itm(1)} -attr vt d
+load net {ACC1:acc#605.itm(2)} -attr vt d
+load net {ACC1:acc#605.itm(3)} -attr vt d
+load net {ACC1:acc#605.itm(4)} -attr vt d
+load net {ACC1:acc#605.itm(5)} -attr vt d
+load netBundle {ACC1:acc#605.itm} 6 {ACC1:acc#605.itm(0)} {ACC1:acc#605.itm(1)} {ACC1:acc#605.itm(2)} {ACC1:acc#605.itm(3)} {ACC1:acc#605.itm(4)} {ACC1:acc#605.itm(5)} -attr xrf 63221 -attr oid 411 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#581.itm(0)} -attr vt d
+load net {ACC1:acc#581.itm(1)} -attr vt d
+load net {ACC1:acc#581.itm(2)} -attr vt d
+load net {ACC1:acc#581.itm(3)} -attr vt d
+load net {ACC1:acc#581.itm(4)} -attr vt d
+load netBundle {ACC1:acc#581.itm} 5 {ACC1:acc#581.itm(0)} {ACC1:acc#581.itm(1)} {ACC1:acc#581.itm(2)} {ACC1:acc#581.itm(3)} {ACC1:acc#581.itm(4)} -attr xrf 63222 -attr oid 412 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#532.itm(0)} -attr vt d
+load net {ACC1:acc#532.itm(1)} -attr vt d
+load net {ACC1:acc#532.itm(2)} -attr vt d
+load net {ACC1:acc#532.itm(3)} -attr vt d
+load netBundle {ACC1:acc#532.itm} 4 {ACC1:acc#532.itm(0)} {ACC1:acc#532.itm(1)} {ACC1:acc#532.itm(2)} {ACC1:acc#532.itm(3)} -attr xrf 63223 -attr oid 413 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:slc#109.itm(0)} -attr vt d
+load net {ACC1:slc#109.itm(1)} -attr vt d
+load net {ACC1:slc#109.itm(2)} -attr vt d
+load netBundle {ACC1:slc#109.itm} 3 {ACC1:slc#109.itm(0)} {ACC1:slc#109.itm(1)} {ACC1:slc#109.itm(2)} -attr xrf 63224 -attr oid 414 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#109.itm}
+load net {ACC1:acc#441.itm(0)} -attr vt d
+load net {ACC1:acc#441.itm(1)} -attr vt d
+load net {ACC1:acc#441.itm(2)} -attr vt d
+load net {ACC1:acc#441.itm(3)} -attr vt d
+load netBundle {ACC1:acc#441.itm} 4 {ACC1:acc#441.itm(0)} {ACC1:acc#441.itm(1)} {ACC1:acc#441.itm(2)} {ACC1:acc#441.itm(3)} -attr xrf 63225 -attr oid 415 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#441.itm}
+load net {exs#69.itm(0)} -attr vt d
+load net {exs#69.itm(1)} -attr vt d
+load net {exs#69.itm(2)} -attr vt d
+load netBundle {exs#69.itm} 3 {exs#69.itm(0)} {exs#69.itm(1)} {exs#69.itm(2)} -attr xrf 63226 -attr oid 416 -attr vt d -attr @path {/sobel/sobel:core/exs#69.itm}
+load net {conc#931.itm(0)} -attr vt d
+load net {conc#931.itm(1)} -attr vt d
+load netBundle {conc#931.itm} 2 {conc#931.itm(0)} {conc#931.itm(1)} -attr xrf 63227 -attr oid 417 -attr vt d -attr @path {/sobel/sobel:core/conc#931.itm}
+load net {ACC1:exs#1529.itm(0)} -attr vt d
+load net {ACC1:exs#1529.itm(1)} -attr vt d
+load net {ACC1:exs#1529.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1529.itm} 3 {ACC1:exs#1529.itm(0)} {ACC1:exs#1529.itm(1)} {ACC1:exs#1529.itm(2)} -attr xrf 63228 -attr oid 418 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1529.itm}
+load net {ACC1:conc#1341.itm(0)} -attr vt d
+load net {ACC1:conc#1341.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1341.itm} 2 {ACC1:conc#1341.itm(0)} {ACC1:conc#1341.itm(1)} -attr xrf 63229 -attr oid 419 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1341.itm}
+load net {ACC1:slc#108.itm(0)} -attr vt d
+load net {ACC1:slc#108.itm(1)} -attr vt d
+load net {ACC1:slc#108.itm(2)} -attr vt d
+load netBundle {ACC1:slc#108.itm} 3 {ACC1:slc#108.itm(0)} {ACC1:slc#108.itm(1)} {ACC1:slc#108.itm(2)} -attr xrf 63230 -attr oid 420 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#108.itm}
+load net {ACC1:acc#440.itm(0)} -attr vt d
+load net {ACC1:acc#440.itm(1)} -attr vt d
+load net {ACC1:acc#440.itm(2)} -attr vt d
+load net {ACC1:acc#440.itm(3)} -attr vt d
+load netBundle {ACC1:acc#440.itm} 4 {ACC1:acc#440.itm(0)} {ACC1:acc#440.itm(1)} {ACC1:acc#440.itm(2)} {ACC1:acc#440.itm(3)} -attr xrf 63231 -attr oid 421 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#440.itm}
+load net {exs#70.itm(0)} -attr vt d
+load net {exs#70.itm(1)} -attr vt d
+load net {exs#70.itm(2)} -attr vt d
+load netBundle {exs#70.itm} 3 {exs#70.itm(0)} {exs#70.itm(1)} {exs#70.itm(2)} -attr xrf 63232 -attr oid 422 -attr vt d -attr @path {/sobel/sobel:core/exs#70.itm}
+load net {conc#932.itm(0)} -attr vt d
+load net {conc#932.itm(1)} -attr vt d
+load netBundle {conc#932.itm} 2 {conc#932.itm(0)} {conc#932.itm(1)} -attr xrf 63233 -attr oid 423 -attr vt d -attr @path {/sobel/sobel:core/conc#932.itm}
+load net {ACC1:exs#1531.itm(0)} -attr vt d
+load net {ACC1:exs#1531.itm(1)} -attr vt d
+load net {ACC1:exs#1531.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1531.itm} 3 {ACC1:exs#1531.itm(0)} {ACC1:exs#1531.itm(1)} {ACC1:exs#1531.itm(2)} -attr xrf 63234 -attr oid 424 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1531.itm}
+load net {ACC1:conc#1339.itm(0)} -attr vt d
+load net {ACC1:conc#1339.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1339.itm} 2 {ACC1:conc#1339.itm(0)} {ACC1:conc#1339.itm(1)} -attr xrf 63235 -attr oid 425 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1339.itm}
+load net {ACC1:acc#531.itm(0)} -attr vt d
+load net {ACC1:acc#531.itm(1)} -attr vt d
+load net {ACC1:acc#531.itm(2)} -attr vt d
+load net {ACC1:acc#531.itm(3)} -attr vt d
+load netBundle {ACC1:acc#531.itm} 4 {ACC1:acc#531.itm(0)} {ACC1:acc#531.itm(1)} {ACC1:acc#531.itm(2)} {ACC1:acc#531.itm(3)} -attr xrf 63236 -attr oid 426 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:slc#107.itm(0)} -attr vt d
+load net {ACC1:slc#107.itm(1)} -attr vt d
+load net {ACC1:slc#107.itm(2)} -attr vt d
+load netBundle {ACC1:slc#107.itm} 3 {ACC1:slc#107.itm(0)} {ACC1:slc#107.itm(1)} {ACC1:slc#107.itm(2)} -attr xrf 63237 -attr oid 427 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#107.itm}
+load net {ACC1:acc#439.itm(0)} -attr vt d
+load net {ACC1:acc#439.itm(1)} -attr vt d
+load net {ACC1:acc#439.itm(2)} -attr vt d
+load net {ACC1:acc#439.itm(3)} -attr vt d
+load netBundle {ACC1:acc#439.itm} 4 {ACC1:acc#439.itm(0)} {ACC1:acc#439.itm(1)} {ACC1:acc#439.itm(2)} {ACC1:acc#439.itm(3)} -attr xrf 63238 -attr oid 428 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#439.itm}
+load net {exs#71.itm(0)} -attr vt d
+load net {exs#71.itm(1)} -attr vt d
+load net {exs#71.itm(2)} -attr vt d
+load netBundle {exs#71.itm} 3 {exs#71.itm(0)} {exs#71.itm(1)} {exs#71.itm(2)} -attr xrf 63239 -attr oid 429 -attr vt d -attr @path {/sobel/sobel:core/exs#71.itm}
+load net {conc#933.itm(0)} -attr vt d
+load net {conc#933.itm(1)} -attr vt d
+load netBundle {conc#933.itm} 2 {conc#933.itm(0)} {conc#933.itm(1)} -attr xrf 63240 -attr oid 430 -attr vt d -attr @path {/sobel/sobel:core/conc#933.itm}
+load net {ACC1:exs#1533.itm(0)} -attr vt d
+load net {ACC1:exs#1533.itm(1)} -attr vt d
+load net {ACC1:exs#1533.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1533.itm} 3 {ACC1:exs#1533.itm(0)} {ACC1:exs#1533.itm(1)} {ACC1:exs#1533.itm(2)} -attr xrf 63241 -attr oid 431 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1533.itm}
+load net {ACC1:conc#1337.itm(0)} -attr vt d
+load net {ACC1:conc#1337.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1337.itm} 2 {ACC1:conc#1337.itm(0)} {ACC1:conc#1337.itm(1)} -attr xrf 63242 -attr oid 432 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1337.itm}
+load net {ACC1:slc#106.itm(0)} -attr vt d
+load net {ACC1:slc#106.itm(1)} -attr vt d
+load net {ACC1:slc#106.itm(2)} -attr vt d
+load netBundle {ACC1:slc#106.itm} 3 {ACC1:slc#106.itm(0)} {ACC1:slc#106.itm(1)} {ACC1:slc#106.itm(2)} -attr xrf 63243 -attr oid 433 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#106.itm}
+load net {ACC1:acc#438.itm(0)} -attr vt d
+load net {ACC1:acc#438.itm(1)} -attr vt d
+load net {ACC1:acc#438.itm(2)} -attr vt d
+load net {ACC1:acc#438.itm(3)} -attr vt d
+load netBundle {ACC1:acc#438.itm} 4 {ACC1:acc#438.itm(0)} {ACC1:acc#438.itm(1)} {ACC1:acc#438.itm(2)} {ACC1:acc#438.itm(3)} -attr xrf 63244 -attr oid 434 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#438.itm}
+load net {exs#72.itm(0)} -attr vt d
+load net {exs#72.itm(1)} -attr vt d
+load net {exs#72.itm(2)} -attr vt d
+load netBundle {exs#72.itm} 3 {exs#72.itm(0)} {exs#72.itm(1)} {exs#72.itm(2)} -attr xrf 63245 -attr oid 435 -attr vt d -attr @path {/sobel/sobel:core/exs#72.itm}
+load net {conc#934.itm(0)} -attr vt d
+load net {conc#934.itm(1)} -attr vt d
+load netBundle {conc#934.itm} 2 {conc#934.itm(0)} {conc#934.itm(1)} -attr xrf 63246 -attr oid 436 -attr vt d -attr @path {/sobel/sobel:core/conc#934.itm}
+load net {ACC1:exs#1535.itm(0)} -attr vt d
+load net {ACC1:exs#1535.itm(1)} -attr vt d
+load net {ACC1:exs#1535.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1535.itm} 3 {ACC1:exs#1535.itm(0)} {ACC1:exs#1535.itm(1)} {ACC1:exs#1535.itm(2)} -attr xrf 63247 -attr oid 437 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1535.itm}
+load net {ACC1:conc#1335.itm(0)} -attr vt d
+load net {ACC1:conc#1335.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1335.itm} 2 {ACC1:conc#1335.itm(0)} {ACC1:conc#1335.itm(1)} -attr xrf 63248 -attr oid 438 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1335.itm}
+load net {ACC1:acc#580.itm(0)} -attr vt d
+load net {ACC1:acc#580.itm(1)} -attr vt d
+load net {ACC1:acc#580.itm(2)} -attr vt d
+load net {ACC1:acc#580.itm(3)} -attr vt d
+load net {ACC1:acc#580.itm(4)} -attr vt d
+load netBundle {ACC1:acc#580.itm} 5 {ACC1:acc#580.itm(0)} {ACC1:acc#580.itm(1)} {ACC1:acc#580.itm(2)} {ACC1:acc#580.itm(3)} {ACC1:acc#580.itm(4)} -attr xrf 63249 -attr oid 439 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#530.itm(0)} -attr vt d
+load net {ACC1:acc#530.itm(1)} -attr vt d
+load net {ACC1:acc#530.itm(2)} -attr vt d
+load net {ACC1:acc#530.itm(3)} -attr vt d
+load netBundle {ACC1:acc#530.itm} 4 {ACC1:acc#530.itm(0)} {ACC1:acc#530.itm(1)} {ACC1:acc#530.itm(2)} {ACC1:acc#530.itm(3)} -attr xrf 63250 -attr oid 440 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:slc#105.itm(0)} -attr vt d
+load net {ACC1:slc#105.itm(1)} -attr vt d
+load net {ACC1:slc#105.itm(2)} -attr vt d
+load netBundle {ACC1:slc#105.itm} 3 {ACC1:slc#105.itm(0)} {ACC1:slc#105.itm(1)} {ACC1:slc#105.itm(2)} -attr xrf 63251 -attr oid 441 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#105.itm}
+load net {ACC1:acc#437.itm(0)} -attr vt d
+load net {ACC1:acc#437.itm(1)} -attr vt d
+load net {ACC1:acc#437.itm(2)} -attr vt d
+load net {ACC1:acc#437.itm(3)} -attr vt d
+load netBundle {ACC1:acc#437.itm} 4 {ACC1:acc#437.itm(0)} {ACC1:acc#437.itm(1)} {ACC1:acc#437.itm(2)} {ACC1:acc#437.itm(3)} -attr xrf 63252 -attr oid 442 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#437.itm}
+load net {exs#73.itm(0)} -attr vt d
+load net {exs#73.itm(1)} -attr vt d
+load net {exs#73.itm(2)} -attr vt d
+load netBundle {exs#73.itm} 3 {exs#73.itm(0)} {exs#73.itm(1)} {exs#73.itm(2)} -attr xrf 63253 -attr oid 443 -attr vt d -attr @path {/sobel/sobel:core/exs#73.itm}
+load net {conc#935.itm(0)} -attr vt d
+load net {conc#935.itm(1)} -attr vt d
+load netBundle {conc#935.itm} 2 {conc#935.itm(0)} {conc#935.itm(1)} -attr xrf 63254 -attr oid 444 -attr vt d -attr @path {/sobel/sobel:core/conc#935.itm}
+load net {ACC1:exs#1537.itm(0)} -attr vt d
+load net {ACC1:exs#1537.itm(1)} -attr vt d
+load net {ACC1:exs#1537.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1537.itm} 3 {ACC1:exs#1537.itm(0)} {ACC1:exs#1537.itm(1)} {ACC1:exs#1537.itm(2)} -attr xrf 63255 -attr oid 445 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1537.itm}
+load net {ACC1:conc#1333.itm(0)} -attr vt d
+load net {ACC1:conc#1333.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1333.itm} 2 {ACC1:conc#1333.itm(0)} {ACC1:conc#1333.itm(1)} -attr xrf 63256 -attr oid 446 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1333.itm}
+load net {ACC1:slc#104.itm(0)} -attr vt d
+load net {ACC1:slc#104.itm(1)} -attr vt d
+load net {ACC1:slc#104.itm(2)} -attr vt d
+load netBundle {ACC1:slc#104.itm} 3 {ACC1:slc#104.itm(0)} {ACC1:slc#104.itm(1)} {ACC1:slc#104.itm(2)} -attr xrf 63257 -attr oid 447 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#104.itm}
+load net {ACC1:acc#436.itm(0)} -attr vt d
+load net {ACC1:acc#436.itm(1)} -attr vt d
+load net {ACC1:acc#436.itm(2)} -attr vt d
+load net {ACC1:acc#436.itm(3)} -attr vt d
+load netBundle {ACC1:acc#436.itm} 4 {ACC1:acc#436.itm(0)} {ACC1:acc#436.itm(1)} {ACC1:acc#436.itm(2)} {ACC1:acc#436.itm(3)} -attr xrf 63258 -attr oid 448 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#436.itm}
+load net {exs#74.itm(0)} -attr vt d
+load net {exs#74.itm(1)} -attr vt d
+load net {exs#74.itm(2)} -attr vt d
+load netBundle {exs#74.itm} 3 {exs#74.itm(0)} {exs#74.itm(1)} {exs#74.itm(2)} -attr xrf 63259 -attr oid 449 -attr vt d -attr @path {/sobel/sobel:core/exs#74.itm}
+load net {conc#936.itm(0)} -attr vt d
+load net {conc#936.itm(1)} -attr vt d
+load netBundle {conc#936.itm} 2 {conc#936.itm(0)} {conc#936.itm(1)} -attr xrf 63260 -attr oid 450 -attr vt d -attr @path {/sobel/sobel:core/conc#936.itm}
+load net {ACC1:exs#1539.itm(0)} -attr vt d
+load net {ACC1:exs#1539.itm(1)} -attr vt d
+load net {ACC1:exs#1539.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1539.itm} 3 {ACC1:exs#1539.itm(0)} {ACC1:exs#1539.itm(1)} {ACC1:exs#1539.itm(2)} -attr xrf 63261 -attr oid 451 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1539.itm}
+load net {ACC1:conc#1331.itm(0)} -attr vt d
+load net {ACC1:conc#1331.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1331.itm} 2 {ACC1:conc#1331.itm(0)} {ACC1:conc#1331.itm(1)} -attr xrf 63262 -attr oid 452 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1331.itm}
+load net {ACC1:acc#529.itm(0)} -attr vt d
+load net {ACC1:acc#529.itm(1)} -attr vt d
+load net {ACC1:acc#529.itm(2)} -attr vt d
+load net {ACC1:acc#529.itm(3)} -attr vt d
+load netBundle {ACC1:acc#529.itm} 4 {ACC1:acc#529.itm(0)} {ACC1:acc#529.itm(1)} {ACC1:acc#529.itm(2)} {ACC1:acc#529.itm(3)} -attr xrf 63263 -attr oid 453 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:slc#103.itm(0)} -attr vt d
+load net {ACC1:slc#103.itm(1)} -attr vt d
+load net {ACC1:slc#103.itm(2)} -attr vt d
+load netBundle {ACC1:slc#103.itm} 3 {ACC1:slc#103.itm(0)} {ACC1:slc#103.itm(1)} {ACC1:slc#103.itm(2)} -attr xrf 63264 -attr oid 454 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#103.itm}
+load net {ACC1:acc#435.itm(0)} -attr vt d
+load net {ACC1:acc#435.itm(1)} -attr vt d
+load net {ACC1:acc#435.itm(2)} -attr vt d
+load net {ACC1:acc#435.itm(3)} -attr vt d
+load netBundle {ACC1:acc#435.itm} 4 {ACC1:acc#435.itm(0)} {ACC1:acc#435.itm(1)} {ACC1:acc#435.itm(2)} {ACC1:acc#435.itm(3)} -attr xrf 63265 -attr oid 455 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#435.itm}
+load net {exs#75.itm(0)} -attr vt d
+load net {exs#75.itm(1)} -attr vt d
+load net {exs#75.itm(2)} -attr vt d
+load netBundle {exs#75.itm} 3 {exs#75.itm(0)} {exs#75.itm(1)} {exs#75.itm(2)} -attr xrf 63266 -attr oid 456 -attr vt d -attr @path {/sobel/sobel:core/exs#75.itm}
+load net {conc#937.itm(0)} -attr vt d
+load net {conc#937.itm(1)} -attr vt d
+load netBundle {conc#937.itm} 2 {conc#937.itm(0)} {conc#937.itm(1)} -attr xrf 63267 -attr oid 457 -attr vt d -attr @path {/sobel/sobel:core/conc#937.itm}
+load net {ACC1:exs#1541.itm(0)} -attr vt d
+load net {ACC1:exs#1541.itm(1)} -attr vt d
+load net {ACC1:exs#1541.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1541.itm} 3 {ACC1:exs#1541.itm(0)} {ACC1:exs#1541.itm(1)} {ACC1:exs#1541.itm(2)} -attr xrf 63268 -attr oid 458 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1541.itm}
+load net {ACC1:conc#1329.itm(0)} -attr vt d
+load net {ACC1:conc#1329.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1329.itm} 2 {ACC1:conc#1329.itm(0)} {ACC1:conc#1329.itm(1)} -attr xrf 63269 -attr oid 459 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1329.itm}
+load net {ACC1:slc#102.itm(0)} -attr vt d
+load net {ACC1:slc#102.itm(1)} -attr vt d
+load net {ACC1:slc#102.itm(2)} -attr vt d
+load netBundle {ACC1:slc#102.itm} 3 {ACC1:slc#102.itm(0)} {ACC1:slc#102.itm(1)} {ACC1:slc#102.itm(2)} -attr xrf 63270 -attr oid 460 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#102.itm}
+load net {ACC1:acc#434.itm(0)} -attr vt d
+load net {ACC1:acc#434.itm(1)} -attr vt d
+load net {ACC1:acc#434.itm(2)} -attr vt d
+load net {ACC1:acc#434.itm(3)} -attr vt d
+load netBundle {ACC1:acc#434.itm} 4 {ACC1:acc#434.itm(0)} {ACC1:acc#434.itm(1)} {ACC1:acc#434.itm(2)} {ACC1:acc#434.itm(3)} -attr xrf 63271 -attr oid 461 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#434.itm}
+load net {exs#76.itm(0)} -attr vt d
+load net {exs#76.itm(1)} -attr vt d
+load net {exs#76.itm(2)} -attr vt d
+load netBundle {exs#76.itm} 3 {exs#76.itm(0)} {exs#76.itm(1)} {exs#76.itm(2)} -attr xrf 63272 -attr oid 462 -attr vt d -attr @path {/sobel/sobel:core/exs#76.itm}
+load net {conc#938.itm(0)} -attr vt d
+load net {conc#938.itm(1)} -attr vt d
+load netBundle {conc#938.itm} 2 {conc#938.itm(0)} {conc#938.itm(1)} -attr xrf 63273 -attr oid 463 -attr vt d -attr @path {/sobel/sobel:core/conc#938.itm}
+load net {ACC1:exs#1543.itm(0)} -attr vt d
+load net {ACC1:exs#1543.itm(1)} -attr vt d
+load net {ACC1:exs#1543.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1543.itm} 3 {ACC1:exs#1543.itm(0)} {ACC1:exs#1543.itm(1)} {ACC1:exs#1543.itm(2)} -attr xrf 63274 -attr oid 464 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1543.itm}
+load net {ACC1:conc#1327.itm(0)} -attr vt d
+load net {ACC1:conc#1327.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1327.itm} 2 {ACC1:conc#1327.itm(0)} {ACC1:conc#1327.itm(1)} -attr xrf 63275 -attr oid 465 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1327.itm}
+load net {ACC1:acc#604.itm(0)} -attr vt d
+load net {ACC1:acc#604.itm(1)} -attr vt d
+load net {ACC1:acc#604.itm(2)} -attr vt d
+load net {ACC1:acc#604.itm(3)} -attr vt d
+load net {ACC1:acc#604.itm(4)} -attr vt d
+load net {ACC1:acc#604.itm(5)} -attr vt d
+load netBundle {ACC1:acc#604.itm} 6 {ACC1:acc#604.itm(0)} {ACC1:acc#604.itm(1)} {ACC1:acc#604.itm(2)} {ACC1:acc#604.itm(3)} {ACC1:acc#604.itm(4)} {ACC1:acc#604.itm(5)} -attr xrf 63276 -attr oid 466 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#579.itm(0)} -attr vt d
+load net {ACC1:acc#579.itm(1)} -attr vt d
+load net {ACC1:acc#579.itm(2)} -attr vt d
+load net {ACC1:acc#579.itm(3)} -attr vt d
+load net {ACC1:acc#579.itm(4)} -attr vt d
+load netBundle {ACC1:acc#579.itm} 5 {ACC1:acc#579.itm(0)} {ACC1:acc#579.itm(1)} {ACC1:acc#579.itm(2)} {ACC1:acc#579.itm(3)} {ACC1:acc#579.itm(4)} -attr xrf 63277 -attr oid 467 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#528.itm(0)} -attr vt d
+load net {ACC1:acc#528.itm(1)} -attr vt d
+load net {ACC1:acc#528.itm(2)} -attr vt d
+load net {ACC1:acc#528.itm(3)} -attr vt d
+load netBundle {ACC1:acc#528.itm} 4 {ACC1:acc#528.itm(0)} {ACC1:acc#528.itm(1)} {ACC1:acc#528.itm(2)} {ACC1:acc#528.itm(3)} -attr xrf 63278 -attr oid 468 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:slc#101.itm(0)} -attr vt d
+load net {ACC1:slc#101.itm(1)} -attr vt d
+load net {ACC1:slc#101.itm(2)} -attr vt d
+load netBundle {ACC1:slc#101.itm} 3 {ACC1:slc#101.itm(0)} {ACC1:slc#101.itm(1)} {ACC1:slc#101.itm(2)} -attr xrf 63279 -attr oid 469 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#101.itm}
+load net {ACC1:acc#433.itm(0)} -attr vt d
+load net {ACC1:acc#433.itm(1)} -attr vt d
+load net {ACC1:acc#433.itm(2)} -attr vt d
+load net {ACC1:acc#433.itm(3)} -attr vt d
+load netBundle {ACC1:acc#433.itm} 4 {ACC1:acc#433.itm(0)} {ACC1:acc#433.itm(1)} {ACC1:acc#433.itm(2)} {ACC1:acc#433.itm(3)} -attr xrf 63280 -attr oid 470 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#433.itm}
+load net {conc#939.itm(0)} -attr vt d
+load net {conc#939.itm(1)} -attr vt d
+load net {conc#939.itm(2)} -attr vt d
+load netBundle {conc#939.itm} 3 {conc#939.itm(0)} {conc#939.itm(1)} {conc#939.itm(2)} -attr xrf 63281 -attr oid 471 -attr vt d -attr @path {/sobel/sobel:core/conc#939.itm}
+load net {ACC1:conc#1325.itm(0)} -attr vt d
+load net {ACC1:conc#1325.itm(1)} -attr vt d
+load net {ACC1:conc#1325.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1325.itm} 3 {ACC1:conc#1325.itm(0)} {ACC1:conc#1325.itm(1)} {ACC1:conc#1325.itm(2)} -attr xrf 63282 -attr oid 472 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1325.itm}
+load net {ACC1:slc#100.itm(0)} -attr vt d
+load net {ACC1:slc#100.itm(1)} -attr vt d
+load net {ACC1:slc#100.itm(2)} -attr vt d
+load netBundle {ACC1:slc#100.itm} 3 {ACC1:slc#100.itm(0)} {ACC1:slc#100.itm(1)} {ACC1:slc#100.itm(2)} -attr xrf 63283 -attr oid 473 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#100.itm}
+load net {ACC1:acc#432.itm(0)} -attr vt d
+load net {ACC1:acc#432.itm(1)} -attr vt d
+load net {ACC1:acc#432.itm(2)} -attr vt d
+load net {ACC1:acc#432.itm(3)} -attr vt d
+load netBundle {ACC1:acc#432.itm} 4 {ACC1:acc#432.itm(0)} {ACC1:acc#432.itm(1)} {ACC1:acc#432.itm(2)} {ACC1:acc#432.itm(3)} -attr xrf 63284 -attr oid 474 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#432.itm}
+load net {conc#940.itm(0)} -attr vt d
+load net {conc#940.itm(1)} -attr vt d
+load net {conc#940.itm(2)} -attr vt d
+load netBundle {conc#940.itm} 3 {conc#940.itm(0)} {conc#940.itm(1)} {conc#940.itm(2)} -attr xrf 63285 -attr oid 475 -attr vt d -attr @path {/sobel/sobel:core/conc#940.itm}
+load net {ACC1:conc#1323.itm(0)} -attr vt d
+load net {ACC1:conc#1323.itm(1)} -attr vt d
+load net {ACC1:conc#1323.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1323.itm} 3 {ACC1:conc#1323.itm(0)} {ACC1:conc#1323.itm(1)} {ACC1:conc#1323.itm(2)} -attr xrf 63286 -attr oid 476 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1323.itm}
+load net {ACC1:acc#527.itm(0)} -attr vt d
+load net {ACC1:acc#527.itm(1)} -attr vt d
+load net {ACC1:acc#527.itm(2)} -attr vt d
+load net {ACC1:acc#527.itm(3)} -attr vt d
+load netBundle {ACC1:acc#527.itm} 4 {ACC1:acc#527.itm(0)} {ACC1:acc#527.itm(1)} {ACC1:acc#527.itm(2)} {ACC1:acc#527.itm(3)} -attr xrf 63287 -attr oid 477 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:slc#99.itm(0)} -attr vt d
+load net {ACC1:slc#99.itm(1)} -attr vt d
+load net {ACC1:slc#99.itm(2)} -attr vt d
+load netBundle {ACC1:slc#99.itm} 3 {ACC1:slc#99.itm(0)} {ACC1:slc#99.itm(1)} {ACC1:slc#99.itm(2)} -attr xrf 63288 -attr oid 478 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#431.itm(0)} -attr vt d
+load net {ACC1:acc#431.itm(1)} -attr vt d
+load net {ACC1:acc#431.itm(2)} -attr vt d
+load net {ACC1:acc#431.itm(3)} -attr vt d
+load netBundle {ACC1:acc#431.itm} 4 {ACC1:acc#431.itm(0)} {ACC1:acc#431.itm(1)} {ACC1:acc#431.itm(2)} {ACC1:acc#431.itm(3)} -attr xrf 63289 -attr oid 479 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#431.itm}
+load net {conc#941.itm(0)} -attr vt d
+load net {conc#941.itm(1)} -attr vt d
+load net {conc#941.itm(2)} -attr vt d
+load netBundle {conc#941.itm} 3 {conc#941.itm(0)} {conc#941.itm(1)} {conc#941.itm(2)} -attr xrf 63290 -attr oid 480 -attr vt d -attr @path {/sobel/sobel:core/conc#941.itm}
+load net {ACC1:conc#1321.itm(0)} -attr vt d
+load net {ACC1:conc#1321.itm(1)} -attr vt d
+load net {ACC1:conc#1321.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1321.itm} 3 {ACC1:conc#1321.itm(0)} {ACC1:conc#1321.itm(1)} {ACC1:conc#1321.itm(2)} -attr xrf 63291 -attr oid 481 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1321.itm}
+load net {ACC1:slc#98.itm(0)} -attr vt d
+load net {ACC1:slc#98.itm(1)} -attr vt d
+load net {ACC1:slc#98.itm(2)} -attr vt d
+load netBundle {ACC1:slc#98.itm} 3 {ACC1:slc#98.itm(0)} {ACC1:slc#98.itm(1)} {ACC1:slc#98.itm(2)} -attr xrf 63292 -attr oid 482 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#430.itm(0)} -attr vt d
+load net {ACC1:acc#430.itm(1)} -attr vt d
+load net {ACC1:acc#430.itm(2)} -attr vt d
+load net {ACC1:acc#430.itm(3)} -attr vt d
+load netBundle {ACC1:acc#430.itm} 4 {ACC1:acc#430.itm(0)} {ACC1:acc#430.itm(1)} {ACC1:acc#430.itm(2)} {ACC1:acc#430.itm(3)} -attr xrf 63293 -attr oid 483 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#430.itm}
+load net {conc#942.itm(0)} -attr vt d
+load net {conc#942.itm(1)} -attr vt d
+load net {conc#942.itm(2)} -attr vt d
+load netBundle {conc#942.itm} 3 {conc#942.itm(0)} {conc#942.itm(1)} {conc#942.itm(2)} -attr xrf 63294 -attr oid 484 -attr vt d -attr @path {/sobel/sobel:core/conc#942.itm}
+load net {ACC1:conc#1319.itm(0)} -attr vt d
+load net {ACC1:conc#1319.itm(1)} -attr vt d
+load net {ACC1:conc#1319.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1319.itm} 3 {ACC1:conc#1319.itm(0)} {ACC1:conc#1319.itm(1)} {ACC1:conc#1319.itm(2)} -attr xrf 63295 -attr oid 485 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1319.itm}
+load net {ACC1:acc#578.itm(0)} -attr vt d
+load net {ACC1:acc#578.itm(1)} -attr vt d
+load net {ACC1:acc#578.itm(2)} -attr vt d
+load net {ACC1:acc#578.itm(3)} -attr vt d
+load net {ACC1:acc#578.itm(4)} -attr vt d
+load netBundle {ACC1:acc#578.itm} 5 {ACC1:acc#578.itm(0)} {ACC1:acc#578.itm(1)} {ACC1:acc#578.itm(2)} {ACC1:acc#578.itm(3)} {ACC1:acc#578.itm(4)} -attr xrf 63296 -attr oid 486 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#526.itm(0)} -attr vt d
+load net {ACC1:acc#526.itm(1)} -attr vt d
+load net {ACC1:acc#526.itm(2)} -attr vt d
+load net {ACC1:acc#526.itm(3)} -attr vt d
+load netBundle {ACC1:acc#526.itm} 4 {ACC1:acc#526.itm(0)} {ACC1:acc#526.itm(1)} {ACC1:acc#526.itm(2)} {ACC1:acc#526.itm(3)} -attr xrf 63297 -attr oid 487 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:slc#97.itm(0)} -attr vt d
+load net {ACC1:slc#97.itm(1)} -attr vt d
+load net {ACC1:slc#97.itm(2)} -attr vt d
+load netBundle {ACC1:slc#97.itm} 3 {ACC1:slc#97.itm(0)} {ACC1:slc#97.itm(1)} {ACC1:slc#97.itm(2)} -attr xrf 63298 -attr oid 488 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#429.itm(0)} -attr vt d
+load net {ACC1:acc#429.itm(1)} -attr vt d
+load net {ACC1:acc#429.itm(2)} -attr vt d
+load net {ACC1:acc#429.itm(3)} -attr vt d
+load netBundle {ACC1:acc#429.itm} 4 {ACC1:acc#429.itm(0)} {ACC1:acc#429.itm(1)} {ACC1:acc#429.itm(2)} {ACC1:acc#429.itm(3)} -attr xrf 63299 -attr oid 489 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#429.itm}
+load net {conc#943.itm(0)} -attr vt d
+load net {conc#943.itm(1)} -attr vt d
+load net {conc#943.itm(2)} -attr vt d
+load netBundle {conc#943.itm} 3 {conc#943.itm(0)} {conc#943.itm(1)} {conc#943.itm(2)} -attr xrf 63300 -attr oid 490 -attr vt d -attr @path {/sobel/sobel:core/conc#943.itm}
+load net {ACC1:conc#1317.itm(0)} -attr vt d
+load net {ACC1:conc#1317.itm(1)} -attr vt d
+load net {ACC1:conc#1317.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1317.itm} 3 {ACC1:conc#1317.itm(0)} {ACC1:conc#1317.itm(1)} {ACC1:conc#1317.itm(2)} -attr xrf 63301 -attr oid 491 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1317.itm}
+load net {ACC1:slc#96.itm(0)} -attr vt d
+load net {ACC1:slc#96.itm(1)} -attr vt d
+load net {ACC1:slc#96.itm(2)} -attr vt d
+load netBundle {ACC1:slc#96.itm} 3 {ACC1:slc#96.itm(0)} {ACC1:slc#96.itm(1)} {ACC1:slc#96.itm(2)} -attr xrf 63302 -attr oid 492 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#428.itm(0)} -attr vt d
+load net {ACC1:acc#428.itm(1)} -attr vt d
+load net {ACC1:acc#428.itm(2)} -attr vt d
+load net {ACC1:acc#428.itm(3)} -attr vt d
+load netBundle {ACC1:acc#428.itm} 4 {ACC1:acc#428.itm(0)} {ACC1:acc#428.itm(1)} {ACC1:acc#428.itm(2)} {ACC1:acc#428.itm(3)} -attr xrf 63303 -attr oid 493 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#428.itm}
+load net {conc#944.itm(0)} -attr vt d
+load net {conc#944.itm(1)} -attr vt d
+load net {conc#944.itm(2)} -attr vt d
+load netBundle {conc#944.itm} 3 {conc#944.itm(0)} {conc#944.itm(1)} {conc#944.itm(2)} -attr xrf 63304 -attr oid 494 -attr vt d -attr @path {/sobel/sobel:core/conc#944.itm}
+load net {ACC1:conc#1315.itm(0)} -attr vt d
+load net {ACC1:conc#1315.itm(1)} -attr vt d
+load net {ACC1:conc#1315.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1315.itm} 3 {ACC1:conc#1315.itm(0)} {ACC1:conc#1315.itm(1)} {ACC1:conc#1315.itm(2)} -attr xrf 63305 -attr oid 495 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1315.itm}
+load net {ACC1:acc#525.itm(0)} -attr vt d
+load net {ACC1:acc#525.itm(1)} -attr vt d
+load net {ACC1:acc#525.itm(2)} -attr vt d
+load net {ACC1:acc#525.itm(3)} -attr vt d
+load netBundle {ACC1:acc#525.itm} 4 {ACC1:acc#525.itm(0)} {ACC1:acc#525.itm(1)} {ACC1:acc#525.itm(2)} {ACC1:acc#525.itm(3)} -attr xrf 63306 -attr oid 496 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:slc#95.itm(0)} -attr vt d
+load net {ACC1:slc#95.itm(1)} -attr vt d
+load net {ACC1:slc#95.itm(2)} -attr vt d
+load netBundle {ACC1:slc#95.itm} 3 {ACC1:slc#95.itm(0)} {ACC1:slc#95.itm(1)} {ACC1:slc#95.itm(2)} -attr xrf 63307 -attr oid 497 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#427.itm(0)} -attr vt d
+load net {ACC1:acc#427.itm(1)} -attr vt d
+load net {ACC1:acc#427.itm(2)} -attr vt d
+load net {ACC1:acc#427.itm(3)} -attr vt d
+load netBundle {ACC1:acc#427.itm} 4 {ACC1:acc#427.itm(0)} {ACC1:acc#427.itm(1)} {ACC1:acc#427.itm(2)} {ACC1:acc#427.itm(3)} -attr xrf 63308 -attr oid 498 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#427.itm}
+load net {conc#945.itm(0)} -attr vt d
+load net {conc#945.itm(1)} -attr vt d
+load net {conc#945.itm(2)} -attr vt d
+load netBundle {conc#945.itm} 3 {conc#945.itm(0)} {conc#945.itm(1)} {conc#945.itm(2)} -attr xrf 63309 -attr oid 499 -attr vt d -attr @path {/sobel/sobel:core/conc#945.itm}
+load net {ACC1:conc#1313.itm(0)} -attr vt d
+load net {ACC1:conc#1313.itm(1)} -attr vt d
+load net {ACC1:conc#1313.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1313.itm} 3 {ACC1:conc#1313.itm(0)} {ACC1:conc#1313.itm(1)} {ACC1:conc#1313.itm(2)} -attr xrf 63310 -attr oid 500 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1313.itm}
+load net {ACC1:slc#94.itm(0)} -attr vt d
+load net {ACC1:slc#94.itm(1)} -attr vt d
+load net {ACC1:slc#94.itm(2)} -attr vt d
+load netBundle {ACC1:slc#94.itm} 3 {ACC1:slc#94.itm(0)} {ACC1:slc#94.itm(1)} {ACC1:slc#94.itm(2)} -attr xrf 63311 -attr oid 501 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#426.itm(0)} -attr vt d
+load net {ACC1:acc#426.itm(1)} -attr vt d
+load net {ACC1:acc#426.itm(2)} -attr vt d
+load net {ACC1:acc#426.itm(3)} -attr vt d
+load netBundle {ACC1:acc#426.itm} 4 {ACC1:acc#426.itm(0)} {ACC1:acc#426.itm(1)} {ACC1:acc#426.itm(2)} {ACC1:acc#426.itm(3)} -attr xrf 63312 -attr oid 502 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#426.itm}
+load net {conc#946.itm(0)} -attr vt d
+load net {conc#946.itm(1)} -attr vt d
+load net {conc#946.itm(2)} -attr vt d
+load netBundle {conc#946.itm} 3 {conc#946.itm(0)} {conc#946.itm(1)} {conc#946.itm(2)} -attr xrf 63313 -attr oid 503 -attr vt d -attr @path {/sobel/sobel:core/conc#946.itm}
+load net {ACC1:conc#1311.itm(0)} -attr vt d
+load net {ACC1:conc#1311.itm(1)} -attr vt d
+load net {ACC1:conc#1311.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1311.itm} 3 {ACC1:conc#1311.itm(0)} {ACC1:conc#1311.itm(1)} {ACC1:conc#1311.itm(2)} -attr xrf 63314 -attr oid 504 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1311.itm}
+load net {ACC1:acc#634.itm(0)} -attr vt d
+load net {ACC1:acc#634.itm(1)} -attr vt d
+load net {ACC1:acc#634.itm(2)} -attr vt d
+load net {ACC1:acc#634.itm(3)} -attr vt d
+load net {ACC1:acc#634.itm(4)} -attr vt d
+load net {ACC1:acc#634.itm(5)} -attr vt d
+load net {ACC1:acc#634.itm(6)} -attr vt d
+load net {ACC1:acc#634.itm(7)} -attr vt d
+load netBundle {ACC1:acc#634.itm} 8 {ACC1:acc#634.itm(0)} {ACC1:acc#634.itm(1)} {ACC1:acc#634.itm(2)} {ACC1:acc#634.itm(3)} {ACC1:acc#634.itm(4)} {ACC1:acc#634.itm(5)} {ACC1:acc#634.itm(6)} {ACC1:acc#634.itm(7)} -attr xrf 63315 -attr oid 505 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:conc#1452.itm(0)} -attr vt d
+load net {ACC1:conc#1452.itm(1)} -attr vt d
+load net {ACC1:conc#1452.itm(2)} -attr vt d
+load net {ACC1:conc#1452.itm(3)} -attr vt d
+load net {ACC1:conc#1452.itm(4)} -attr vt d
+load net {ACC1:conc#1452.itm(5)} -attr vt d
+load net {ACC1:conc#1452.itm(6)} -attr vt d
+load netBundle {ACC1:conc#1452.itm} 7 {ACC1:conc#1452.itm(0)} {ACC1:conc#1452.itm(1)} {ACC1:conc#1452.itm(2)} {ACC1:conc#1452.itm(3)} {ACC1:conc#1452.itm(4)} {ACC1:conc#1452.itm(5)} {ACC1:conc#1452.itm(6)} -attr xrf 63316 -attr oid 506 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#721.itm(0)} -attr vt d
+load net {ACC1:acc#721.itm(1)} -attr vt d
+load net {ACC1:acc#721.itm(2)} -attr vt d
+load net {ACC1:acc#721.itm(3)} -attr vt d
+load netBundle {ACC1:acc#721.itm} 4 {ACC1:acc#721.itm(0)} {ACC1:acc#721.itm(1)} {ACC1:acc#721.itm(2)} {ACC1:acc#721.itm(3)} -attr xrf 63317 -attr oid 507 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#721.itm}
+load net {conc#947.itm(0)} -attr vt d
+load net {conc#947.itm(1)} -attr vt d
+load net {conc#947.itm(2)} -attr vt d
+load netBundle {conc#947.itm} 3 {conc#947.itm(0)} {conc#947.itm(1)} {conc#947.itm(2)} -attr xrf 63318 -attr oid 508 -attr vt d -attr @path {/sobel/sobel:core/conc#947.itm}
+load net {ACC1:conc#1119.itm(0)} -attr vt d
+load net {ACC1:conc#1119.itm(1)} -attr vt d
+load net {ACC1:conc#1119.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1119.itm} 3 {ACC1:conc#1119.itm(0)} {ACC1:conc#1119.itm(1)} {ACC1:conc#1119.itm(2)} -attr xrf 63319 -attr oid 509 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1119.itm}
+load net {ACC1:acc#722.itm(0)} -attr vt d
+load net {ACC1:acc#722.itm(1)} -attr vt d
+load net {ACC1:acc#722.itm(2)} -attr vt d
+load netBundle {ACC1:acc#722.itm} 3 {ACC1:acc#722.itm(0)} {ACC1:acc#722.itm(1)} {ACC1:acc#722.itm(2)} -attr xrf 63320 -attr oid 510 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#722.itm}
+load net {ACC1:conc#1453.itm(0)} -attr vt d
+load net {ACC1:conc#1453.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1453.itm} 2 {ACC1:conc#1453.itm(0)} {ACC1:conc#1453.itm(1)} -attr xrf 63321 -attr oid 511 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1453.itm}
+load net {ACC1:exs#1544.itm(0)} -attr vt d
+load net {ACC1:exs#1544.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1544.itm} 2 {ACC1:exs#1544.itm(0)} {ACC1:exs#1544.itm(1)} -attr xrf 63322 -attr oid 512 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1544.itm}
+load net {ACC1:conc#1454.itm(0)} -attr vt d
+load net {ACC1:conc#1454.itm(1)} -attr vt d
+load net {ACC1:conc#1454.itm(2)} -attr vt d
+load net {ACC1:conc#1454.itm(3)} -attr vt d
+load net {ACC1:conc#1454.itm(4)} -attr vt d
+load net {ACC1:conc#1454.itm(5)} -attr vt d
+load net {ACC1:conc#1454.itm(6)} -attr vt d
+load netBundle {ACC1:conc#1454.itm} 7 {ACC1:conc#1454.itm(0)} {ACC1:conc#1454.itm(1)} {ACC1:conc#1454.itm(2)} {ACC1:conc#1454.itm(3)} {ACC1:conc#1454.itm(4)} {ACC1:conc#1454.itm(5)} {ACC1:conc#1454.itm(6)} -attr xrf 63323 -attr oid 513 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#723.itm(0)} -attr vt d
+load net {ACC1:acc#723.itm(1)} -attr vt d
+load net {ACC1:acc#723.itm(2)} -attr vt d
+load net {ACC1:acc#723.itm(3)} -attr vt d
+load netBundle {ACC1:acc#723.itm} 4 {ACC1:acc#723.itm(0)} {ACC1:acc#723.itm(1)} {ACC1:acc#723.itm(2)} {ACC1:acc#723.itm(3)} -attr xrf 63324 -attr oid 514 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#723.itm}
+load net {ACC1:conc#1120.itm(0)} -attr vt d
+load net {ACC1:conc#1120.itm(1)} -attr vt d
+load net {ACC1:conc#1120.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1120.itm} 3 {ACC1:conc#1120.itm(0)} {ACC1:conc#1120.itm(1)} {ACC1:conc#1120.itm(2)} -attr xrf 63325 -attr oid 515 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1120.itm}
+load net {conc#948.itm(0)} -attr vt d
+load net {conc#948.itm(1)} -attr vt d
+load net {conc#948.itm(2)} -attr vt d
+load netBundle {conc#948.itm} 3 {conc#948.itm(0)} {conc#948.itm(1)} {conc#948.itm(2)} -attr xrf 63326 -attr oid 516 -attr vt d -attr @path {/sobel/sobel:core/conc#948.itm}
+load net {ACC1:acc#643.itm(0)} -attr vt d
+load net {ACC1:acc#643.itm(1)} -attr vt d
+load net {ACC1:acc#643.itm(2)} -attr vt d
+load net {ACC1:acc#643.itm(3)} -attr vt d
+load net {ACC1:acc#643.itm(4)} -attr vt d
+load net {ACC1:acc#643.itm(5)} -attr vt d
+load net {ACC1:acc#643.itm(6)} -attr vt d
+load net {ACC1:acc#643.itm(7)} -attr vt d
+load net {ACC1:acc#643.itm(8)} -attr vt d
+load netBundle {ACC1:acc#643.itm} 9 {ACC1:acc#643.itm(0)} {ACC1:acc#643.itm(1)} {ACC1:acc#643.itm(2)} {ACC1:acc#643.itm(3)} {ACC1:acc#643.itm(4)} {ACC1:acc#643.itm(5)} {ACC1:acc#643.itm(6)} {ACC1:acc#643.itm(7)} {ACC1:acc#643.itm(8)} -attr xrf 63327 -attr oid 517 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#633.itm(0)} -attr vt d
+load net {ACC1:acc#633.itm(1)} -attr vt d
+load net {ACC1:acc#633.itm(2)} -attr vt d
+load net {ACC1:acc#633.itm(3)} -attr vt d
+load net {ACC1:acc#633.itm(4)} -attr vt d
+load net {ACC1:acc#633.itm(5)} -attr vt d
+load net {ACC1:acc#633.itm(6)} -attr vt d
+load net {ACC1:acc#633.itm(7)} -attr vt d
+load netBundle {ACC1:acc#633.itm} 8 {ACC1:acc#633.itm(0)} {ACC1:acc#633.itm(1)} {ACC1:acc#633.itm(2)} {ACC1:acc#633.itm(3)} {ACC1:acc#633.itm(4)} {ACC1:acc#633.itm(5)} {ACC1:acc#633.itm(6)} {ACC1:acc#633.itm(7)} -attr xrf 63328 -attr oid 518 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:conc#1455.itm(0)} -attr vt d
+load net {ACC1:conc#1455.itm(1)} -attr vt d
+load net {ACC1:conc#1455.itm(2)} -attr vt d
+load net {ACC1:conc#1455.itm(3)} -attr vt d
+load net {ACC1:conc#1455.itm(4)} -attr vt d
+load net {ACC1:conc#1455.itm(5)} -attr vt d
+load net {ACC1:conc#1455.itm(6)} -attr vt d
+load netBundle {ACC1:conc#1455.itm} 7 {ACC1:conc#1455.itm(0)} {ACC1:conc#1455.itm(1)} {ACC1:conc#1455.itm(2)} {ACC1:conc#1455.itm(3)} {ACC1:conc#1455.itm(4)} {ACC1:conc#1455.itm(5)} {ACC1:conc#1455.itm(6)} -attr xrf 63329 -attr oid 519 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#725.itm(0)} -attr vt d
+load net {ACC1:acc#725.itm(1)} -attr vt d
+load netBundle {ACC1:acc#725.itm} 2 {ACC1:acc#725.itm(0)} {ACC1:acc#725.itm(1)} -attr xrf 63330 -attr oid 520 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#725.itm}
+load net {ACC1:acc#726.itm(0)} -attr vt d
+load net {ACC1:acc#726.itm(1)} -attr vt d
+load netBundle {ACC1:acc#726.itm} 2 {ACC1:acc#726.itm(0)} {ACC1:acc#726.itm(1)} -attr xrf 63331 -attr oid 521 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#726.itm}
+load net {ACC1:conc#1456.itm(0)} -attr vt d
+load net {ACC1:conc#1456.itm(1)} -attr vt d
+load net {ACC1:conc#1456.itm(2)} -attr vt d
+load net {ACC1:conc#1456.itm(3)} -attr vt d
+load net {ACC1:conc#1456.itm(4)} -attr vt d
+load net {ACC1:conc#1456.itm(5)} -attr vt d
+load net {ACC1:conc#1456.itm(6)} -attr vt d
+load netBundle {ACC1:conc#1456.itm} 7 {ACC1:conc#1456.itm(0)} {ACC1:conc#1456.itm(1)} {ACC1:conc#1456.itm(2)} {ACC1:conc#1456.itm(3)} {ACC1:conc#1456.itm(4)} {ACC1:conc#1456.itm(5)} {ACC1:conc#1456.itm(6)} -attr xrf 63332 -attr oid 522 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#728.itm(0)} -attr vt d
+load net {ACC1:acc#728.itm(1)} -attr vt d
+load netBundle {ACC1:acc#728.itm} 2 {ACC1:acc#728.itm(0)} {ACC1:acc#728.itm(1)} -attr xrf 63333 -attr oid 523 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#728.itm}
+load net {ACC1:acc#729.itm(0)} -attr vt d
+load net {ACC1:acc#729.itm(1)} -attr vt d
+load netBundle {ACC1:acc#729.itm} 2 {ACC1:acc#729.itm(0)} {ACC1:acc#729.itm(1)} -attr xrf 63334 -attr oid 524 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#729.itm}
+load net {ACC1:acc#632.itm(0)} -attr vt d
+load net {ACC1:acc#632.itm(1)} -attr vt d
+load net {ACC1:acc#632.itm(2)} -attr vt d
+load net {ACC1:acc#632.itm(3)} -attr vt d
+load net {ACC1:acc#632.itm(4)} -attr vt d
+load net {ACC1:acc#632.itm(5)} -attr vt d
+load net {ACC1:acc#632.itm(6)} -attr vt d
+load net {ACC1:acc#632.itm(7)} -attr vt d
+load netBundle {ACC1:acc#632.itm} 8 {ACC1:acc#632.itm(0)} {ACC1:acc#632.itm(1)} {ACC1:acc#632.itm(2)} {ACC1:acc#632.itm(3)} {ACC1:acc#632.itm(4)} {ACC1:acc#632.itm(5)} {ACC1:acc#632.itm(6)} {ACC1:acc#632.itm(7)} -attr xrf 63335 -attr oid 525 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1-3:exs#1032.itm(0)} -attr vt d
+load net {ACC1-3:exs#1032.itm(1)} -attr vt d
+load net {ACC1-3:exs#1032.itm(2)} -attr vt d
+load net {ACC1-3:exs#1032.itm(3)} -attr vt d
+load net {ACC1-3:exs#1032.itm(4)} -attr vt d
+load net {ACC1-3:exs#1032.itm(5)} -attr vt d
+load net {ACC1-3:exs#1032.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#1032.itm} 7 {ACC1-3:exs#1032.itm(0)} {ACC1-3:exs#1032.itm(1)} {ACC1-3:exs#1032.itm(2)} {ACC1-3:exs#1032.itm(3)} {ACC1-3:exs#1032.itm(4)} {ACC1-3:exs#1032.itm(5)} {ACC1-3:exs#1032.itm(6)} -attr xrf 63336 -attr oid 526 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1-3:conc#496.itm(0)} -attr vt d
+load net {ACC1-3:conc#496.itm(1)} -attr vt d
+load net {ACC1-3:conc#496.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#496.itm} 3 {ACC1-3:conc#496.itm(0)} {ACC1-3:conc#496.itm(1)} {ACC1-3:conc#496.itm(2)} -attr xrf 63337 -attr oid 527 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#496.itm}
+load net {ACC1-3:exs#30.itm(0)} -attr vt d
+load net {ACC1-3:exs#30.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#30.itm} 2 {ACC1-3:exs#30.itm(0)} {ACC1-3:exs#30.itm(1)} -attr xrf 63338 -attr oid 528 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#30.itm}
+load net {ACC1-3:exs#1029.itm(0)} -attr vt d
+load net {ACC1-3:exs#1029.itm(1)} -attr vt d
+load net {ACC1-3:exs#1029.itm(2)} -attr vt d
+load net {ACC1-3:exs#1029.itm(3)} -attr vt d
+load net {ACC1-3:exs#1029.itm(4)} -attr vt d
+load net {ACC1-3:exs#1029.itm(5)} -attr vt d
+load net {ACC1-3:exs#1029.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#1029.itm} 7 {ACC1-3:exs#1029.itm(0)} {ACC1-3:exs#1029.itm(1)} {ACC1-3:exs#1029.itm(2)} {ACC1-3:exs#1029.itm(3)} {ACC1-3:exs#1029.itm(4)} {ACC1-3:exs#1029.itm(5)} {ACC1-3:exs#1029.itm(6)} -attr xrf 63339 -attr oid 529 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {ACC1-3:conc#482.itm(0)} -attr vt d
+load net {ACC1-3:conc#482.itm(1)} -attr vt d
+load net {ACC1-3:conc#482.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#482.itm} 3 {ACC1-3:conc#482.itm(0)} {ACC1-3:conc#482.itm(1)} {ACC1-3:conc#482.itm(2)} -attr xrf 63340 -attr oid 530 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#482.itm}
+load net {ACC1-3:exs#12.itm(0)} -attr vt d
+load net {ACC1-3:exs#12.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#12.itm} 2 {ACC1-3:exs#12.itm(0)} {ACC1-3:exs#12.itm(1)} -attr xrf 63341 -attr oid 531 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#12.itm}
+load net {ACC1:acc#648.itm(0)} -attr vt d
+load net {ACC1:acc#648.itm(1)} -attr vt d
+load net {ACC1:acc#648.itm(2)} -attr vt d
+load net {ACC1:acc#648.itm(3)} -attr vt d
+load net {ACC1:acc#648.itm(4)} -attr vt d
+load net {ACC1:acc#648.itm(5)} -attr vt d
+load net {ACC1:acc#648.itm(6)} -attr vt d
+load net {ACC1:acc#648.itm(7)} -attr vt d
+load net {ACC1:acc#648.itm(8)} -attr vt d
+load net {ACC1:acc#648.itm(9)} -attr vt d
+load netBundle {ACC1:acc#648.itm} 10 {ACC1:acc#648.itm(0)} {ACC1:acc#648.itm(1)} {ACC1:acc#648.itm(2)} {ACC1:acc#648.itm(3)} {ACC1:acc#648.itm(4)} {ACC1:acc#648.itm(5)} {ACC1:acc#648.itm(6)} {ACC1:acc#648.itm(7)} {ACC1:acc#648.itm(8)} {ACC1:acc#648.itm(9)} -attr xrf 63342 -attr oid 532 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#642.itm(0)} -attr vt d
+load net {ACC1:acc#642.itm(1)} -attr vt d
+load net {ACC1:acc#642.itm(2)} -attr vt d
+load net {ACC1:acc#642.itm(3)} -attr vt d
+load net {ACC1:acc#642.itm(4)} -attr vt d
+load net {ACC1:acc#642.itm(5)} -attr vt d
+load net {ACC1:acc#642.itm(6)} -attr vt d
+load net {ACC1:acc#642.itm(7)} -attr vt d
+load net {ACC1:acc#642.itm(8)} -attr vt d
+load netBundle {ACC1:acc#642.itm} 9 {ACC1:acc#642.itm(0)} {ACC1:acc#642.itm(1)} {ACC1:acc#642.itm(2)} {ACC1:acc#642.itm(3)} {ACC1:acc#642.itm(4)} {ACC1:acc#642.itm(5)} {ACC1:acc#642.itm(6)} {ACC1:acc#642.itm(7)} {ACC1:acc#642.itm(8)} -attr xrf 63343 -attr oid 533 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#631.itm(0)} -attr vt d
+load net {ACC1:acc#631.itm(1)} -attr vt d
+load net {ACC1:acc#631.itm(2)} -attr vt d
+load net {ACC1:acc#631.itm(3)} -attr vt d
+load net {ACC1:acc#631.itm(4)} -attr vt d
+load net {ACC1:acc#631.itm(5)} -attr vt d
+load net {ACC1:acc#631.itm(6)} -attr vt d
+load net {ACC1:acc#631.itm(7)} -attr vt d
+load netBundle {ACC1:acc#631.itm} 8 {ACC1:acc#631.itm(0)} {ACC1:acc#631.itm(1)} {ACC1:acc#631.itm(2)} {ACC1:acc#631.itm(3)} {ACC1:acc#631.itm(4)} {ACC1:acc#631.itm(5)} {ACC1:acc#631.itm(6)} {ACC1:acc#631.itm(7)} -attr xrf 63344 -attr oid 534 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1-3:exs#1035.itm(0)} -attr vt d
+load net {ACC1-3:exs#1035.itm(1)} -attr vt d
+load net {ACC1-3:exs#1035.itm(2)} -attr vt d
+load net {ACC1-3:exs#1035.itm(3)} -attr vt d
+load net {ACC1-3:exs#1035.itm(4)} -attr vt d
+load net {ACC1-3:exs#1035.itm(5)} -attr vt d
+load net {ACC1-3:exs#1035.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#1035.itm} 7 {ACC1-3:exs#1035.itm(0)} {ACC1-3:exs#1035.itm(1)} {ACC1-3:exs#1035.itm(2)} {ACC1-3:exs#1035.itm(3)} {ACC1-3:exs#1035.itm(4)} {ACC1-3:exs#1035.itm(5)} {ACC1-3:exs#1035.itm(6)} -attr xrf 63345 -attr oid 535 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1-3:conc#510.itm(0)} -attr vt d
+load net {ACC1-3:conc#510.itm(1)} -attr vt d
+load net {ACC1-3:conc#510.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#510.itm} 3 {ACC1-3:conc#510.itm(0)} {ACC1-3:conc#510.itm(1)} {ACC1-3:conc#510.itm(2)} -attr xrf 63346 -attr oid 536 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#510.itm}
+load net {ACC1-3:exs#1062.itm(0)} -attr vt d
+load net {ACC1-3:exs#1062.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1062.itm} 2 {ACC1-3:exs#1062.itm(0)} {ACC1-3:exs#1062.itm(1)} -attr xrf 63347 -attr oid 537 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1062.itm}
+load net {ACC1-2:exs#1032.itm(0)} -attr vt d
+load net {ACC1-2:exs#1032.itm(1)} -attr vt d
+load net {ACC1-2:exs#1032.itm(2)} -attr vt d
+load net {ACC1-2:exs#1032.itm(3)} -attr vt d
+load net {ACC1-2:exs#1032.itm(4)} -attr vt d
+load net {ACC1-2:exs#1032.itm(5)} -attr vt d
+load net {ACC1-2:exs#1032.itm(6)} -attr vt d
+load netBundle {ACC1-2:exs#1032.itm} 7 {ACC1-2:exs#1032.itm(0)} {ACC1-2:exs#1032.itm(1)} {ACC1-2:exs#1032.itm(2)} {ACC1-2:exs#1032.itm(3)} {ACC1-2:exs#1032.itm(4)} {ACC1-2:exs#1032.itm(5)} {ACC1-2:exs#1032.itm(6)} -attr xrf 63348 -attr oid 538 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1-2:conc#496.itm(0)} -attr vt d
+load net {ACC1-2:conc#496.itm(1)} -attr vt d
+load net {ACC1-2:conc#496.itm(2)} -attr vt d
+load netBundle {ACC1-2:conc#496.itm} 3 {ACC1-2:conc#496.itm(0)} {ACC1-2:conc#496.itm(1)} {ACC1-2:conc#496.itm(2)} -attr xrf 63349 -attr oid 539 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:conc#496.itm}
+load net {ACC1-2:exs#1052.itm(0)} -attr vt d
+load net {ACC1-2:exs#1052.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1052.itm} 2 {ACC1-2:exs#1052.itm(0)} {ACC1-2:exs#1052.itm(1)} -attr xrf 63350 -attr oid 540 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1052.itm}
+load net {ACC1:acc#630.itm(0)} -attr vt d
+load net {ACC1:acc#630.itm(1)} -attr vt d
+load net {ACC1:acc#630.itm(2)} -attr vt d
+load net {ACC1:acc#630.itm(3)} -attr vt d
+load net {ACC1:acc#630.itm(4)} -attr vt d
+load net {ACC1:acc#630.itm(5)} -attr vt d
+load net {ACC1:acc#630.itm(6)} -attr vt d
+load net {ACC1:acc#630.itm(7)} -attr vt d
+load netBundle {ACC1:acc#630.itm} 8 {ACC1:acc#630.itm(0)} {ACC1:acc#630.itm(1)} {ACC1:acc#630.itm(2)} {ACC1:acc#630.itm(3)} {ACC1:acc#630.itm(4)} {ACC1:acc#630.itm(5)} {ACC1:acc#630.itm(6)} {ACC1:acc#630.itm(7)} -attr xrf 63351 -attr oid 541 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {exs#94.itm(0)} -attr vt d
+load net {exs#94.itm(1)} -attr vt d
+load net {exs#94.itm(2)} -attr vt d
+load net {exs#94.itm(3)} -attr vt d
+load net {exs#94.itm(4)} -attr vt d
+load net {exs#94.itm(5)} -attr vt d
+load net {exs#94.itm(6)} -attr vt d
+load netBundle {exs#94.itm} 7 {exs#94.itm(0)} {exs#94.itm(1)} {exs#94.itm(2)} {exs#94.itm(3)} {exs#94.itm(4)} {exs#94.itm(5)} {exs#94.itm(6)} -attr xrf 63352 -attr oid 542 -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {conc#949.itm(0)} -attr vt d
+load net {conc#949.itm(1)} -attr vt d
+load net {conc#949.itm(2)} -attr vt d
+load net {conc#949.itm(3)} -attr vt d
+load netBundle {conc#949.itm} 4 {conc#949.itm(0)} {conc#949.itm(1)} {conc#949.itm(2)} {conc#949.itm(3)} -attr xrf 63353 -attr oid 543 -attr vt d -attr @path {/sobel/sobel:core/conc#949.itm}
+load net {ACC1-3:exs#1071.itm(0)} -attr vt d
+load net {ACC1-3:exs#1071.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1071.itm} 2 {ACC1-3:exs#1071.itm(0)} {ACC1-3:exs#1071.itm(1)} -attr xrf 63354 -attr oid 544 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1071.itm}
+load net {ACC1-3:exs#1040.itm(0)} -attr vt d
+load net {ACC1-3:exs#1040.itm(1)} -attr vt d
+load net {ACC1-3:exs#1040.itm(2)} -attr vt d
+load net {ACC1-3:exs#1040.itm(3)} -attr vt d
+load net {ACC1-3:exs#1040.itm(4)} -attr vt d
+load net {ACC1-3:exs#1040.itm(5)} -attr vt d
+load net {ACC1-3:exs#1040.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#1040.itm} 7 {ACC1-3:exs#1040.itm(0)} {ACC1-3:exs#1040.itm(1)} {ACC1-3:exs#1040.itm(2)} {ACC1-3:exs#1040.itm(3)} {ACC1-3:exs#1040.itm(4)} {ACC1-3:exs#1040.itm(5)} {ACC1-3:exs#1040.itm(6)} -attr xrf 63355 -attr oid 545 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {ACC1-3:conc#538.itm(0)} -attr vt d
+load net {ACC1-3:conc#538.itm(1)} -attr vt d
+load net {ACC1-3:conc#538.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#538.itm} 3 {ACC1-3:conc#538.itm(0)} {ACC1-3:conc#538.itm(1)} {ACC1-3:conc#538.itm(2)} -attr xrf 63356 -attr oid 546 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#538.itm}
+load net {ACC1-3:exs#1045.itm(0)} -attr vt d
+load net {ACC1-3:exs#1045.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1045.itm} 2 {ACC1-3:exs#1045.itm(0)} {ACC1-3:exs#1045.itm(1)} -attr xrf 63357 -attr oid 547 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1045.itm}
+load net {ACC1:acc#641.itm(0)} -attr vt d
+load net {ACC1:acc#641.itm(1)} -attr vt d
+load net {ACC1:acc#641.itm(2)} -attr vt d
+load net {ACC1:acc#641.itm(3)} -attr vt d
+load net {ACC1:acc#641.itm(4)} -attr vt d
+load net {ACC1:acc#641.itm(5)} -attr vt d
+load net {ACC1:acc#641.itm(6)} -attr vt d
+load net {ACC1:acc#641.itm(7)} -attr vt d
+load net {ACC1:acc#641.itm(8)} -attr vt d
+load netBundle {ACC1:acc#641.itm} 9 {ACC1:acc#641.itm(0)} {ACC1:acc#641.itm(1)} {ACC1:acc#641.itm(2)} {ACC1:acc#641.itm(3)} {ACC1:acc#641.itm(4)} {ACC1:acc#641.itm(5)} {ACC1:acc#641.itm(6)} {ACC1:acc#641.itm(7)} {ACC1:acc#641.itm(8)} -attr xrf 63358 -attr oid 548 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#629.itm(0)} -attr vt d
+load net {ACC1:acc#629.itm(1)} -attr vt d
+load net {ACC1:acc#629.itm(2)} -attr vt d
+load net {ACC1:acc#629.itm(3)} -attr vt d
+load net {ACC1:acc#629.itm(4)} -attr vt d
+load net {ACC1:acc#629.itm(5)} -attr vt d
+load net {ACC1:acc#629.itm(6)} -attr vt d
+load net {ACC1:acc#629.itm(7)} -attr vt d
+load netBundle {ACC1:acc#629.itm} 8 {ACC1:acc#629.itm(0)} {ACC1:acc#629.itm(1)} {ACC1:acc#629.itm(2)} {ACC1:acc#629.itm(3)} {ACC1:acc#629.itm(4)} {ACC1:acc#629.itm(5)} {ACC1:acc#629.itm(6)} {ACC1:acc#629.itm(7)} -attr xrf 63359 -attr oid 549 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {exs#95.itm(0)} -attr vt d
+load net {exs#95.itm(1)} -attr vt d
+load net {exs#95.itm(2)} -attr vt d
+load net {exs#95.itm(3)} -attr vt d
+load net {exs#95.itm(4)} -attr vt d
+load net {exs#95.itm(5)} -attr vt d
+load net {exs#95.itm(6)} -attr vt d
+load netBundle {exs#95.itm} 7 {exs#95.itm(0)} {exs#95.itm(1)} {exs#95.itm(2)} {exs#95.itm(3)} {exs#95.itm(4)} {exs#95.itm(5)} {exs#95.itm(6)} -attr xrf 63360 -attr oid 550 -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {conc#951.itm(0)} -attr vt d
+load net {conc#951.itm(1)} -attr vt d
+load net {conc#951.itm(2)} -attr vt d
+load net {conc#951.itm(3)} -attr vt d
+load netBundle {conc#951.itm} 4 {conc#951.itm(0)} {conc#951.itm(1)} {conc#951.itm(2)} {conc#951.itm(3)} -attr xrf 63361 -attr oid 551 -attr vt d -attr @path {/sobel/sobel:core/conc#951.itm}
+load net {ACC1-2:exs#1063.itm(0)} -attr vt d
+load net {ACC1-2:exs#1063.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1063.itm} 2 {ACC1-2:exs#1063.itm(0)} {ACC1-2:exs#1063.itm(1)} -attr xrf 63362 -attr oid 552 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1063.itm}
+load net {ACC1-1:exs#1035.itm(0)} -attr vt d
+load net {ACC1-1:exs#1035.itm(1)} -attr vt d
+load net {ACC1-1:exs#1035.itm(2)} -attr vt d
+load net {ACC1-1:exs#1035.itm(3)} -attr vt d
+load net {ACC1-1:exs#1035.itm(4)} -attr vt d
+load net {ACC1-1:exs#1035.itm(5)} -attr vt d
+load net {ACC1-1:exs#1035.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#1035.itm} 7 {ACC1-1:exs#1035.itm(0)} {ACC1-1:exs#1035.itm(1)} {ACC1-1:exs#1035.itm(2)} {ACC1-1:exs#1035.itm(3)} {ACC1-1:exs#1035.itm(4)} {ACC1-1:exs#1035.itm(5)} {ACC1-1:exs#1035.itm(6)} -attr xrf 63363 -attr oid 553 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1-1:conc#510.itm(0)} -attr vt d
+load net {ACC1-1:conc#510.itm(1)} -attr vt d
+load net {ACC1-1:conc#510.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#510.itm} 3 {ACC1-1:conc#510.itm(0)} {ACC1-1:conc#510.itm(1)} {ACC1-1:conc#510.itm(2)} -attr xrf 63364 -attr oid 554 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#510.itm}
+load net {ACC1-1:exs#48.itm(0)} -attr vt d
+load net {ACC1-1:exs#48.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#48.itm} 2 {ACC1-1:exs#48.itm(0)} {ACC1-1:exs#48.itm(1)} -attr xrf 63365 -attr oid 555 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#48.itm}
+load net {ACC1:acc#628.itm(0)} -attr vt d
+load net {ACC1:acc#628.itm(1)} -attr vt d
+load net {ACC1:acc#628.itm(2)} -attr vt d
+load net {ACC1:acc#628.itm(3)} -attr vt d
+load net {ACC1:acc#628.itm(4)} -attr vt d
+load net {ACC1:acc#628.itm(5)} -attr vt d
+load net {ACC1:acc#628.itm(6)} -attr vt d
+load net {ACC1:acc#628.itm(7)} -attr vt d
+load netBundle {ACC1:acc#628.itm} 8 {ACC1:acc#628.itm(0)} {ACC1:acc#628.itm(1)} {ACC1:acc#628.itm(2)} {ACC1:acc#628.itm(3)} {ACC1:acc#628.itm(4)} {ACC1:acc#628.itm(5)} {ACC1:acc#628.itm(6)} {ACC1:acc#628.itm(7)} -attr xrf 63366 -attr oid 556 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {exs#96.itm(0)} -attr vt d
+load net {exs#96.itm(1)} -attr vt d
+load net {exs#96.itm(2)} -attr vt d
+load net {exs#96.itm(3)} -attr vt d
+load net {exs#96.itm(4)} -attr vt d
+load net {exs#96.itm(5)} -attr vt d
+load net {exs#96.itm(6)} -attr vt d
+load netBundle {exs#96.itm} 7 {exs#96.itm(0)} {exs#96.itm(1)} {exs#96.itm(2)} {exs#96.itm(3)} {exs#96.itm(4)} {exs#96.itm(5)} {exs#96.itm(6)} -attr xrf 63367 -attr oid 557 -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {conc#953.itm(0)} -attr vt d
+load net {conc#953.itm(1)} -attr vt d
+load net {conc#953.itm(2)} -attr vt d
+load net {conc#953.itm(3)} -attr vt d
+load netBundle {conc#953.itm} 4 {conc#953.itm(0)} {conc#953.itm(1)} {conc#953.itm(2)} {conc#953.itm(3)} -attr xrf 63368 -attr oid 558 -attr vt d -attr @path {/sobel/sobel:core/conc#953.itm}
+load net {ACC1-1:exs#1068.itm(0)} -attr vt d
+load net {ACC1-1:exs#1068.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1068.itm} 2 {ACC1-1:exs#1068.itm(0)} {ACC1-1:exs#1068.itm(1)} -attr xrf 63369 -attr oid 559 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1068.itm}
+load net {ACC1-1:exs#1051.itm(0)} -attr vt d
+load net {ACC1-1:exs#1051.itm(1)} -attr vt d
+load net {ACC1-1:exs#1051.itm(2)} -attr vt d
+load net {ACC1-1:exs#1051.itm(3)} -attr vt d
+load net {ACC1-1:exs#1051.itm(4)} -attr vt d
+load net {ACC1-1:exs#1051.itm(5)} -attr vt d
+load net {ACC1-1:exs#1051.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#1051.itm} 7 {ACC1-1:exs#1051.itm(0)} {ACC1-1:exs#1051.itm(1)} {ACC1-1:exs#1051.itm(2)} {ACC1-1:exs#1051.itm(3)} {ACC1-1:exs#1051.itm(4)} {ACC1-1:exs#1051.itm(5)} {ACC1-1:exs#1051.itm(6)} -attr xrf 63370 -attr oid 560 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {ACC1-1:conc#602.itm(0)} -attr vt d
+load net {ACC1-1:conc#602.itm(1)} -attr vt d
+load net {ACC1-1:conc#602.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#602.itm} 3 {ACC1-1:conc#602.itm(0)} {ACC1-1:conc#602.itm(1)} {ACC1-1:conc#602.itm(2)} -attr xrf 63371 -attr oid 561 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#602.itm}
+load net {ACC1-1:exs#1052.itm(0)} -attr vt d
+load net {ACC1-1:exs#1052.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#1052.itm} 2 {ACC1-1:exs#1052.itm(0)} {ACC1-1:exs#1052.itm(1)} -attr xrf 63372 -attr oid 562 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1052.itm}
+load net {ACC1:acc#655.itm(0)} -attr vt d
+load net {ACC1:acc#655.itm(1)} -attr vt d
+load net {ACC1:acc#655.itm(2)} -attr vt d
+load net {ACC1:acc#655.itm(3)} -attr vt d
+load net {ACC1:acc#655.itm(4)} -attr vt d
+load net {ACC1:acc#655.itm(5)} -attr vt d
+load net {ACC1:acc#655.itm(6)} -attr vt d
+load net {ACC1:acc#655.itm(7)} -attr vt d
+load net {ACC1:acc#655.itm(8)} -attr vt d
+load net {ACC1:acc#655.itm(9)} -attr vt d
+load net {ACC1:acc#655.itm(10)} -attr vt d
+load net {ACC1:acc#655.itm(11)} -attr vt d
+load netBundle {ACC1:acc#655.itm} 12 {ACC1:acc#655.itm(0)} {ACC1:acc#655.itm(1)} {ACC1:acc#655.itm(2)} {ACC1:acc#655.itm(3)} {ACC1:acc#655.itm(4)} {ACC1:acc#655.itm(5)} {ACC1:acc#655.itm(6)} {ACC1:acc#655.itm(7)} {ACC1:acc#655.itm(8)} {ACC1:acc#655.itm(9)} {ACC1:acc#655.itm(10)} {ACC1:acc#655.itm(11)} -attr xrf 63373 -attr oid 563 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#650.itm(0)} -attr vt d
+load net {ACC1:acc#650.itm(1)} -attr vt d
+load net {ACC1:acc#650.itm(2)} -attr vt d
+load net {ACC1:acc#650.itm(3)} -attr vt d
+load net {ACC1:acc#650.itm(4)} -attr vt d
+load net {ACC1:acc#650.itm(5)} -attr vt d
+load net {ACC1:acc#650.itm(6)} -attr vt d
+load net {ACC1:acc#650.itm(7)} -attr vt d
+load net {ACC1:acc#650.itm(8)} -attr vt d
+load net {ACC1:acc#650.itm(9)} -attr vt d
+load net {ACC1:acc#650.itm(10)} -attr vt d
+load netBundle {ACC1:acc#650.itm} 11 {ACC1:acc#650.itm(0)} {ACC1:acc#650.itm(1)} {ACC1:acc#650.itm(2)} {ACC1:acc#650.itm(3)} {ACC1:acc#650.itm(4)} {ACC1:acc#650.itm(5)} {ACC1:acc#650.itm(6)} {ACC1:acc#650.itm(7)} {ACC1:acc#650.itm(8)} {ACC1:acc#650.itm(9)} {ACC1:acc#650.itm(10)} -attr xrf 63374 -attr oid 564 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {conc#955.itm(0)} -attr vt d
+load net {conc#955.itm(1)} -attr vt d
+load net {conc#955.itm(2)} -attr vt d
+load net {conc#955.itm(3)} -attr vt d
+load net {conc#955.itm(4)} -attr vt d
+load net {conc#955.itm(5)} -attr vt d
+load net {conc#955.itm(6)} -attr vt d
+load net {conc#955.itm(7)} -attr vt d
+load net {conc#955.itm(8)} -attr vt d
+load net {conc#955.itm(9)} -attr vt d
+load netBundle {conc#955.itm} 10 {conc#955.itm(0)} {conc#955.itm(1)} {conc#955.itm(2)} {conc#955.itm(3)} {conc#955.itm(4)} {conc#955.itm(5)} {conc#955.itm(6)} {conc#955.itm(7)} {conc#955.itm(8)} {conc#955.itm(9)} -attr xrf 63375 -attr oid 565 -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {ACC1:acc#645.itm(0)} -attr vt d
+load net {ACC1:acc#645.itm(1)} -attr vt d
+load net {ACC1:acc#645.itm(2)} -attr vt d
+load net {ACC1:acc#645.itm(3)} -attr vt d
+load net {ACC1:acc#645.itm(4)} -attr vt d
+load net {ACC1:acc#645.itm(5)} -attr vt d
+load net {ACC1:acc#645.itm(6)} -attr vt d
+load net {ACC1:acc#645.itm(7)} -attr vt d
+load net {ACC1:acc#645.itm(8)} -attr vt d
+load netBundle {ACC1:acc#645.itm} 9 {ACC1:acc#645.itm(0)} {ACC1:acc#645.itm(1)} {ACC1:acc#645.itm(2)} {ACC1:acc#645.itm(3)} {ACC1:acc#645.itm(4)} {ACC1:acc#645.itm(5)} {ACC1:acc#645.itm(6)} {ACC1:acc#645.itm(7)} {ACC1:acc#645.itm(8)} -attr xrf 63376 -attr oid 566 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#638.itm(0)} -attr vt d
+load net {ACC1:acc#638.itm(1)} -attr vt d
+load net {ACC1:acc#638.itm(2)} -attr vt d
+load net {ACC1:acc#638.itm(3)} -attr vt d
+load net {ACC1:acc#638.itm(4)} -attr vt d
+load net {ACC1:acc#638.itm(5)} -attr vt d
+load net {ACC1:acc#638.itm(6)} -attr vt d
+load net {ACC1:acc#638.itm(7)} -attr vt d
+load netBundle {ACC1:acc#638.itm} 8 {ACC1:acc#638.itm(0)} {ACC1:acc#638.itm(1)} {ACC1:acc#638.itm(2)} {ACC1:acc#638.itm(3)} {ACC1:acc#638.itm(4)} {ACC1:acc#638.itm(5)} {ACC1:acc#638.itm(6)} {ACC1:acc#638.itm(7)} -attr xrf 63377 -attr oid 567 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {conc#956.itm(0)} -attr vt d
+load net {conc#956.itm(1)} -attr vt d
+load net {conc#956.itm(2)} -attr vt d
+load net {conc#956.itm(3)} -attr vt d
+load net {conc#956.itm(4)} -attr vt d
+load net {conc#956.itm(5)} -attr vt d
+load net {conc#956.itm(6)} -attr vt d
+load net {conc#956.itm(7)} -attr vt d
+load netBundle {conc#956.itm} 8 {conc#956.itm(0)} {conc#956.itm(1)} {conc#956.itm(2)} {conc#956.itm(3)} {conc#956.itm(4)} {conc#956.itm(5)} {conc#956.itm(6)} {conc#956.itm(7)} -attr xrf 63378 -attr oid 568 -attr vt d -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {ACC1:acc#626.itm(0)} -attr vt d
+load net {ACC1:acc#626.itm(1)} -attr vt d
+load net {ACC1:acc#626.itm(2)} -attr vt d
+load net {ACC1:acc#626.itm(3)} -attr vt d
+load net {ACC1:acc#626.itm(4)} -attr vt d
+load net {ACC1:acc#626.itm(5)} -attr vt d
+load net {ACC1:acc#626.itm(6)} -attr vt d
+load netBundle {ACC1:acc#626.itm} 7 {ACC1:acc#626.itm(0)} {ACC1:acc#626.itm(1)} {ACC1:acc#626.itm(2)} {ACC1:acc#626.itm(3)} {ACC1:acc#626.itm(4)} {ACC1:acc#626.itm(5)} {ACC1:acc#626.itm(6)} -attr xrf 63379 -attr oid 569 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#613.itm(0)} -attr vt d
+load net {ACC1:acc#613.itm(1)} -attr vt d
+load net {ACC1:acc#613.itm(2)} -attr vt d
+load net {ACC1:acc#613.itm(3)} -attr vt d
+load net {ACC1:acc#613.itm(4)} -attr vt d
+load net {ACC1:acc#613.itm(5)} -attr vt d
+load netBundle {ACC1:acc#613.itm} 6 {ACC1:acc#613.itm(0)} {ACC1:acc#613.itm(1)} {ACC1:acc#613.itm(2)} {ACC1:acc#613.itm(3)} {ACC1:acc#613.itm(4)} {ACC1:acc#613.itm(5)} -attr xrf 63380 -attr oid 570 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#597.itm(0)} -attr vt d
+load net {ACC1:acc#597.itm(1)} -attr vt d
+load net {ACC1:acc#597.itm(2)} -attr vt d
+load net {ACC1:acc#597.itm(3)} -attr vt d
+load net {ACC1:acc#597.itm(4)} -attr vt d
+load netBundle {ACC1:acc#597.itm} 5 {ACC1:acc#597.itm(0)} {ACC1:acc#597.itm(1)} {ACC1:acc#597.itm(2)} {ACC1:acc#597.itm(3)} {ACC1:acc#597.itm(4)} -attr xrf 63381 -attr oid 571 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#564.itm(0)} -attr vt d
+load net {ACC1:acc#564.itm(1)} -attr vt d
+load net {ACC1:acc#564.itm(2)} -attr vt d
+load net {ACC1:acc#564.itm(3)} -attr vt d
+load netBundle {ACC1:acc#564.itm} 4 {ACC1:acc#564.itm(0)} {ACC1:acc#564.itm(1)} {ACC1:acc#564.itm(2)} {ACC1:acc#564.itm(3)} -attr xrf 63382 -attr oid 572 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#563.itm(0)} -attr vt d
+load net {ACC1:acc#563.itm(1)} -attr vt d
+load net {ACC1:acc#563.itm(2)} -attr vt d
+load net {ACC1:acc#563.itm(3)} -attr vt d
+load netBundle {ACC1:acc#563.itm} 4 {ACC1:acc#563.itm(0)} {ACC1:acc#563.itm(1)} {ACC1:acc#563.itm(2)} {ACC1:acc#563.itm(3)} -attr xrf 63383 -attr oid 573 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#507.itm(0)} -attr vt d
+load net {ACC1:acc#507.itm(1)} -attr vt d
+load net {ACC1:acc#507.itm(2)} -attr vt d
+load netBundle {ACC1:acc#507.itm} 3 {ACC1:acc#507.itm(0)} {ACC1:acc#507.itm(1)} {ACC1:acc#507.itm(2)} -attr xrf 63384 -attr oid 574 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load net {ACC1-3:exs#1058.itm(0)} -attr vt d
+load net {ACC1-3:exs#1058.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1058.itm} 2 {ACC1-3:exs#1058.itm(0)} {ACC1-3:exs#1058.itm(1)} -attr xrf 63385 -attr oid 575 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1058.itm}
+load net {ACC1-3:exs#1031.itm(0)} -attr vt d
+load net {ACC1-3:exs#1031.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1031.itm} 2 {ACC1-3:exs#1031.itm(0)} {ACC1-3:exs#1031.itm(1)} -attr xrf 63386 -attr oid 576 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1031.itm}
+load net {ACC1:acc#596.itm(0)} -attr vt d
+load net {ACC1:acc#596.itm(1)} -attr vt d
+load net {ACC1:acc#596.itm(2)} -attr vt d
+load net {ACC1:acc#596.itm(3)} -attr vt d
+load net {ACC1:acc#596.itm(4)} -attr vt d
+load netBundle {ACC1:acc#596.itm} 5 {ACC1:acc#596.itm(0)} {ACC1:acc#596.itm(1)} {ACC1:acc#596.itm(2)} {ACC1:acc#596.itm(3)} {ACC1:acc#596.itm(4)} -attr xrf 63387 -attr oid 577 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#561.itm(0)} -attr vt d
+load net {ACC1:acc#561.itm(1)} -attr vt d
+load net {ACC1:acc#561.itm(2)} -attr vt d
+load net {ACC1:acc#561.itm(3)} -attr vt d
+load netBundle {ACC1:acc#561.itm} 4 {ACC1:acc#561.itm(0)} {ACC1:acc#561.itm(1)} {ACC1:acc#561.itm(2)} {ACC1:acc#561.itm(3)} -attr xrf 63388 -attr oid 578 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#612.itm(0)} -attr vt d
+load net {ACC1:acc#612.itm(1)} -attr vt d
+load net {ACC1:acc#612.itm(2)} -attr vt d
+load net {ACC1:acc#612.itm(3)} -attr vt d
+load net {ACC1:acc#612.itm(4)} -attr vt d
+load net {ACC1:acc#612.itm(5)} -attr vt d
+load netBundle {ACC1:acc#612.itm} 6 {ACC1:acc#612.itm(0)} {ACC1:acc#612.itm(1)} {ACC1:acc#612.itm(2)} {ACC1:acc#612.itm(3)} {ACC1:acc#612.itm(4)} {ACC1:acc#612.itm(5)} -attr xrf 63389 -attr oid 579 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#595.itm(0)} -attr vt d
+load net {ACC1:acc#595.itm(1)} -attr vt d
+load net {ACC1:acc#595.itm(2)} -attr vt d
+load net {ACC1:acc#595.itm(3)} -attr vt d
+load net {ACC1:acc#595.itm(4)} -attr vt d
+load netBundle {ACC1:acc#595.itm} 5 {ACC1:acc#595.itm(0)} {ACC1:acc#595.itm(1)} {ACC1:acc#595.itm(2)} {ACC1:acc#595.itm(3)} {ACC1:acc#595.itm(4)} -attr xrf 63390 -attr oid 580 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#559.itm(0)} -attr vt d
+load net {ACC1:acc#559.itm(1)} -attr vt d
+load net {ACC1:acc#559.itm(2)} -attr vt d
+load net {ACC1:acc#559.itm(3)} -attr vt d
+load netBundle {ACC1:acc#559.itm} 4 {ACC1:acc#559.itm(0)} {ACC1:acc#559.itm(1)} {ACC1:acc#559.itm(2)} {ACC1:acc#559.itm(3)} -attr xrf 63391 -attr oid 581 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#499.itm(0)} -attr vt d
+load net {ACC1:acc#499.itm(1)} -attr vt d
+load net {ACC1:acc#499.itm(2)} -attr vt d
+load netBundle {ACC1:acc#499.itm} 3 {ACC1:acc#499.itm(0)} {ACC1:acc#499.itm(1)} {ACC1:acc#499.itm(2)} -attr xrf 63392 -attr oid 582 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load net {ACC1-3:exs#1053.itm(0)} -attr vt d
+load net {ACC1-3:exs#1053.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1053.itm} 2 {ACC1-3:exs#1053.itm(0)} {ACC1-3:exs#1053.itm(1)} -attr xrf 63393 -attr oid 583 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1053.itm}
+load net {ACC1-3:exs#72.itm(0)} -attr vt d
+load net {ACC1-3:exs#72.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#72.itm} 2 {ACC1-3:exs#72.itm(0)} {ACC1-3:exs#72.itm(1)} -attr xrf 63394 -attr oid 584 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#72.itm}
+load net {ACC1:acc#498.itm(0)} -attr vt d
+load net {ACC1:acc#498.itm(1)} -attr vt d
+load net {ACC1:acc#498.itm(2)} -attr vt d
+load netBundle {ACC1:acc#498.itm} 3 {ACC1:acc#498.itm(0)} {ACC1:acc#498.itm(1)} {ACC1:acc#498.itm(2)} -attr xrf 63395 -attr oid 585 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load net {ACC1-3:exs#73.itm(0)} -attr vt d
+load net {ACC1-3:exs#73.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#73.itm} 2 {ACC1-3:exs#73.itm(0)} {ACC1-3:exs#73.itm(1)} -attr xrf 63396 -attr oid 586 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#73.itm}
+load net {ACC1-3:exs#1054.itm(0)} -attr vt d
+load net {ACC1-3:exs#1054.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1054.itm} 2 {ACC1-3:exs#1054.itm(0)} {ACC1-3:exs#1054.itm(1)} -attr xrf 63397 -attr oid 587 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1054.itm}
+load net {ACC1:acc#594.itm(0)} -attr vt d
+load net {ACC1:acc#594.itm(1)} -attr vt d
+load net {ACC1:acc#594.itm(2)} -attr vt d
+load net {ACC1:acc#594.itm(3)} -attr vt d
+load net {ACC1:acc#594.itm(4)} -attr vt d
+load netBundle {ACC1:acc#594.itm} 5 {ACC1:acc#594.itm(0)} {ACC1:acc#594.itm(1)} {ACC1:acc#594.itm(2)} {ACC1:acc#594.itm(3)} {ACC1:acc#594.itm(4)} -attr xrf 63398 -attr oid 588 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#637.itm(0)} -attr vt d
+load net {ACC1:acc#637.itm(1)} -attr vt d
+load net {ACC1:acc#637.itm(2)} -attr vt d
+load net {ACC1:acc#637.itm(3)} -attr vt d
+load net {ACC1:acc#637.itm(4)} -attr vt d
+load net {ACC1:acc#637.itm(5)} -attr vt d
+load net {ACC1:acc#637.itm(6)} -attr vt d
+load net {ACC1:acc#637.itm(7)} -attr vt d
+load netBundle {ACC1:acc#637.itm} 8 {ACC1:acc#637.itm(0)} {ACC1:acc#637.itm(1)} {ACC1:acc#637.itm(2)} {ACC1:acc#637.itm(3)} {ACC1:acc#637.itm(4)} {ACC1:acc#637.itm(5)} {ACC1:acc#637.itm(6)} {ACC1:acc#637.itm(7)} -attr xrf 63399 -attr oid 589 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#625.itm(0)} -attr vt d
+load net {ACC1:acc#625.itm(1)} -attr vt d
+load net {ACC1:acc#625.itm(2)} -attr vt d
+load net {ACC1:acc#625.itm(3)} -attr vt d
+load net {ACC1:acc#625.itm(4)} -attr vt d
+load net {ACC1:acc#625.itm(5)} -attr vt d
+load net {ACC1:acc#625.itm(6)} -attr vt d
+load netBundle {ACC1:acc#625.itm} 7 {ACC1:acc#625.itm(0)} {ACC1:acc#625.itm(1)} {ACC1:acc#625.itm(2)} {ACC1:acc#625.itm(3)} {ACC1:acc#625.itm(4)} {ACC1:acc#625.itm(5)} {ACC1:acc#625.itm(6)} -attr xrf 63400 -attr oid 590 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#611.itm(0)} -attr vt d
+load net {ACC1:acc#611.itm(1)} -attr vt d
+load net {ACC1:acc#611.itm(2)} -attr vt d
+load net {ACC1:acc#611.itm(3)} -attr vt d
+load net {ACC1:acc#611.itm(4)} -attr vt d
+load net {ACC1:acc#611.itm(5)} -attr vt d
+load netBundle {ACC1:acc#611.itm} 6 {ACC1:acc#611.itm(0)} {ACC1:acc#611.itm(1)} {ACC1:acc#611.itm(2)} {ACC1:acc#611.itm(3)} {ACC1:acc#611.itm(4)} {ACC1:acc#611.itm(5)} -attr xrf 63401 -attr oid 591 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#593.itm(0)} -attr vt d
+load net {ACC1:acc#593.itm(1)} -attr vt d
+load net {ACC1:acc#593.itm(2)} -attr vt d
+load net {ACC1:acc#593.itm(3)} -attr vt d
+load net {ACC1:acc#593.itm(4)} -attr vt d
+load netBundle {ACC1:acc#593.itm} 5 {ACC1:acc#593.itm(0)} {ACC1:acc#593.itm(1)} {ACC1:acc#593.itm(2)} {ACC1:acc#593.itm(3)} {ACC1:acc#593.itm(4)} -attr xrf 63402 -attr oid 592 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#556.itm(0)} -attr vt d
+load net {ACC1:acc#556.itm(1)} -attr vt d
+load net {ACC1:acc#556.itm(2)} -attr vt d
+load net {ACC1:acc#556.itm(3)} -attr vt d
+load netBundle {ACC1:acc#556.itm} 4 {ACC1:acc#556.itm(0)} {ACC1:acc#556.itm(1)} {ACC1:acc#556.itm(2)} {ACC1:acc#556.itm(3)} -attr xrf 63403 -attr oid 593 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#555.itm(0)} -attr vt d
+load net {ACC1:acc#555.itm(1)} -attr vt d
+load net {ACC1:acc#555.itm(2)} -attr vt d
+load net {ACC1:acc#555.itm(3)} -attr vt d
+load netBundle {ACC1:acc#555.itm} 4 {ACC1:acc#555.itm(0)} {ACC1:acc#555.itm(1)} {ACC1:acc#555.itm(2)} {ACC1:acc#555.itm(3)} -attr xrf 63404 -attr oid 594 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#592.itm(0)} -attr vt d
+load net {ACC1:acc#592.itm(1)} -attr vt d
+load net {ACC1:acc#592.itm(2)} -attr vt d
+load net {ACC1:acc#592.itm(3)} -attr vt d
+load net {ACC1:acc#592.itm(4)} -attr vt d
+load netBundle {ACC1:acc#592.itm} 5 {ACC1:acc#592.itm(0)} {ACC1:acc#592.itm(1)} {ACC1:acc#592.itm(2)} {ACC1:acc#592.itm(3)} {ACC1:acc#592.itm(4)} -attr xrf 63405 -attr oid 595 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#554.itm(0)} -attr vt d
+load net {ACC1:acc#554.itm(1)} -attr vt d
+load net {ACC1:acc#554.itm(2)} -attr vt d
+load net {ACC1:acc#554.itm(3)} -attr vt d
+load netBundle {ACC1:acc#554.itm} 4 {ACC1:acc#554.itm(0)} {ACC1:acc#554.itm(1)} {ACC1:acc#554.itm(2)} {ACC1:acc#554.itm(3)} -attr xrf 63406 -attr oid 596 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#488.itm(0)} -attr vt d
+load net {ACC1:acc#488.itm(1)} -attr vt d
+load net {ACC1:acc#488.itm(2)} -attr vt d
+load netBundle {ACC1:acc#488.itm} 3 {ACC1:acc#488.itm(0)} {ACC1:acc#488.itm(1)} {ACC1:acc#488.itm(2)} -attr xrf 63407 -attr oid 597 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load net {ACC1-2:exs#90.itm(0)} -attr vt d
+load net {ACC1-2:exs#90.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#90.itm} 2 {ACC1-2:exs#90.itm(0)} {ACC1-2:exs#90.itm(1)} -attr xrf 63408 -attr oid 598 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#90.itm}
+load net {ACC1-2:exs#91.itm(0)} -attr vt d
+load net {ACC1-2:exs#91.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#91.itm} 2 {ACC1-2:exs#91.itm(0)} {ACC1-2:exs#91.itm(1)} -attr xrf 63409 -attr oid 599 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#91.itm}
+load net {ACC1:acc#487.itm(0)} -attr vt d
+load net {ACC1:acc#487.itm(1)} -attr vt d
+load net {ACC1:acc#487.itm(2)} -attr vt d
+load netBundle {ACC1:acc#487.itm} 3 {ACC1:acc#487.itm(0)} {ACC1:acc#487.itm(1)} {ACC1:acc#487.itm(2)} -attr xrf 63410 -attr oid 600 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load net {ACC1-2:exs#92.itm(0)} -attr vt d
+load net {ACC1-2:exs#92.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#92.itm} 2 {ACC1-2:exs#92.itm(0)} {ACC1-2:exs#92.itm(1)} -attr xrf 63411 -attr oid 601 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#92.itm}
+load net {ACC1-2:exs#1056.itm(0)} -attr vt d
+load net {ACC1-2:exs#1056.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1056.itm} 2 {ACC1-2:exs#1056.itm(0)} {ACC1-2:exs#1056.itm(1)} -attr xrf 63412 -attr oid 602 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1056.itm}
+load net {ACC1:acc#610.itm(0)} -attr vt d
+load net {ACC1:acc#610.itm(1)} -attr vt d
+load net {ACC1:acc#610.itm(2)} -attr vt d
+load net {ACC1:acc#610.itm(3)} -attr vt d
+load net {ACC1:acc#610.itm(4)} -attr vt d
+load net {ACC1:acc#610.itm(5)} -attr vt d
+load netBundle {ACC1:acc#610.itm} 6 {ACC1:acc#610.itm(0)} {ACC1:acc#610.itm(1)} {ACC1:acc#610.itm(2)} {ACC1:acc#610.itm(3)} {ACC1:acc#610.itm(4)} {ACC1:acc#610.itm(5)} -attr xrf 63413 -attr oid 603 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#591.itm(0)} -attr vt d
+load net {ACC1:acc#591.itm(1)} -attr vt d
+load net {ACC1:acc#591.itm(2)} -attr vt d
+load net {ACC1:acc#591.itm(3)} -attr vt d
+load net {ACC1:acc#591.itm(4)} -attr vt d
+load netBundle {ACC1:acc#591.itm} 5 {ACC1:acc#591.itm(0)} {ACC1:acc#591.itm(1)} {ACC1:acc#591.itm(2)} {ACC1:acc#591.itm(3)} {ACC1:acc#591.itm(4)} -attr xrf 63414 -attr oid 604 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#551.itm(0)} -attr vt d
+load net {ACC1:acc#551.itm(1)} -attr vt d
+load net {ACC1:acc#551.itm(2)} -attr vt d
+load net {ACC1:acc#551.itm(3)} -attr vt d
+load netBundle {ACC1:acc#551.itm} 4 {ACC1:acc#551.itm(0)} {ACC1:acc#551.itm(1)} {ACC1:acc#551.itm(2)} {ACC1:acc#551.itm(3)} -attr xrf 63415 -attr oid 605 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#482.itm(0)} -attr vt d
+load net {ACC1:acc#482.itm(1)} -attr vt d
+load net {ACC1:acc#482.itm(2)} -attr vt d
+load netBundle {ACC1:acc#482.itm} 3 {ACC1:acc#482.itm(0)} {ACC1:acc#482.itm(1)} {ACC1:acc#482.itm(2)} -attr xrf 63416 -attr oid 606 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load net {ACC1-2:exs#1057.itm(0)} -attr vt d
+load net {ACC1-2:exs#1057.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1057.itm} 2 {ACC1-2:exs#1057.itm(0)} {ACC1-2:exs#1057.itm(1)} -attr xrf 63417 -attr oid 607 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1057.itm}
+load net {ACC1-2:exs#963.itm(0)} -attr vt d
+load net {ACC1-2:exs#963.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#963.itm} 2 {ACC1-2:exs#963.itm(0)} {ACC1-2:exs#963.itm(1)} -attr xrf 63418 -attr oid 608 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#963.itm}
+load net {ACC1:acc#590.itm(0)} -attr vt d
+load net {ACC1:acc#590.itm(1)} -attr vt d
+load net {ACC1:acc#590.itm(2)} -attr vt d
+load net {ACC1:acc#590.itm(3)} -attr vt d
+load net {ACC1:acc#590.itm(4)} -attr vt d
+load netBundle {ACC1:acc#590.itm} 5 {ACC1:acc#590.itm(0)} {ACC1:acc#590.itm(1)} {ACC1:acc#590.itm(2)} {ACC1:acc#590.itm(3)} {ACC1:acc#590.itm(4)} -attr xrf 63419 -attr oid 609 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#550.itm(0)} -attr vt d
+load net {ACC1:acc#550.itm(1)} -attr vt d
+load net {ACC1:acc#550.itm(2)} -attr vt d
+load net {ACC1:acc#550.itm(3)} -attr vt d
+load netBundle {ACC1:acc#550.itm} 4 {ACC1:acc#550.itm(0)} {ACC1:acc#550.itm(1)} {ACC1:acc#550.itm(2)} {ACC1:acc#550.itm(3)} -attr xrf 63420 -attr oid 610 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:slc#147.itm(0)} -attr vt d
+load net {ACC1:slc#147.itm(1)} -attr vt d
+load net {ACC1:slc#147.itm(2)} -attr vt d
+load netBundle {ACC1:slc#147.itm} 3 {ACC1:slc#147.itm(0)} {ACC1:slc#147.itm(1)} {ACC1:slc#147.itm(2)} -attr xrf 63421 -attr oid 611 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#147.itm}
+load net {ACC1:acc#479.itm(0)} -attr vt d
+load net {ACC1:acc#479.itm(1)} -attr vt d
+load net {ACC1:acc#479.itm(2)} -attr vt d
+load net {ACC1:acc#479.itm(3)} -attr vt d
+load netBundle {ACC1:acc#479.itm} 4 {ACC1:acc#479.itm(0)} {ACC1:acc#479.itm(1)} {ACC1:acc#479.itm(2)} {ACC1:acc#479.itm(3)} -attr xrf 63422 -attr oid 612 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#479.itm}
+load net {exs#97.itm(0)} -attr vt d
+load net {exs#97.itm(1)} -attr vt d
+load net {exs#97.itm(2)} -attr vt d
+load netBundle {exs#97.itm} 3 {exs#97.itm(0)} {exs#97.itm(1)} {exs#97.itm(2)} -attr xrf 63423 -attr oid 613 -attr vt d -attr @path {/sobel/sobel:core/exs#97.itm}
+load net {conc#957.itm(0)} -attr vt d
+load net {conc#957.itm(1)} -attr vt d
+load netBundle {conc#957.itm} 2 {conc#957.itm(0)} {conc#957.itm(1)} -attr xrf 63424 -attr oid 614 -attr vt d -attr @path {/sobel/sobel:core/conc#957.itm}
+load net {ACC1:exs#1552.itm(0)} -attr vt d
+load net {ACC1:exs#1552.itm(1)} -attr vt d
+load net {ACC1:exs#1552.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1552.itm} 3 {ACC1:exs#1552.itm(0)} {ACC1:exs#1552.itm(1)} {ACC1:exs#1552.itm(2)} -attr xrf 63425 -attr oid 615 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1552.itm}
+load net {ACC1:conc#1417.itm(0)} -attr vt d
+load net {ACC1:conc#1417.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1417.itm} 2 {ACC1:conc#1417.itm(0)} {ACC1:conc#1417.itm(1)} -attr xrf 63426 -attr oid 616 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1417.itm}
+load net {ACC1:acc#549.itm(0)} -attr vt d
+load net {ACC1:acc#549.itm(1)} -attr vt d
+load net {ACC1:acc#549.itm(2)} -attr vt d
+load net {ACC1:acc#549.itm(3)} -attr vt d
+load netBundle {ACC1:acc#549.itm} 4 {ACC1:acc#549.itm(0)} {ACC1:acc#549.itm(1)} {ACC1:acc#549.itm(2)} {ACC1:acc#549.itm(3)} -attr xrf 63427 -attr oid 617 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:slc#146.itm(0)} -attr vt d
+load net {ACC1:slc#146.itm(1)} -attr vt d
+load net {ACC1:slc#146.itm(2)} -attr vt d
+load netBundle {ACC1:slc#146.itm} 3 {ACC1:slc#146.itm(0)} {ACC1:slc#146.itm(1)} {ACC1:slc#146.itm(2)} -attr xrf 63428 -attr oid 618 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#146.itm}
+load net {ACC1:acc#478.itm(0)} -attr vt d
+load net {ACC1:acc#478.itm(1)} -attr vt d
+load net {ACC1:acc#478.itm(2)} -attr vt d
+load net {ACC1:acc#478.itm(3)} -attr vt d
+load netBundle {ACC1:acc#478.itm} 4 {ACC1:acc#478.itm(0)} {ACC1:acc#478.itm(1)} {ACC1:acc#478.itm(2)} {ACC1:acc#478.itm(3)} -attr xrf 63429 -attr oid 619 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#478.itm}
+load net {exs#98.itm(0)} -attr vt d
+load net {exs#98.itm(1)} -attr vt d
+load net {exs#98.itm(2)} -attr vt d
+load netBundle {exs#98.itm} 3 {exs#98.itm(0)} {exs#98.itm(1)} {exs#98.itm(2)} -attr xrf 63430 -attr oid 620 -attr vt d -attr @path {/sobel/sobel:core/exs#98.itm}
+load net {conc#959.itm(0)} -attr vt d
+load net {conc#959.itm(1)} -attr vt d
+load netBundle {conc#959.itm} 2 {conc#959.itm(0)} {conc#959.itm(1)} -attr xrf 63431 -attr oid 621 -attr vt d -attr @path {/sobel/sobel:core/conc#959.itm}
+load net {ACC1:exs#1554.itm(0)} -attr vt d
+load net {ACC1:exs#1554.itm(1)} -attr vt d
+load net {ACC1:exs#1554.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1554.itm} 3 {ACC1:exs#1554.itm(0)} {ACC1:exs#1554.itm(1)} {ACC1:exs#1554.itm(2)} -attr xrf 63432 -attr oid 622 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1554.itm}
+load net {ACC1:conc#1415.itm(0)} -attr vt d
+load net {ACC1:conc#1415.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1415.itm} 2 {ACC1:conc#1415.itm(0)} {ACC1:conc#1415.itm(1)} -attr xrf 63433 -attr oid 623 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1415.itm}
+load net {ACC1:slc#145.itm(0)} -attr vt d
+load net {ACC1:slc#145.itm(1)} -attr vt d
+load net {ACC1:slc#145.itm(2)} -attr vt d
+load netBundle {ACC1:slc#145.itm} 3 {ACC1:slc#145.itm(0)} {ACC1:slc#145.itm(1)} {ACC1:slc#145.itm(2)} -attr xrf 63434 -attr oid 624 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#145.itm}
+load net {ACC1:acc#477.itm(0)} -attr vt d
+load net {ACC1:acc#477.itm(1)} -attr vt d
+load net {ACC1:acc#477.itm(2)} -attr vt d
+load net {ACC1:acc#477.itm(3)} -attr vt d
+load netBundle {ACC1:acc#477.itm} 4 {ACC1:acc#477.itm(0)} {ACC1:acc#477.itm(1)} {ACC1:acc#477.itm(2)} {ACC1:acc#477.itm(3)} -attr xrf 63435 -attr oid 625 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#477.itm}
+load net {exs#77.itm(0)} -attr vt d
+load net {exs#77.itm(1)} -attr vt d
+load net {exs#77.itm(2)} -attr vt d
+load netBundle {exs#77.itm} 3 {exs#77.itm(0)} {exs#77.itm(1)} {exs#77.itm(2)} -attr xrf 63436 -attr oid 626 -attr vt d -attr @path {/sobel/sobel:core/exs#77.itm}
+load net {conc#961.itm(0)} -attr vt d
+load net {conc#961.itm(1)} -attr vt d
+load netBundle {conc#961.itm} 2 {conc#961.itm(0)} {conc#961.itm(1)} -attr xrf 63437 -attr oid 627 -attr vt d -attr @path {/sobel/sobel:core/conc#961.itm}
+load net {ACC1:exs#1556.itm(0)} -attr vt d
+load net {ACC1:exs#1556.itm(1)} -attr vt d
+load net {ACC1:exs#1556.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1556.itm} 3 {ACC1:exs#1556.itm(0)} {ACC1:exs#1556.itm(1)} {ACC1:exs#1556.itm(2)} -attr xrf 63438 -attr oid 628 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1556.itm}
+load net {ACC1:conc#1413.itm(0)} -attr vt d
+load net {ACC1:conc#1413.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1413.itm} 2 {ACC1:conc#1413.itm(0)} {ACC1:conc#1413.itm(1)} -attr xrf 63439 -attr oid 629 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1413.itm}
+load net {ACC1:acc#624.itm(0)} -attr vt d
+load net {ACC1:acc#624.itm(1)} -attr vt d
+load net {ACC1:acc#624.itm(2)} -attr vt d
+load net {ACC1:acc#624.itm(3)} -attr vt d
+load net {ACC1:acc#624.itm(4)} -attr vt d
+load net {ACC1:acc#624.itm(5)} -attr vt d
+load net {ACC1:acc#624.itm(6)} -attr vt d
+load netBundle {ACC1:acc#624.itm} 7 {ACC1:acc#624.itm(0)} {ACC1:acc#624.itm(1)} {ACC1:acc#624.itm(2)} {ACC1:acc#624.itm(3)} {ACC1:acc#624.itm(4)} {ACC1:acc#624.itm(5)} {ACC1:acc#624.itm(6)} -attr xrf 63440 -attr oid 630 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#609.itm(0)} -attr vt d
+load net {ACC1:acc#609.itm(1)} -attr vt d
+load net {ACC1:acc#609.itm(2)} -attr vt d
+load net {ACC1:acc#609.itm(3)} -attr vt d
+load net {ACC1:acc#609.itm(4)} -attr vt d
+load net {ACC1:acc#609.itm(5)} -attr vt d
+load netBundle {ACC1:acc#609.itm} 6 {ACC1:acc#609.itm(0)} {ACC1:acc#609.itm(1)} {ACC1:acc#609.itm(2)} {ACC1:acc#609.itm(3)} {ACC1:acc#609.itm(4)} {ACC1:acc#609.itm(5)} -attr xrf 63441 -attr oid 631 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#589.itm(0)} -attr vt d
+load net {ACC1:acc#589.itm(1)} -attr vt d
+load net {ACC1:acc#589.itm(2)} -attr vt d
+load net {ACC1:acc#589.itm(3)} -attr vt d
+load net {ACC1:acc#589.itm(4)} -attr vt d
+load netBundle {ACC1:acc#589.itm} 5 {ACC1:acc#589.itm(0)} {ACC1:acc#589.itm(1)} {ACC1:acc#589.itm(2)} {ACC1:acc#589.itm(3)} {ACC1:acc#589.itm(4)} -attr xrf 63442 -attr oid 632 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#548.itm(0)} -attr vt d
+load net {ACC1:acc#548.itm(1)} -attr vt d
+load net {ACC1:acc#548.itm(2)} -attr vt d
+load net {ACC1:acc#548.itm(3)} -attr vt d
+load netBundle {ACC1:acc#548.itm} 4 {ACC1:acc#548.itm(0)} {ACC1:acc#548.itm(1)} {ACC1:acc#548.itm(2)} {ACC1:acc#548.itm(3)} -attr xrf 63443 -attr oid 633 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:slc#144.itm(0)} -attr vt d
+load net {ACC1:slc#144.itm(1)} -attr vt d
+load net {ACC1:slc#144.itm(2)} -attr vt d
+load netBundle {ACC1:slc#144.itm} 3 {ACC1:slc#144.itm(0)} {ACC1:slc#144.itm(1)} {ACC1:slc#144.itm(2)} -attr xrf 63444 -attr oid 634 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#144.itm}
+load net {ACC1:acc#476.itm(0)} -attr vt d
+load net {ACC1:acc#476.itm(1)} -attr vt d
+load net {ACC1:acc#476.itm(2)} -attr vt d
+load net {ACC1:acc#476.itm(3)} -attr vt d
+load netBundle {ACC1:acc#476.itm} 4 {ACC1:acc#476.itm(0)} {ACC1:acc#476.itm(1)} {ACC1:acc#476.itm(2)} {ACC1:acc#476.itm(3)} -attr xrf 63445 -attr oid 635 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#476.itm}
+load net {exs#78.itm(0)} -attr vt d
+load net {exs#78.itm(1)} -attr vt d
+load net {exs#78.itm(2)} -attr vt d
+load netBundle {exs#78.itm} 3 {exs#78.itm(0)} {exs#78.itm(1)} {exs#78.itm(2)} -attr xrf 63446 -attr oid 636 -attr vt d -attr @path {/sobel/sobel:core/exs#78.itm}
+load net {conc#962.itm(0)} -attr vt d
+load net {conc#962.itm(1)} -attr vt d
+load netBundle {conc#962.itm} 2 {conc#962.itm(0)} {conc#962.itm(1)} -attr xrf 63447 -attr oid 637 -attr vt d -attr @path {/sobel/sobel:core/conc#962.itm}
+load net {ACC1:exs#1558.itm(0)} -attr vt d
+load net {ACC1:exs#1558.itm(1)} -attr vt d
+load net {ACC1:exs#1558.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1558.itm} 3 {ACC1:exs#1558.itm(0)} {ACC1:exs#1558.itm(1)} {ACC1:exs#1558.itm(2)} -attr xrf 63448 -attr oid 638 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1558.itm}
+load net {ACC1:conc#1411.itm(0)} -attr vt d
+load net {ACC1:conc#1411.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1411.itm} 2 {ACC1:conc#1411.itm(0)} {ACC1:conc#1411.itm(1)} -attr xrf 63449 -attr oid 639 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1411.itm}
+load net {ACC1:slc#143.itm(0)} -attr vt d
+load net {ACC1:slc#143.itm(1)} -attr vt d
+load net {ACC1:slc#143.itm(2)} -attr vt d
+load netBundle {ACC1:slc#143.itm} 3 {ACC1:slc#143.itm(0)} {ACC1:slc#143.itm(1)} {ACC1:slc#143.itm(2)} -attr xrf 63450 -attr oid 640 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#143.itm}
+load net {ACC1:acc#475.itm(0)} -attr vt d
+load net {ACC1:acc#475.itm(1)} -attr vt d
+load net {ACC1:acc#475.itm(2)} -attr vt d
+load net {ACC1:acc#475.itm(3)} -attr vt d
+load netBundle {ACC1:acc#475.itm} 4 {ACC1:acc#475.itm(0)} {ACC1:acc#475.itm(1)} {ACC1:acc#475.itm(2)} {ACC1:acc#475.itm(3)} -attr xrf 63451 -attr oid 641 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#475.itm}
+load net {exs#79.itm(0)} -attr vt d
+load net {exs#79.itm(1)} -attr vt d
+load net {exs#79.itm(2)} -attr vt d
+load netBundle {exs#79.itm} 3 {exs#79.itm(0)} {exs#79.itm(1)} {exs#79.itm(2)} -attr xrf 63452 -attr oid 642 -attr vt d -attr @path {/sobel/sobel:core/exs#79.itm}
+load net {conc#963.itm(0)} -attr vt d
+load net {conc#963.itm(1)} -attr vt d
+load netBundle {conc#963.itm} 2 {conc#963.itm(0)} {conc#963.itm(1)} -attr xrf 63453 -attr oid 643 -attr vt d -attr @path {/sobel/sobel:core/conc#963.itm}
+load net {ACC1:exs#1560.itm(0)} -attr vt d
+load net {ACC1:exs#1560.itm(1)} -attr vt d
+load net {ACC1:exs#1560.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1560.itm} 3 {ACC1:exs#1560.itm(0)} {ACC1:exs#1560.itm(1)} {ACC1:exs#1560.itm(2)} -attr xrf 63454 -attr oid 644 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1560.itm}
+load net {ACC1:conc#1409.itm(0)} -attr vt d
+load net {ACC1:conc#1409.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1409.itm} 2 {ACC1:conc#1409.itm(0)} {ACC1:conc#1409.itm(1)} -attr xrf 63455 -attr oid 645 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1409.itm}
+load net {ACC1:acc#547.itm(0)} -attr vt d
+load net {ACC1:acc#547.itm(1)} -attr vt d
+load net {ACC1:acc#547.itm(2)} -attr vt d
+load net {ACC1:acc#547.itm(3)} -attr vt d
+load netBundle {ACC1:acc#547.itm} 4 {ACC1:acc#547.itm(0)} {ACC1:acc#547.itm(1)} {ACC1:acc#547.itm(2)} {ACC1:acc#547.itm(3)} -attr xrf 63456 -attr oid 646 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:slc#142.itm(0)} -attr vt d
+load net {ACC1:slc#142.itm(1)} -attr vt d
+load net {ACC1:slc#142.itm(2)} -attr vt d
+load netBundle {ACC1:slc#142.itm} 3 {ACC1:slc#142.itm(0)} {ACC1:slc#142.itm(1)} {ACC1:slc#142.itm(2)} -attr xrf 63457 -attr oid 647 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#142.itm}
+load net {ACC1:acc#474.itm(0)} -attr vt d
+load net {ACC1:acc#474.itm(1)} -attr vt d
+load net {ACC1:acc#474.itm(2)} -attr vt d
+load net {ACC1:acc#474.itm(3)} -attr vt d
+load netBundle {ACC1:acc#474.itm} 4 {ACC1:acc#474.itm(0)} {ACC1:acc#474.itm(1)} {ACC1:acc#474.itm(2)} {ACC1:acc#474.itm(3)} -attr xrf 63458 -attr oid 648 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#474.itm}
+load net {exs#80.itm(0)} -attr vt d
+load net {exs#80.itm(1)} -attr vt d
+load net {exs#80.itm(2)} -attr vt d
+load netBundle {exs#80.itm} 3 {exs#80.itm(0)} {exs#80.itm(1)} {exs#80.itm(2)} -attr xrf 63459 -attr oid 649 -attr vt d -attr @path {/sobel/sobel:core/exs#80.itm}
+load net {conc#964.itm(0)} -attr vt d
+load net {conc#964.itm(1)} -attr vt d
+load netBundle {conc#964.itm} 2 {conc#964.itm(0)} {conc#964.itm(1)} -attr xrf 63460 -attr oid 650 -attr vt d -attr @path {/sobel/sobel:core/conc#964.itm}
+load net {ACC1:exs#1562.itm(0)} -attr vt d
+load net {ACC1:exs#1562.itm(1)} -attr vt d
+load net {ACC1:exs#1562.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1562.itm} 3 {ACC1:exs#1562.itm(0)} {ACC1:exs#1562.itm(1)} {ACC1:exs#1562.itm(2)} -attr xrf 63461 -attr oid 651 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1562.itm}
+load net {ACC1:conc#1407.itm(0)} -attr vt d
+load net {ACC1:conc#1407.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1407.itm} 2 {ACC1:conc#1407.itm(0)} {ACC1:conc#1407.itm(1)} -attr xrf 63462 -attr oid 652 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1407.itm}
+load net {ACC1:slc#141.itm(0)} -attr vt d
+load net {ACC1:slc#141.itm(1)} -attr vt d
+load net {ACC1:slc#141.itm(2)} -attr vt d
+load netBundle {ACC1:slc#141.itm} 3 {ACC1:slc#141.itm(0)} {ACC1:slc#141.itm(1)} {ACC1:slc#141.itm(2)} -attr xrf 63463 -attr oid 653 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#141.itm}
+load net {ACC1:acc#473.itm(0)} -attr vt d
+load net {ACC1:acc#473.itm(1)} -attr vt d
+load net {ACC1:acc#473.itm(2)} -attr vt d
+load net {ACC1:acc#473.itm(3)} -attr vt d
+load netBundle {ACC1:acc#473.itm} 4 {ACC1:acc#473.itm(0)} {ACC1:acc#473.itm(1)} {ACC1:acc#473.itm(2)} {ACC1:acc#473.itm(3)} -attr xrf 63464 -attr oid 654 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#473.itm}
+load net {exs#99.itm(0)} -attr vt d
+load net {exs#99.itm(1)} -attr vt d
+load net {exs#99.itm(2)} -attr vt d
+load netBundle {exs#99.itm} 3 {exs#99.itm(0)} {exs#99.itm(1)} {exs#99.itm(2)} -attr xrf 63465 -attr oid 655 -attr vt d -attr @path {/sobel/sobel:core/exs#99.itm}
+load net {conc#965.itm(0)} -attr vt d
+load net {conc#965.itm(1)} -attr vt d
+load netBundle {conc#965.itm} 2 {conc#965.itm(0)} {conc#965.itm(1)} -attr xrf 63466 -attr oid 656 -attr vt d -attr @path {/sobel/sobel:core/conc#965.itm}
+load net {ACC1:exs#1564.itm(0)} -attr vt d
+load net {ACC1:exs#1564.itm(1)} -attr vt d
+load net {ACC1:exs#1564.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1564.itm} 3 {ACC1:exs#1564.itm(0)} {ACC1:exs#1564.itm(1)} {ACC1:exs#1564.itm(2)} -attr xrf 63467 -attr oid 657 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1564.itm}
+load net {ACC1:conc#1405.itm(0)} -attr vt d
+load net {ACC1:conc#1405.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1405.itm} 2 {ACC1:conc#1405.itm(0)} {ACC1:conc#1405.itm(1)} -attr xrf 63468 -attr oid 658 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1405.itm}
+load net {ACC1:acc#588.itm(0)} -attr vt d
+load net {ACC1:acc#588.itm(1)} -attr vt d
+load net {ACC1:acc#588.itm(2)} -attr vt d
+load net {ACC1:acc#588.itm(3)} -attr vt d
+load net {ACC1:acc#588.itm(4)} -attr vt d
+load netBundle {ACC1:acc#588.itm} 5 {ACC1:acc#588.itm(0)} {ACC1:acc#588.itm(1)} {ACC1:acc#588.itm(2)} {ACC1:acc#588.itm(3)} {ACC1:acc#588.itm(4)} -attr xrf 63469 -attr oid 659 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#546.itm(0)} -attr vt d
+load net {ACC1:acc#546.itm(1)} -attr vt d
+load net {ACC1:acc#546.itm(2)} -attr vt d
+load net {ACC1:acc#546.itm(3)} -attr vt d
+load netBundle {ACC1:acc#546.itm} 4 {ACC1:acc#546.itm(0)} {ACC1:acc#546.itm(1)} {ACC1:acc#546.itm(2)} {ACC1:acc#546.itm(3)} -attr xrf 63470 -attr oid 660 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:slc#140.itm(0)} -attr vt d
+load net {ACC1:slc#140.itm(1)} -attr vt d
+load net {ACC1:slc#140.itm(2)} -attr vt d
+load netBundle {ACC1:slc#140.itm} 3 {ACC1:slc#140.itm(0)} {ACC1:slc#140.itm(1)} {ACC1:slc#140.itm(2)} -attr xrf 63471 -attr oid 661 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#140.itm}
+load net {ACC1:acc#472.itm(0)} -attr vt d
+load net {ACC1:acc#472.itm(1)} -attr vt d
+load net {ACC1:acc#472.itm(2)} -attr vt d
+load net {ACC1:acc#472.itm(3)} -attr vt d
+load netBundle {ACC1:acc#472.itm} 4 {ACC1:acc#472.itm(0)} {ACC1:acc#472.itm(1)} {ACC1:acc#472.itm(2)} {ACC1:acc#472.itm(3)} -attr xrf 63472 -attr oid 662 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#472.itm}
+load net {exs#81.itm(0)} -attr vt d
+load net {exs#81.itm(1)} -attr vt d
+load net {exs#81.itm(2)} -attr vt d
+load netBundle {exs#81.itm} 3 {exs#81.itm(0)} {exs#81.itm(1)} {exs#81.itm(2)} -attr xrf 63473 -attr oid 663 -attr vt d -attr @path {/sobel/sobel:core/exs#81.itm}
+load net {conc#967.itm(0)} -attr vt d
+load net {conc#967.itm(1)} -attr vt d
+load netBundle {conc#967.itm} 2 {conc#967.itm(0)} {conc#967.itm(1)} -attr xrf 63474 -attr oid 664 -attr vt d -attr @path {/sobel/sobel:core/conc#967.itm}
+load net {ACC1:exs#1566.itm(0)} -attr vt d
+load net {ACC1:exs#1566.itm(1)} -attr vt d
+load net {ACC1:exs#1566.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1566.itm} 3 {ACC1:exs#1566.itm(0)} {ACC1:exs#1566.itm(1)} {ACC1:exs#1566.itm(2)} -attr xrf 63475 -attr oid 665 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1566.itm}
+load net {ACC1:conc#1403.itm(0)} -attr vt d
+load net {ACC1:conc#1403.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1403.itm} 2 {ACC1:conc#1403.itm(0)} {ACC1:conc#1403.itm(1)} -attr xrf 63476 -attr oid 666 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1403.itm}
+load net {ACC1:slc#138.itm(0)} -attr vt d
+load net {ACC1:slc#138.itm(1)} -attr vt d
+load net {ACC1:slc#138.itm(2)} -attr vt d
+load netBundle {ACC1:slc#138.itm} 3 {ACC1:slc#138.itm(0)} {ACC1:slc#138.itm(1)} {ACC1:slc#138.itm(2)} -attr xrf 63477 -attr oid 667 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#138.itm}
+load net {ACC1:acc#470.itm(0)} -attr vt d
+load net {ACC1:acc#470.itm(1)} -attr vt d
+load net {ACC1:acc#470.itm(2)} -attr vt d
+load net {ACC1:acc#470.itm(3)} -attr vt d
+load netBundle {ACC1:acc#470.itm} 4 {ACC1:acc#470.itm(0)} {ACC1:acc#470.itm(1)} {ACC1:acc#470.itm(2)} {ACC1:acc#470.itm(3)} -attr xrf 63478 -attr oid 668 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#470.itm}
+load net {exs#82.itm(0)} -attr vt d
+load net {exs#82.itm(1)} -attr vt d
+load net {exs#82.itm(2)} -attr vt d
+load netBundle {exs#82.itm} 3 {exs#82.itm(0)} {exs#82.itm(1)} {exs#82.itm(2)} -attr xrf 63479 -attr oid 669 -attr vt d -attr @path {/sobel/sobel:core/exs#82.itm}
+load net {conc#968.itm(0)} -attr vt d
+load net {conc#968.itm(1)} -attr vt d
+load netBundle {conc#968.itm} 2 {conc#968.itm(0)} {conc#968.itm(1)} -attr xrf 63480 -attr oid 670 -attr vt d -attr @path {/sobel/sobel:core/conc#968.itm}
+load net {ACC1:exs#1568.itm(0)} -attr vt d
+load net {ACC1:exs#1568.itm(1)} -attr vt d
+load net {ACC1:exs#1568.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1568.itm} 3 {ACC1:exs#1568.itm(0)} {ACC1:exs#1568.itm(1)} {ACC1:exs#1568.itm(2)} -attr xrf 63481 -attr oid 671 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1568.itm}
+load net {ACC1:conc#1399.itm(0)} -attr vt d
+load net {ACC1:conc#1399.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1399.itm} 2 {ACC1:conc#1399.itm(0)} {ACC1:conc#1399.itm(1)} -attr xrf 63482 -attr oid 672 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1399.itm}
+load net {ACC1:acc#545.itm(0)} -attr vt d
+load net {ACC1:acc#545.itm(1)} -attr vt d
+load net {ACC1:acc#545.itm(2)} -attr vt d
+load net {ACC1:acc#545.itm(3)} -attr vt d
+load netBundle {ACC1:acc#545.itm} 4 {ACC1:acc#545.itm(0)} {ACC1:acc#545.itm(1)} {ACC1:acc#545.itm(2)} {ACC1:acc#545.itm(3)} -attr xrf 63483 -attr oid 673 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:slc#137.itm(0)} -attr vt d
+load net {ACC1:slc#137.itm(1)} -attr vt d
+load net {ACC1:slc#137.itm(2)} -attr vt d
+load netBundle {ACC1:slc#137.itm} 3 {ACC1:slc#137.itm(0)} {ACC1:slc#137.itm(1)} {ACC1:slc#137.itm(2)} -attr xrf 63484 -attr oid 674 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#137.itm}
+load net {ACC1:acc#469.itm(0)} -attr vt d
+load net {ACC1:acc#469.itm(1)} -attr vt d
+load net {ACC1:acc#469.itm(2)} -attr vt d
+load net {ACC1:acc#469.itm(3)} -attr vt d
+load netBundle {ACC1:acc#469.itm} 4 {ACC1:acc#469.itm(0)} {ACC1:acc#469.itm(1)} {ACC1:acc#469.itm(2)} {ACC1:acc#469.itm(3)} -attr xrf 63485 -attr oid 675 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#469.itm}
+load net {exs#83.itm(0)} -attr vt d
+load net {exs#83.itm(1)} -attr vt d
+load net {exs#83.itm(2)} -attr vt d
+load netBundle {exs#83.itm} 3 {exs#83.itm(0)} {exs#83.itm(1)} {exs#83.itm(2)} -attr xrf 63486 -attr oid 676 -attr vt d -attr @path {/sobel/sobel:core/exs#83.itm}
+load net {conc#969.itm(0)} -attr vt d
+load net {conc#969.itm(1)} -attr vt d
+load netBundle {conc#969.itm} 2 {conc#969.itm(0)} {conc#969.itm(1)} -attr xrf 63487 -attr oid 677 -attr vt d -attr @path {/sobel/sobel:core/conc#969.itm}
+load net {ACC1:exs#1570.itm(0)} -attr vt d
+load net {ACC1:exs#1570.itm(1)} -attr vt d
+load net {ACC1:exs#1570.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1570.itm} 3 {ACC1:exs#1570.itm(0)} {ACC1:exs#1570.itm(1)} {ACC1:exs#1570.itm(2)} -attr xrf 63488 -attr oid 678 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1570.itm}
+load net {ACC1:conc#1397.itm(0)} -attr vt d
+load net {ACC1:conc#1397.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1397.itm} 2 {ACC1:conc#1397.itm(0)} {ACC1:conc#1397.itm(1)} -attr xrf 63489 -attr oid 679 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1397.itm}
+load net {ACC1:slc#136.itm(0)} -attr vt d
+load net {ACC1:slc#136.itm(1)} -attr vt d
+load net {ACC1:slc#136.itm(2)} -attr vt d
+load netBundle {ACC1:slc#136.itm} 3 {ACC1:slc#136.itm(0)} {ACC1:slc#136.itm(1)} {ACC1:slc#136.itm(2)} -attr xrf 63490 -attr oid 680 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#136.itm}
+load net {ACC1:acc#468.itm(0)} -attr vt d
+load net {ACC1:acc#468.itm(1)} -attr vt d
+load net {ACC1:acc#468.itm(2)} -attr vt d
+load net {ACC1:acc#468.itm(3)} -attr vt d
+load netBundle {ACC1:acc#468.itm} 4 {ACC1:acc#468.itm(0)} {ACC1:acc#468.itm(1)} {ACC1:acc#468.itm(2)} {ACC1:acc#468.itm(3)} -attr xrf 63491 -attr oid 681 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#468.itm}
+load net {exs#100.itm(0)} -attr vt d
+load net {exs#100.itm(1)} -attr vt d
+load net {exs#100.itm(2)} -attr vt d
+load netBundle {exs#100.itm} 3 {exs#100.itm(0)} {exs#100.itm(1)} {exs#100.itm(2)} -attr xrf 63492 -attr oid 682 -attr vt d -attr @path {/sobel/sobel:core/exs#100.itm}
+load net {conc#970.itm(0)} -attr vt d
+load net {conc#970.itm(1)} -attr vt d
+load netBundle {conc#970.itm} 2 {conc#970.itm(0)} {conc#970.itm(1)} -attr xrf 63493 -attr oid 683 -attr vt d -attr @path {/sobel/sobel:core/conc#970.itm}
+load net {ACC1:exs#1572.itm(0)} -attr vt d
+load net {ACC1:exs#1572.itm(1)} -attr vt d
+load net {ACC1:exs#1572.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1572.itm} 3 {ACC1:exs#1572.itm(0)} {ACC1:exs#1572.itm(1)} {ACC1:exs#1572.itm(2)} -attr xrf 63494 -attr oid 684 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1572.itm}
+load net {ACC1:conc#1395.itm(0)} -attr vt d
+load net {ACC1:conc#1395.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1395.itm} 2 {ACC1:conc#1395.itm(0)} {ACC1:conc#1395.itm(1)} -attr xrf 63495 -attr oid 685 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1395.itm}
+load net {ACC1:acc#608.itm(0)} -attr vt d
+load net {ACC1:acc#608.itm(1)} -attr vt d
+load net {ACC1:acc#608.itm(2)} -attr vt d
+load net {ACC1:acc#608.itm(3)} -attr vt d
+load net {ACC1:acc#608.itm(4)} -attr vt d
+load net {ACC1:acc#608.itm(5)} -attr vt d
+load netBundle {ACC1:acc#608.itm} 6 {ACC1:acc#608.itm(0)} {ACC1:acc#608.itm(1)} {ACC1:acc#608.itm(2)} {ACC1:acc#608.itm(3)} {ACC1:acc#608.itm(4)} {ACC1:acc#608.itm(5)} -attr xrf 63496 -attr oid 686 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#587.itm(0)} -attr vt d
+load net {ACC1:acc#587.itm(1)} -attr vt d
+load net {ACC1:acc#587.itm(2)} -attr vt d
+load net {ACC1:acc#587.itm(3)} -attr vt d
+load net {ACC1:acc#587.itm(4)} -attr vt d
+load netBundle {ACC1:acc#587.itm} 5 {ACC1:acc#587.itm(0)} {ACC1:acc#587.itm(1)} {ACC1:acc#587.itm(2)} {ACC1:acc#587.itm(3)} {ACC1:acc#587.itm(4)} -attr xrf 63497 -attr oid 687 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#544.itm(0)} -attr vt d
+load net {ACC1:acc#544.itm(1)} -attr vt d
+load net {ACC1:acc#544.itm(2)} -attr vt d
+load net {ACC1:acc#544.itm(3)} -attr vt d
+load netBundle {ACC1:acc#544.itm} 4 {ACC1:acc#544.itm(0)} {ACC1:acc#544.itm(1)} {ACC1:acc#544.itm(2)} {ACC1:acc#544.itm(3)} -attr xrf 63498 -attr oid 688 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:slc#135.itm(0)} -attr vt d
+load net {ACC1:slc#135.itm(1)} -attr vt d
+load net {ACC1:slc#135.itm(2)} -attr vt d
+load netBundle {ACC1:slc#135.itm} 3 {ACC1:slc#135.itm(0)} {ACC1:slc#135.itm(1)} {ACC1:slc#135.itm(2)} -attr xrf 63499 -attr oid 689 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#135.itm}
+load net {ACC1:acc#467.itm(0)} -attr vt d
+load net {ACC1:acc#467.itm(1)} -attr vt d
+load net {ACC1:acc#467.itm(2)} -attr vt d
+load net {ACC1:acc#467.itm(3)} -attr vt d
+load netBundle {ACC1:acc#467.itm} 4 {ACC1:acc#467.itm(0)} {ACC1:acc#467.itm(1)} {ACC1:acc#467.itm(2)} {ACC1:acc#467.itm(3)} -attr xrf 63500 -attr oid 690 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#467.itm}
+load net {exs#101.itm(0)} -attr vt d
+load net {exs#101.itm(1)} -attr vt d
+load net {exs#101.itm(2)} -attr vt d
+load netBundle {exs#101.itm} 3 {exs#101.itm(0)} {exs#101.itm(1)} {exs#101.itm(2)} -attr xrf 63501 -attr oid 691 -attr vt d -attr @path {/sobel/sobel:core/exs#101.itm}
+load net {conc#972.itm(0)} -attr vt d
+load net {conc#972.itm(1)} -attr vt d
+load netBundle {conc#972.itm} 2 {conc#972.itm(0)} {conc#972.itm(1)} -attr xrf 63502 -attr oid 692 -attr vt d -attr @path {/sobel/sobel:core/conc#972.itm}
+load net {ACC1:exs#1574.itm(0)} -attr vt d
+load net {ACC1:exs#1574.itm(1)} -attr vt d
+load net {ACC1:exs#1574.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1574.itm} 3 {ACC1:exs#1574.itm(0)} {ACC1:exs#1574.itm(1)} {ACC1:exs#1574.itm(2)} -attr xrf 63503 -attr oid 693 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1574.itm}
+load net {ACC1:conc#1393.itm(0)} -attr vt d
+load net {ACC1:conc#1393.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1393.itm} 2 {ACC1:conc#1393.itm(0)} {ACC1:conc#1393.itm(1)} -attr xrf 63504 -attr oid 694 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1393.itm}
+load net {ACC1:slc#134.itm(0)} -attr vt d
+load net {ACC1:slc#134.itm(1)} -attr vt d
+load net {ACC1:slc#134.itm(2)} -attr vt d
+load netBundle {ACC1:slc#134.itm} 3 {ACC1:slc#134.itm(0)} {ACC1:slc#134.itm(1)} {ACC1:slc#134.itm(2)} -attr xrf 63505 -attr oid 695 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#134.itm}
+load net {ACC1:acc#466.itm(0)} -attr vt d
+load net {ACC1:acc#466.itm(1)} -attr vt d
+load net {ACC1:acc#466.itm(2)} -attr vt d
+load net {ACC1:acc#466.itm(3)} -attr vt d
+load netBundle {ACC1:acc#466.itm} 4 {ACC1:acc#466.itm(0)} {ACC1:acc#466.itm(1)} {ACC1:acc#466.itm(2)} {ACC1:acc#466.itm(3)} -attr xrf 63506 -attr oid 696 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#466.itm}
+load net {exs#84.itm(0)} -attr vt d
+load net {exs#84.itm(1)} -attr vt d
+load net {exs#84.itm(2)} -attr vt d
+load netBundle {exs#84.itm} 3 {exs#84.itm(0)} {exs#84.itm(1)} {exs#84.itm(2)} -attr xrf 63507 -attr oid 697 -attr vt d -attr @path {/sobel/sobel:core/exs#84.itm}
+load net {conc#974.itm(0)} -attr vt d
+load net {conc#974.itm(1)} -attr vt d
+load netBundle {conc#974.itm} 2 {conc#974.itm(0)} {conc#974.itm(1)} -attr xrf 63508 -attr oid 698 -attr vt d -attr @path {/sobel/sobel:core/conc#974.itm}
+load net {ACC1:exs#1576.itm(0)} -attr vt d
+load net {ACC1:exs#1576.itm(1)} -attr vt d
+load net {ACC1:exs#1576.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1576.itm} 3 {ACC1:exs#1576.itm(0)} {ACC1:exs#1576.itm(1)} {ACC1:exs#1576.itm(2)} -attr xrf 63509 -attr oid 699 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1576.itm}
+load net {ACC1:conc#1391.itm(0)} -attr vt d
+load net {ACC1:conc#1391.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1391.itm} 2 {ACC1:conc#1391.itm(0)} {ACC1:conc#1391.itm(1)} -attr xrf 63510 -attr oid 700 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1391.itm}
+load net {ACC1:acc#543.itm(0)} -attr vt d
+load net {ACC1:acc#543.itm(1)} -attr vt d
+load net {ACC1:acc#543.itm(2)} -attr vt d
+load net {ACC1:acc#543.itm(3)} -attr vt d
+load netBundle {ACC1:acc#543.itm} 4 {ACC1:acc#543.itm(0)} {ACC1:acc#543.itm(1)} {ACC1:acc#543.itm(2)} {ACC1:acc#543.itm(3)} -attr xrf 63511 -attr oid 701 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:slc#133.itm(0)} -attr vt d
+load net {ACC1:slc#133.itm(1)} -attr vt d
+load net {ACC1:slc#133.itm(2)} -attr vt d
+load netBundle {ACC1:slc#133.itm} 3 {ACC1:slc#133.itm(0)} {ACC1:slc#133.itm(1)} {ACC1:slc#133.itm(2)} -attr xrf 63512 -attr oid 702 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#133.itm}
+load net {ACC1:acc#465.itm(0)} -attr vt d
+load net {ACC1:acc#465.itm(1)} -attr vt d
+load net {ACC1:acc#465.itm(2)} -attr vt d
+load net {ACC1:acc#465.itm(3)} -attr vt d
+load netBundle {ACC1:acc#465.itm} 4 {ACC1:acc#465.itm(0)} {ACC1:acc#465.itm(1)} {ACC1:acc#465.itm(2)} {ACC1:acc#465.itm(3)} -attr xrf 63513 -attr oid 703 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#465.itm}
+load net {exs#85.itm(0)} -attr vt d
+load net {exs#85.itm(1)} -attr vt d
+load net {exs#85.itm(2)} -attr vt d
+load netBundle {exs#85.itm} 3 {exs#85.itm(0)} {exs#85.itm(1)} {exs#85.itm(2)} -attr xrf 63514 -attr oid 704 -attr vt d -attr @path {/sobel/sobel:core/exs#85.itm}
+load net {conc#975.itm(0)} -attr vt d
+load net {conc#975.itm(1)} -attr vt d
+load netBundle {conc#975.itm} 2 {conc#975.itm(0)} {conc#975.itm(1)} -attr xrf 63515 -attr oid 705 -attr vt d -attr @path {/sobel/sobel:core/conc#975.itm}
+load net {ACC1:exs#1578.itm(0)} -attr vt d
+load net {ACC1:exs#1578.itm(1)} -attr vt d
+load net {ACC1:exs#1578.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1578.itm} 3 {ACC1:exs#1578.itm(0)} {ACC1:exs#1578.itm(1)} {ACC1:exs#1578.itm(2)} -attr xrf 63516 -attr oid 706 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1578.itm}
+load net {ACC1:conc#1389.itm(0)} -attr vt d
+load net {ACC1:conc#1389.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1389.itm} 2 {ACC1:conc#1389.itm(0)} {ACC1:conc#1389.itm(1)} -attr xrf 63517 -attr oid 707 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1389.itm}
+load net {ACC1:slc#132.itm(0)} -attr vt d
+load net {ACC1:slc#132.itm(1)} -attr vt d
+load net {ACC1:slc#132.itm(2)} -attr vt d
+load netBundle {ACC1:slc#132.itm} 3 {ACC1:slc#132.itm(0)} {ACC1:slc#132.itm(1)} {ACC1:slc#132.itm(2)} -attr xrf 63518 -attr oid 708 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#132.itm}
+load net {ACC1:acc#464.itm(0)} -attr vt d
+load net {ACC1:acc#464.itm(1)} -attr vt d
+load net {ACC1:acc#464.itm(2)} -attr vt d
+load net {ACC1:acc#464.itm(3)} -attr vt d
+load netBundle {ACC1:acc#464.itm} 4 {ACC1:acc#464.itm(0)} {ACC1:acc#464.itm(1)} {ACC1:acc#464.itm(2)} {ACC1:acc#464.itm(3)} -attr xrf 63519 -attr oid 709 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#464.itm}
+load net {exs#86.itm(0)} -attr vt d
+load net {exs#86.itm(1)} -attr vt d
+load net {exs#86.itm(2)} -attr vt d
+load netBundle {exs#86.itm} 3 {exs#86.itm(0)} {exs#86.itm(1)} {exs#86.itm(2)} -attr xrf 63520 -attr oid 710 -attr vt d -attr @path {/sobel/sobel:core/exs#86.itm}
+load net {conc#976.itm(0)} -attr vt d
+load net {conc#976.itm(1)} -attr vt d
+load netBundle {conc#976.itm} 2 {conc#976.itm(0)} {conc#976.itm(1)} -attr xrf 63521 -attr oid 711 -attr vt d -attr @path {/sobel/sobel:core/conc#976.itm}
+load net {ACC1:exs#1580.itm(0)} -attr vt d
+load net {ACC1:exs#1580.itm(1)} -attr vt d
+load net {ACC1:exs#1580.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1580.itm} 3 {ACC1:exs#1580.itm(0)} {ACC1:exs#1580.itm(1)} {ACC1:exs#1580.itm(2)} -attr xrf 63522 -attr oid 712 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1580.itm}
+load net {ACC1:conc#1387.itm(0)} -attr vt d
+load net {ACC1:conc#1387.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1387.itm} 2 {ACC1:conc#1387.itm(0)} {ACC1:conc#1387.itm(1)} -attr xrf 63523 -attr oid 713 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1387.itm}
+load net {ACC1:acc#586.itm(0)} -attr vt d
+load net {ACC1:acc#586.itm(1)} -attr vt d
+load net {ACC1:acc#586.itm(2)} -attr vt d
+load net {ACC1:acc#586.itm(3)} -attr vt d
+load net {ACC1:acc#586.itm(4)} -attr vt d
+load netBundle {ACC1:acc#586.itm} 5 {ACC1:acc#586.itm(0)} {ACC1:acc#586.itm(1)} {ACC1:acc#586.itm(2)} {ACC1:acc#586.itm(3)} {ACC1:acc#586.itm(4)} -attr xrf 63524 -attr oid 714 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#542.itm(0)} -attr vt d
+load net {ACC1:acc#542.itm(1)} -attr vt d
+load net {ACC1:acc#542.itm(2)} -attr vt d
+load net {ACC1:acc#542.itm(3)} -attr vt d
+load netBundle {ACC1:acc#542.itm} 4 {ACC1:acc#542.itm(0)} {ACC1:acc#542.itm(1)} {ACC1:acc#542.itm(2)} {ACC1:acc#542.itm(3)} -attr xrf 63525 -attr oid 715 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:slc#131.itm(0)} -attr vt d
+load net {ACC1:slc#131.itm(1)} -attr vt d
+load net {ACC1:slc#131.itm(2)} -attr vt d
+load netBundle {ACC1:slc#131.itm} 3 {ACC1:slc#131.itm(0)} {ACC1:slc#131.itm(1)} {ACC1:slc#131.itm(2)} -attr xrf 63526 -attr oid 716 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#131.itm}
+load net {ACC1:acc#463.itm(0)} -attr vt d
+load net {ACC1:acc#463.itm(1)} -attr vt d
+load net {ACC1:acc#463.itm(2)} -attr vt d
+load net {ACC1:acc#463.itm(3)} -attr vt d
+load netBundle {ACC1:acc#463.itm} 4 {ACC1:acc#463.itm(0)} {ACC1:acc#463.itm(1)} {ACC1:acc#463.itm(2)} {ACC1:acc#463.itm(3)} -attr xrf 63527 -attr oid 717 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#463.itm}
+load net {exs#87.itm(0)} -attr vt d
+load net {exs#87.itm(1)} -attr vt d
+load net {exs#87.itm(2)} -attr vt d
+load netBundle {exs#87.itm} 3 {exs#87.itm(0)} {exs#87.itm(1)} {exs#87.itm(2)} -attr xrf 63528 -attr oid 718 -attr vt d -attr @path {/sobel/sobel:core/exs#87.itm}
+load net {conc#977.itm(0)} -attr vt d
+load net {conc#977.itm(1)} -attr vt d
+load netBundle {conc#977.itm} 2 {conc#977.itm(0)} {conc#977.itm(1)} -attr xrf 63529 -attr oid 719 -attr vt d -attr @path {/sobel/sobel:core/conc#977.itm}
+load net {ACC1:exs#1582.itm(0)} -attr vt d
+load net {ACC1:exs#1582.itm(1)} -attr vt d
+load net {ACC1:exs#1582.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1582.itm} 3 {ACC1:exs#1582.itm(0)} {ACC1:exs#1582.itm(1)} {ACC1:exs#1582.itm(2)} -attr xrf 63530 -attr oid 720 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1582.itm}
+load net {ACC1:conc#1385.itm(0)} -attr vt d
+load net {ACC1:conc#1385.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1385.itm} 2 {ACC1:conc#1385.itm(0)} {ACC1:conc#1385.itm(1)} -attr xrf 63531 -attr oid 721 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1385.itm}
+load net {ACC1:slc#130.itm(0)} -attr vt d
+load net {ACC1:slc#130.itm(1)} -attr vt d
+load net {ACC1:slc#130.itm(2)} -attr vt d
+load netBundle {ACC1:slc#130.itm} 3 {ACC1:slc#130.itm(0)} {ACC1:slc#130.itm(1)} {ACC1:slc#130.itm(2)} -attr xrf 63532 -attr oid 722 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#130.itm}
+load net {ACC1:acc#462.itm(0)} -attr vt d
+load net {ACC1:acc#462.itm(1)} -attr vt d
+load net {ACC1:acc#462.itm(2)} -attr vt d
+load net {ACC1:acc#462.itm(3)} -attr vt d
+load netBundle {ACC1:acc#462.itm} 4 {ACC1:acc#462.itm(0)} {ACC1:acc#462.itm(1)} {ACC1:acc#462.itm(2)} {ACC1:acc#462.itm(3)} -attr xrf 63533 -attr oid 723 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#462.itm}
+load net {exs#88.itm(0)} -attr vt d
+load net {exs#88.itm(1)} -attr vt d
+load net {exs#88.itm(2)} -attr vt d
+load netBundle {exs#88.itm} 3 {exs#88.itm(0)} {exs#88.itm(1)} {exs#88.itm(2)} -attr xrf 63534 -attr oid 724 -attr vt d -attr @path {/sobel/sobel:core/exs#88.itm}
+load net {conc#978.itm(0)} -attr vt d
+load net {conc#978.itm(1)} -attr vt d
+load netBundle {conc#978.itm} 2 {conc#978.itm(0)} {conc#978.itm(1)} -attr xrf 63535 -attr oid 725 -attr vt d -attr @path {/sobel/sobel:core/conc#978.itm}
+load net {ACC1:exs#1584.itm(0)} -attr vt d
+load net {ACC1:exs#1584.itm(1)} -attr vt d
+load net {ACC1:exs#1584.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1584.itm} 3 {ACC1:exs#1584.itm(0)} {ACC1:exs#1584.itm(1)} {ACC1:exs#1584.itm(2)} -attr xrf 63536 -attr oid 726 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1584.itm}
+load net {ACC1:conc#1383.itm(0)} -attr vt d
+load net {ACC1:conc#1383.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1383.itm} 2 {ACC1:conc#1383.itm(0)} {ACC1:conc#1383.itm(1)} -attr xrf 63537 -attr oid 727 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1383.itm}
+load net {ACC1:acc#541.itm(0)} -attr vt d
+load net {ACC1:acc#541.itm(1)} -attr vt d
+load net {ACC1:acc#541.itm(2)} -attr vt d
+load net {ACC1:acc#541.itm(3)} -attr vt d
+load netBundle {ACC1:acc#541.itm} 4 {ACC1:acc#541.itm(0)} {ACC1:acc#541.itm(1)} {ACC1:acc#541.itm(2)} {ACC1:acc#541.itm(3)} -attr xrf 63538 -attr oid 728 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:slc#128.itm(0)} -attr vt d
+load net {ACC1:slc#128.itm(1)} -attr vt d
+load net {ACC1:slc#128.itm(2)} -attr vt d
+load netBundle {ACC1:slc#128.itm} 3 {ACC1:slc#128.itm(0)} {ACC1:slc#128.itm(1)} {ACC1:slc#128.itm(2)} -attr xrf 63539 -attr oid 729 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#128.itm}
+load net {ACC1:acc#460.itm(0)} -attr vt d
+load net {ACC1:acc#460.itm(1)} -attr vt d
+load net {ACC1:acc#460.itm(2)} -attr vt d
+load net {ACC1:acc#460.itm(3)} -attr vt d
+load netBundle {ACC1:acc#460.itm} 4 {ACC1:acc#460.itm(0)} {ACC1:acc#460.itm(1)} {ACC1:acc#460.itm(2)} {ACC1:acc#460.itm(3)} -attr xrf 63540 -attr oid 730 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#460.itm}
+load net {exs#89.itm(0)} -attr vt d
+load net {exs#89.itm(1)} -attr vt d
+load net {exs#89.itm(2)} -attr vt d
+load netBundle {exs#89.itm} 3 {exs#89.itm(0)} {exs#89.itm(1)} {exs#89.itm(2)} -attr xrf 63541 -attr oid 731 -attr vt d -attr @path {/sobel/sobel:core/exs#89.itm}
+load net {conc#979.itm(0)} -attr vt d
+load net {conc#979.itm(1)} -attr vt d
+load netBundle {conc#979.itm} 2 {conc#979.itm(0)} {conc#979.itm(1)} -attr xrf 63542 -attr oid 732 -attr vt d -attr @path {/sobel/sobel:core/conc#979.itm}
+load net {ACC1:exs#1586.itm(0)} -attr vt d
+load net {ACC1:exs#1586.itm(1)} -attr vt d
+load net {ACC1:exs#1586.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1586.itm} 3 {ACC1:exs#1586.itm(0)} {ACC1:exs#1586.itm(1)} {ACC1:exs#1586.itm(2)} -attr xrf 63543 -attr oid 733 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1586.itm}
+load net {ACC1:conc#1379.itm(0)} -attr vt d
+load net {ACC1:conc#1379.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1379.itm} 2 {ACC1:conc#1379.itm(0)} {ACC1:conc#1379.itm(1)} -attr xrf 63544 -attr oid 734 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1379.itm}
+load net {ACC1:slc#127.itm(0)} -attr vt d
+load net {ACC1:slc#127.itm(1)} -attr vt d
+load net {ACC1:slc#127.itm(2)} -attr vt d
+load netBundle {ACC1:slc#127.itm} 3 {ACC1:slc#127.itm(0)} {ACC1:slc#127.itm(1)} {ACC1:slc#127.itm(2)} -attr xrf 63545 -attr oid 735 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#127.itm}
+load net {ACC1:acc#459.itm(0)} -attr vt d
+load net {ACC1:acc#459.itm(1)} -attr vt d
+load net {ACC1:acc#459.itm(2)} -attr vt d
+load net {ACC1:acc#459.itm(3)} -attr vt d
+load netBundle {ACC1:acc#459.itm} 4 {ACC1:acc#459.itm(0)} {ACC1:acc#459.itm(1)} {ACC1:acc#459.itm(2)} {ACC1:acc#459.itm(3)} -attr xrf 63546 -attr oid 736 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#459.itm}
+load net {exs#102.itm(0)} -attr vt d
+load net {exs#102.itm(1)} -attr vt d
+load net {exs#102.itm(2)} -attr vt d
+load netBundle {exs#102.itm} 3 {exs#102.itm(0)} {exs#102.itm(1)} {exs#102.itm(2)} -attr xrf 63547 -attr oid 737 -attr vt d -attr @path {/sobel/sobel:core/exs#102.itm}
+load net {conc#980.itm(0)} -attr vt d
+load net {conc#980.itm(1)} -attr vt d
+load netBundle {conc#980.itm} 2 {conc#980.itm(0)} {conc#980.itm(1)} -attr xrf 63548 -attr oid 738 -attr vt d -attr @path {/sobel/sobel:core/conc#980.itm}
+load net {ACC1:exs#1588.itm(0)} -attr vt d
+load net {ACC1:exs#1588.itm(1)} -attr vt d
+load net {ACC1:exs#1588.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1588.itm} 3 {ACC1:exs#1588.itm(0)} {ACC1:exs#1588.itm(1)} {ACC1:exs#1588.itm(2)} -attr xrf 63549 -attr oid 739 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1588.itm}
+load net {ACC1:conc#1377.itm(0)} -attr vt d
+load net {ACC1:conc#1377.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1377.itm} 2 {ACC1:conc#1377.itm(0)} {ACC1:conc#1377.itm(1)} -attr xrf 63550 -attr oid 740 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1377.itm}
+load net {ACC1:acc#647.itm(0)} -attr vt d
+load net {ACC1:acc#647.itm(1)} -attr vt d
+load net {ACC1:acc#647.itm(2)} -attr vt d
+load net {ACC1:acc#647.itm(3)} -attr vt d
+load net {ACC1:acc#647.itm(4)} -attr vt d
+load net {ACC1:acc#647.itm(5)} -attr vt d
+load net {ACC1:acc#647.itm(6)} -attr vt d
+load net {ACC1:acc#647.itm(7)} -attr vt d
+load net {ACC1:acc#647.itm(8)} -attr vt d
+load net {ACC1:acc#647.itm(9)} -attr vt d
+load netBundle {ACC1:acc#647.itm} 10 {ACC1:acc#647.itm(0)} {ACC1:acc#647.itm(1)} {ACC1:acc#647.itm(2)} {ACC1:acc#647.itm(3)} {ACC1:acc#647.itm(4)} {ACC1:acc#647.itm(5)} {ACC1:acc#647.itm(6)} {ACC1:acc#647.itm(7)} {ACC1:acc#647.itm(8)} {ACC1:acc#647.itm(9)} -attr xrf 63551 -attr oid 741 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#640.itm(0)} -attr vt d
+load net {ACC1:acc#640.itm(1)} -attr vt d
+load net {ACC1:acc#640.itm(2)} -attr vt d
+load net {ACC1:acc#640.itm(3)} -attr vt d
+load net {ACC1:acc#640.itm(4)} -attr vt d
+load net {ACC1:acc#640.itm(5)} -attr vt d
+load net {ACC1:acc#640.itm(6)} -attr vt d
+load net {ACC1:acc#640.itm(7)} -attr vt d
+load netBundle {ACC1:acc#640.itm} 8 {ACC1:acc#640.itm(0)} {ACC1:acc#640.itm(1)} {ACC1:acc#640.itm(2)} {ACC1:acc#640.itm(3)} {ACC1:acc#640.itm(4)} {ACC1:acc#640.itm(5)} {ACC1:acc#640.itm(6)} {ACC1:acc#640.itm(7)} -attr xrf 63552 -attr oid 742 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#627.itm(0)} -attr vt d
+load net {ACC1:acc#627.itm(1)} -attr vt d
+load net {ACC1:acc#627.itm(2)} -attr vt d
+load net {ACC1:acc#627.itm(3)} -attr vt d
+load net {ACC1:acc#627.itm(4)} -attr vt d
+load net {ACC1:acc#627.itm(5)} -attr vt d
+load net {ACC1:acc#627.itm(6)} -attr vt d
+load net {ACC1:acc#627.itm(7)} -attr vt d
+load netBundle {ACC1:acc#627.itm} 8 {ACC1:acc#627.itm(0)} {ACC1:acc#627.itm(1)} {ACC1:acc#627.itm(2)} {ACC1:acc#627.itm(3)} {ACC1:acc#627.itm(4)} {ACC1:acc#627.itm(5)} {ACC1:acc#627.itm(6)} {ACC1:acc#627.itm(7)} -attr xrf 63553 -attr oid 743 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {conc#982.itm(0)} -attr vt d
+load net {conc#982.itm(1)} -attr vt d
+load net {conc#982.itm(2)} -attr vt d
+load net {conc#982.itm(3)} -attr vt d
+load net {conc#982.itm(4)} -attr vt d
+load net {conc#982.itm(5)} -attr vt d
+load net {conc#982.itm(6)} -attr vt d
+load netBundle {conc#982.itm} 7 {conc#982.itm(0)} {conc#982.itm(1)} {conc#982.itm(2)} {conc#982.itm(3)} {conc#982.itm(4)} {conc#982.itm(5)} {conc#982.itm(6)} -attr xrf 63554 -attr oid 744 -attr vt d -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {ACC1-3:exs#1072.itm(0)} -attr vt d
+load net {ACC1-3:exs#1072.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1072.itm} 2 {ACC1-3:exs#1072.itm(0)} {ACC1-3:exs#1072.itm(1)} -attr xrf 63555 -attr oid 745 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1072.itm}
+load net {ACC1:acc#614.itm(0)} -attr vt d
+load net {ACC1:acc#614.itm(1)} -attr vt d
+load net {ACC1:acc#614.itm(2)} -attr vt d
+load net {ACC1:acc#614.itm(3)} -attr vt d
+load net {ACC1:acc#614.itm(4)} -attr vt d
+load net {ACC1:acc#614.itm(5)} -attr vt d
+load netBundle {ACC1:acc#614.itm} 6 {ACC1:acc#614.itm(0)} {ACC1:acc#614.itm(1)} {ACC1:acc#614.itm(2)} {ACC1:acc#614.itm(3)} {ACC1:acc#614.itm(4)} {ACC1:acc#614.itm(5)} -attr xrf 63556 -attr oid 746 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#599.itm(0)} -attr vt d
+load net {ACC1:acc#599.itm(1)} -attr vt d
+load net {ACC1:acc#599.itm(2)} -attr vt d
+load net {ACC1:acc#599.itm(3)} -attr vt d
+load net {ACC1:acc#599.itm(4)} -attr vt d
+load netBundle {ACC1:acc#599.itm} 5 {ACC1:acc#599.itm(0)} {ACC1:acc#599.itm(1)} {ACC1:acc#599.itm(2)} {ACC1:acc#599.itm(3)} {ACC1:acc#599.itm(4)} -attr xrf 63557 -attr oid 747 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#568.itm(0)} -attr vt d
+load net {ACC1:acc#568.itm(1)} -attr vt d
+load net {ACC1:acc#568.itm(2)} -attr vt d
+load net {ACC1:acc#568.itm(3)} -attr vt d
+load netBundle {ACC1:acc#568.itm} 4 {ACC1:acc#568.itm(0)} {ACC1:acc#568.itm(1)} {ACC1:acc#568.itm(2)} {ACC1:acc#568.itm(3)} -attr xrf 63558 -attr oid 748 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#517.itm(0)} -attr vt d
+load net {ACC1:acc#517.itm(1)} -attr vt d
+load net {ACC1:acc#517.itm(2)} -attr vt d
+load netBundle {ACC1:acc#517.itm} 3 {ACC1:acc#517.itm(0)} {ACC1:acc#517.itm(1)} {ACC1:acc#517.itm(2)} -attr xrf 63559 -attr oid 749 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load net {ACC1-2:exs#20.itm(0)} -attr vt d
+load net {ACC1-2:exs#20.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#20.itm} 2 {ACC1-2:exs#20.itm(0)} {ACC1-2:exs#20.itm(1)} -attr xrf 63560 -attr oid 750 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#20.itm}
+load net {ACC1-2:exs#1049.itm(0)} -attr vt d
+load net {ACC1-2:exs#1049.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1049.itm} 2 {ACC1-2:exs#1049.itm(0)} {ACC1-2:exs#1049.itm(1)} -attr xrf 63561 -attr oid 751 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1049.itm}
+load net {ACC1:acc#567.itm(0)} -attr vt d
+load net {ACC1:acc#567.itm(1)} -attr vt d
+load net {ACC1:acc#567.itm(2)} -attr vt d
+load net {ACC1:acc#567.itm(3)} -attr vt d
+load netBundle {ACC1:acc#567.itm} 4 {ACC1:acc#567.itm(0)} {ACC1:acc#567.itm(1)} {ACC1:acc#567.itm(2)} {ACC1:acc#567.itm(3)} -attr xrf 63562 -attr oid 752 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#598.itm(0)} -attr vt d
+load net {ACC1:acc#598.itm(1)} -attr vt d
+load net {ACC1:acc#598.itm(2)} -attr vt d
+load net {ACC1:acc#598.itm(3)} -attr vt d
+load net {ACC1:acc#598.itm(4)} -attr vt d
+load netBundle {ACC1:acc#598.itm} 5 {ACC1:acc#598.itm(0)} {ACC1:acc#598.itm(1)} {ACC1:acc#598.itm(2)} {ACC1:acc#598.itm(3)} {ACC1:acc#598.itm(4)} -attr xrf 63563 -attr oid 753 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#566.itm(0)} -attr vt d
+load net {ACC1:acc#566.itm(1)} -attr vt d
+load net {ACC1:acc#566.itm(2)} -attr vt d
+load net {ACC1:acc#566.itm(3)} -attr vt d
+load netBundle {ACC1:acc#566.itm} 4 {ACC1:acc#566.itm(0)} {ACC1:acc#566.itm(1)} {ACC1:acc#566.itm(2)} {ACC1:acc#566.itm(3)} -attr xrf 63564 -attr oid 754 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#513.itm(0)} -attr vt d
+load net {ACC1:acc#513.itm(1)} -attr vt d
+load net {ACC1:acc#513.itm(2)} -attr vt d
+load netBundle {ACC1:acc#513.itm} 3 {ACC1:acc#513.itm(0)} {ACC1:acc#513.itm(1)} {ACC1:acc#513.itm(2)} -attr xrf 63565 -attr oid 755 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load net {ACC1-2:exs#1050.itm(0)} -attr vt d
+load net {ACC1-2:exs#1050.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1050.itm} 2 {ACC1-2:exs#1050.itm(0)} {ACC1-2:exs#1050.itm(1)} -attr xrf 63566 -attr oid 756 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1050.itm}
+load net {ACC1-2:exs#1031.itm(0)} -attr vt d
+load net {ACC1-2:exs#1031.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1031.itm} 2 {ACC1-2:exs#1031.itm(0)} {ACC1-2:exs#1031.itm(1)} -attr xrf 63567 -attr oid 757 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1031.itm}
+load net {ACC1:acc#565.itm(0)} -attr vt d
+load net {ACC1:acc#565.itm(1)} -attr vt d
+load net {ACC1:acc#565.itm(2)} -attr vt d
+load net {ACC1:acc#565.itm(3)} -attr vt d
+load netBundle {ACC1:acc#565.itm} 4 {ACC1:acc#565.itm(0)} {ACC1:acc#565.itm(1)} {ACC1:acc#565.itm(2)} {ACC1:acc#565.itm(3)} -attr xrf 63568 -attr oid 758 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#510.itm(0)} -attr vt d
+load net {ACC1:acc#510.itm(1)} -attr vt d
+load net {ACC1:acc#510.itm(2)} -attr vt d
+load netBundle {ACC1:acc#510.itm} 3 {ACC1:acc#510.itm(0)} {ACC1:acc#510.itm(1)} {ACC1:acc#510.itm(2)} -attr xrf 63569 -attr oid 759 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load net {ACC1-3:exs#1060.itm(0)} -attr vt d
+load net {ACC1-3:exs#1060.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1060.itm} 2 {ACC1-3:exs#1060.itm(0)} {ACC1-3:exs#1060.itm(1)} -attr xrf 63570 -attr oid 760 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1060.itm}
+load net {ACC1-3:exs#1049.itm(0)} -attr vt d
+load net {ACC1-3:exs#1049.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1049.itm} 2 {ACC1-3:exs#1049.itm(0)} {ACC1-3:exs#1049.itm(1)} -attr xrf 63571 -attr oid 761 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1049.itm}
+load net {ACC1:acc#621.itm(0)} -attr vt d
+load net {ACC1:acc#621.itm(1)} -attr vt d
+load net {ACC1:acc#621.itm(2)} -attr vt d
+load net {ACC1:acc#621.itm(3)} -attr vt d
+load net {ACC1:acc#621.itm(4)} -attr vt d
+load net {ACC1:acc#621.itm(5)} -attr vt d
+load net {ACC1:acc#621.itm(6)} -attr vt d
+load netBundle {ACC1:acc#621.itm} 7 {ACC1:acc#621.itm(0)} {ACC1:acc#621.itm(1)} {ACC1:acc#621.itm(2)} {ACC1:acc#621.itm(3)} {ACC1:acc#621.itm(4)} {ACC1:acc#621.itm(5)} {ACC1:acc#621.itm(6)} -attr xrf 63572 -attr oid 762 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#603.itm(0)} -attr vt d
+load net {ACC1:acc#603.itm(1)} -attr vt d
+load net {ACC1:acc#603.itm(2)} -attr vt d
+load net {ACC1:acc#603.itm(3)} -attr vt d
+load net {ACC1:acc#603.itm(4)} -attr vt d
+load net {ACC1:acc#603.itm(5)} -attr vt d
+load netBundle {ACC1:acc#603.itm} 6 {ACC1:acc#603.itm(0)} {ACC1:acc#603.itm(1)} {ACC1:acc#603.itm(2)} {ACC1:acc#603.itm(3)} {ACC1:acc#603.itm(4)} {ACC1:acc#603.itm(5)} -attr xrf 63573 -attr oid 763 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#577.itm(0)} -attr vt d
+load net {ACC1:acc#577.itm(1)} -attr vt d
+load net {ACC1:acc#577.itm(2)} -attr vt d
+load net {ACC1:acc#577.itm(3)} -attr vt d
+load net {ACC1:acc#577.itm(4)} -attr vt d
+load netBundle {ACC1:acc#577.itm} 5 {ACC1:acc#577.itm(0)} {ACC1:acc#577.itm(1)} {ACC1:acc#577.itm(2)} {ACC1:acc#577.itm(3)} {ACC1:acc#577.itm(4)} -attr xrf 63574 -attr oid 764 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#523.itm(0)} -attr vt d
+load net {ACC1:acc#523.itm(1)} -attr vt d
+load net {ACC1:acc#523.itm(2)} -attr vt d
+load net {ACC1:acc#523.itm(3)} -attr vt d
+load netBundle {ACC1:acc#523.itm} 4 {ACC1:acc#523.itm(0)} {ACC1:acc#523.itm(1)} {ACC1:acc#523.itm(2)} {ACC1:acc#523.itm(3)} -attr xrf 63575 -attr oid 765 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:conc#1098.itm(0)} -attr vt d
+load net {ACC1:conc#1098.itm(1)} -attr vt d
+load net {ACC1:conc#1098.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1098.itm} 3 {ACC1:conc#1098.itm(0)} {ACC1:conc#1098.itm(1)} {ACC1:conc#1098.itm(2)} -attr xrf 63576 -attr oid 766 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1098.itm}
+load net {conc#983.itm(0)} -attr vt d
+load net {conc#983.itm(1)} -attr vt d
+load net {conc#983.itm(2)} -attr vt d
+load netBundle {conc#983.itm} 3 {conc#983.itm(0)} {conc#983.itm(1)} {conc#983.itm(2)} -attr xrf 63577 -attr oid 767 -attr vt d -attr @path {/sobel/sobel:core/conc#983.itm}
+load net {ACC1:conc#1457.itm(0)} -attr vt d
+load net {ACC1:conc#1457.itm(1)} -attr vt d
+load net {ACC1:conc#1457.itm(2)} -attr vt d
+load net {ACC1:conc#1457.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1457.itm} 4 {ACC1:conc#1457.itm(0)} {ACC1:conc#1457.itm(1)} {ACC1:conc#1457.itm(2)} {ACC1:conc#1457.itm(3)} -attr xrf 63578 -attr oid 768 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1457.itm}
+load net {slc.itm(0)} -attr vt d
+load net {slc.itm(1)} -attr vt d
+load netBundle {slc.itm} 2 {slc.itm(0)} {slc.itm(1)} -attr xrf 63579 -attr oid 769 -attr vt d -attr @path {/sobel/sobel:core/slc.itm}
+load net {acc.itm(0)} -attr vt d
+load net {acc.itm(1)} -attr vt d
+load net {acc.itm(2)} -attr vt d
+load netBundle {acc.itm} 3 {acc.itm(0)} {acc.itm(1)} {acc.itm(2)} -attr xrf 63580 -attr oid 770 -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
+load net {conc#984.itm(0)} -attr vt d
+load net {conc#984.itm(1)} -attr vt d
+load netBundle {conc#984.itm} 2 {conc#984.itm(0)} {conc#984.itm(1)} -attr xrf 63581 -attr oid 771 -attr vt d -attr @path {/sobel/sobel:core/conc#984.itm}
+load net {conc#985.itm(0)} -attr vt d
+load net {conc#985.itm(1)} -attr vt d
+load netBundle {conc#985.itm} 2 {conc#985.itm(0)} {conc#985.itm(1)} -attr xrf 63582 -attr oid 772 -attr vt d -attr @path {/sobel/sobel:core/conc#985.itm}
+load net {ACC1:acc#732.itm(0)} -attr vt d
+load net {ACC1:acc#732.itm(1)} -attr vt d
+load netBundle {ACC1:acc#732.itm} 2 {ACC1:acc#732.itm(0)} {ACC1:acc#732.itm(1)} -attr xrf 63583 -attr oid 773 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#732.itm}
+load net {conc#986.itm(0)} -attr vt d
+load net {conc#986.itm(1)} -attr vt d
+load netBundle {conc#986.itm} 2 {conc#986.itm(0)} {conc#986.itm(1)} -attr xrf 63584 -attr oid 774 -attr vt d -attr @path {/sobel/sobel:core/conc#986.itm}
+load net {conc#987.itm(0)} -attr vt d
+load net {conc#987.itm(1)} -attr vt d
+load netBundle {conc#987.itm} 2 {conc#987.itm(0)} {conc#987.itm(1)} -attr xrf 63585 -attr oid 775 -attr vt d -attr @path {/sobel/sobel:core/conc#987.itm}
+load net {ACC1:acc#576.itm(0)} -attr vt d
+load net {ACC1:acc#576.itm(1)} -attr vt d
+load net {ACC1:acc#576.itm(2)} -attr vt d
+load net {ACC1:acc#576.itm(3)} -attr vt d
+load net {ACC1:acc#576.itm(4)} -attr vt d
+load netBundle {ACC1:acc#576.itm} 5 {ACC1:acc#576.itm(0)} {ACC1:acc#576.itm(1)} {ACC1:acc#576.itm(2)} {ACC1:acc#576.itm(3)} {ACC1:acc#576.itm(4)} -attr xrf 63586 -attr oid 776 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:conc#1458.itm(0)} -attr vt d
+load net {ACC1:conc#1458.itm(1)} -attr vt d
+load net {ACC1:conc#1458.itm(2)} -attr vt d
+load net {ACC1:conc#1458.itm(3)} -attr vt d
+load netBundle {ACC1:conc#1458.itm} 4 {ACC1:conc#1458.itm(0)} {ACC1:conc#1458.itm(1)} {ACC1:conc#1458.itm(2)} {ACC1:conc#1458.itm(3)} -attr xrf 63587 -attr oid 777 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1458.itm}
+load net {slc#1.itm(0)} -attr vt d
+load net {slc#1.itm(1)} -attr vt d
+load netBundle {slc#1.itm} 2 {slc#1.itm(0)} {slc#1.itm(1)} -attr xrf 63588 -attr oid 778 -attr vt d -attr @path {/sobel/sobel:core/slc#1.itm}
+load net {acc#31.itm(0)} -attr vt d
+load net {acc#31.itm(1)} -attr vt d
+load net {acc#31.itm(2)} -attr vt d
+load netBundle {acc#31.itm} 3 {acc#31.itm(0)} {acc#31.itm(1)} {acc#31.itm(2)} -attr xrf 63589 -attr oid 779 -attr vt d -attr @path {/sobel/sobel:core/acc#31.itm}
+load net {conc#988.itm(0)} -attr vt d
+load net {conc#988.itm(1)} -attr vt d
+load netBundle {conc#988.itm} 2 {conc#988.itm(0)} {conc#988.itm(1)} -attr xrf 63590 -attr oid 780 -attr vt d -attr @path {/sobel/sobel:core/conc#988.itm}
+load net {conc#989.itm(0)} -attr vt d
+load net {conc#989.itm(1)} -attr vt d
+load netBundle {conc#989.itm} 2 {conc#989.itm(0)} {conc#989.itm(1)} -attr xrf 63591 -attr oid 781 -attr vt d -attr @path {/sobel/sobel:core/conc#989.itm}
+load net {ACC1:acc#734.itm(0)} -attr vt d
+load net {ACC1:acc#734.itm(1)} -attr vt d
+load netBundle {ACC1:acc#734.itm} 2 {ACC1:acc#734.itm(0)} {ACC1:acc#734.itm(1)} -attr xrf 63592 -attr oid 782 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#734.itm}
+load net {conc#990.itm(0)} -attr vt d
+load net {conc#990.itm(1)} -attr vt d
+load netBundle {conc#990.itm} 2 {conc#990.itm(0)} {conc#990.itm(1)} -attr xrf 63593 -attr oid 783 -attr vt d -attr @path {/sobel/sobel:core/conc#990.itm}
+load net {conc#991.itm(0)} -attr vt d
+load net {conc#991.itm(1)} -attr vt d
+load netBundle {conc#991.itm} 2 {conc#991.itm(0)} {conc#991.itm(1)} -attr xrf 63594 -attr oid 784 -attr vt d -attr @path {/sobel/sobel:core/conc#991.itm}
+load net {ACC1:acc#520.itm(0)} -attr vt d
+load net {ACC1:acc#520.itm(1)} -attr vt d
+load net {ACC1:acc#520.itm(2)} -attr vt d
+load net {ACC1:acc#520.itm(3)} -attr vt d
+load netBundle {ACC1:acc#520.itm} 4 {ACC1:acc#520.itm(0)} {ACC1:acc#520.itm(1)} {ACC1:acc#520.itm(2)} {ACC1:acc#520.itm(3)} -attr xrf 63595 -attr oid 785 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {conc#992.itm(0)} -attr vt d
+load net {conc#992.itm(1)} -attr vt d
+load net {conc#992.itm(2)} -attr vt d
+load netBundle {conc#992.itm} 3 {conc#992.itm(0)} {conc#992.itm(1)} {conc#992.itm(2)} -attr xrf 63596 -attr oid 786 -attr vt d -attr @path {/sobel/sobel:core/conc#992.itm}
+load net {slc(ACC1:acc#221.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#221.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#221.psp.sva)#2.itm} 2 {slc(ACC1:acc#221.psp.sva)#2.itm(0)} {slc(ACC1:acc#221.psp.sva)#2.itm(1)} -attr xrf 63597 -attr oid 787 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp.sva)#2.itm}
+load net {ACC1:acc#602.itm(0)} -attr vt d
+load net {ACC1:acc#602.itm(1)} -attr vt d
+load net {ACC1:acc#602.itm(2)} -attr vt d
+load net {ACC1:acc#602.itm(3)} -attr vt d
+load net {ACC1:acc#602.itm(4)} -attr vt d
+load net {ACC1:acc#602.itm(5)} -attr vt d
+load netBundle {ACC1:acc#602.itm} 6 {ACC1:acc#602.itm(0)} {ACC1:acc#602.itm(1)} {ACC1:acc#602.itm(2)} {ACC1:acc#602.itm(3)} {ACC1:acc#602.itm(4)} {ACC1:acc#602.itm(5)} -attr xrf 63598 -attr oid 788 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#575.itm(0)} -attr vt d
+load net {ACC1:acc#575.itm(1)} -attr vt d
+load net {ACC1:acc#575.itm(2)} -attr vt d
+load net {ACC1:acc#575.itm(3)} -attr vt d
+load net {ACC1:acc#575.itm(4)} -attr vt d
+load netBundle {ACC1:acc#575.itm} 5 {ACC1:acc#575.itm(0)} {ACC1:acc#575.itm(1)} {ACC1:acc#575.itm(2)} {ACC1:acc#575.itm(3)} {ACC1:acc#575.itm(4)} -attr xrf 63599 -attr oid 789 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#518.itm(0)} -attr vt d
+load net {ACC1:acc#518.itm(1)} -attr vt d
+load net {ACC1:acc#518.itm(2)} -attr vt d
+load net {ACC1:acc#518.itm(3)} -attr vt d
+load netBundle {ACC1:acc#518.itm} 4 {ACC1:acc#518.itm(0)} {ACC1:acc#518.itm(1)} {ACC1:acc#518.itm(2)} {ACC1:acc#518.itm(3)} -attr xrf 63600 -attr oid 790 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {slc(ACC1:acc#221.psp#2.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#221.psp#2.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#221.psp#2.sva)#2.itm} 2 {slc(ACC1:acc#221.psp#2.sva)#2.itm(0)} {slc(ACC1:acc#221.psp#2.sva)#2.itm(1)} -attr xrf 63601 -attr oid 791 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp#2.sva)#2.itm}
+load net {ACC1-2:exs#19.itm(0)} -attr vt d
+load net {ACC1-2:exs#19.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#19.itm} 2 {ACC1-2:exs#19.itm(0)} {ACC1-2:exs#19.itm(1)} -attr xrf 63602 -attr oid 792 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#19.itm}
+load net {ACC1:acc#490.itm(0)} -attr vt d
+load net {ACC1:acc#490.itm(1)} -attr vt d
+load net {ACC1:acc#490.itm(2)} -attr vt d
+load net {ACC1:acc#490.itm(3)} -attr vt d
+load netBundle {ACC1:acc#490.itm} 4 {ACC1:acc#490.itm(0)} {ACC1:acc#490.itm(1)} {ACC1:acc#490.itm(2)} {ACC1:acc#490.itm(3)} -attr xrf 63603 -attr oid 793 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {slc(ACC1:acc#219.psp#2.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#219.psp#2.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#219.psp#2.sva)#2.itm} 2 {slc(ACC1:acc#219.psp#2.sva)#2.itm(0)} {slc(ACC1:acc#219.psp#2.sva)#2.itm(1)} -attr xrf 63604 -attr oid 794 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#2.sva)#2.itm}
+load net {ACC1-2:exs#1058.itm(0)} -attr vt d
+load net {ACC1-2:exs#1058.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1058.itm} 2 {ACC1-2:exs#1058.itm(0)} {ACC1-2:exs#1058.itm(1)} -attr xrf 63605 -attr oid 795 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1058.itm}
+load net {ACC1:acc#574.itm(0)} -attr vt d
+load net {ACC1:acc#574.itm(1)} -attr vt d
+load net {ACC1:acc#574.itm(2)} -attr vt d
+load net {ACC1:acc#574.itm(3)} -attr vt d
+load net {ACC1:acc#574.itm(4)} -attr vt d
+load netBundle {ACC1:acc#574.itm} 5 {ACC1:acc#574.itm(0)} {ACC1:acc#574.itm(1)} {ACC1:acc#574.itm(2)} {ACC1:acc#574.itm(3)} {ACC1:acc#574.itm(4)} -attr xrf 63606 -attr oid 796 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:slc#139.itm(0)} -attr vt d
+load net {ACC1:slc#139.itm(1)} -attr vt d
+load net {ACC1:slc#139.itm(2)} -attr vt d
+load net {ACC1:slc#139.itm(3)} -attr vt d
+load netBundle {ACC1:slc#139.itm} 4 {ACC1:slc#139.itm(0)} {ACC1:slc#139.itm(1)} {ACC1:slc#139.itm(2)} {ACC1:slc#139.itm(3)} -attr xrf 63607 -attr oid 797 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#139.itm}
+load net {ACC1:acc#471.itm(0)} -attr vt d
+load net {ACC1:acc#471.itm(1)} -attr vt d
+load net {ACC1:acc#471.itm(2)} -attr vt d
+load net {ACC1:acc#471.itm(3)} -attr vt d
+load net {ACC1:acc#471.itm(4)} -attr vt d
+load netBundle {ACC1:acc#471.itm} 5 {ACC1:acc#471.itm(0)} {ACC1:acc#471.itm(1)} {ACC1:acc#471.itm(2)} {ACC1:acc#471.itm(3)} {ACC1:acc#471.itm(4)} -attr xrf 63608 -attr oid 798 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471.itm}
+load net {exs#90.itm(0)} -attr vt d
+load net {exs#90.itm(1)} -attr vt d
+load net {exs#90.itm(2)} -attr vt d
+load netBundle {exs#90.itm} 3 {exs#90.itm(0)} {exs#90.itm(1)} {exs#90.itm(2)} -attr xrf 63609 -attr oid 799 -attr vt d -attr @path {/sobel/sobel:core/exs#90.itm}
+load net {conc#993.itm(0)} -attr vt d
+load net {conc#993.itm(1)} -attr vt d
+load netBundle {conc#993.itm} 2 {conc#993.itm(0)} {conc#993.itm(1)} -attr xrf 63610 -attr oid 800 -attr vt d -attr @path {/sobel/sobel:core/conc#993.itm}
+load net {ACC1:conc#1401.itm(0)} -attr vt d
+load net {ACC1:conc#1401.itm(1)} -attr vt d
+load net {ACC1:conc#1401.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1401.itm} 3 {ACC1:conc#1401.itm(0)} {ACC1:conc#1401.itm(1)} {ACC1:conc#1401.itm(2)} -attr xrf 63611 -attr oid 801 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1401.itm}
+load net {slc(ACC1:acc#222.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#222.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#222.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#222.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#222.psp#1.sva)#2.itm(1)} -attr xrf 63612 -attr oid 802 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp#1.sva)#2.itm}
+load net {ACC1:slc#129.itm(0)} -attr vt d
+load net {ACC1:slc#129.itm(1)} -attr vt d
+load net {ACC1:slc#129.itm(2)} -attr vt d
+load net {ACC1:slc#129.itm(3)} -attr vt d
+load netBundle {ACC1:slc#129.itm} 4 {ACC1:slc#129.itm(0)} {ACC1:slc#129.itm(1)} {ACC1:slc#129.itm(2)} {ACC1:slc#129.itm(3)} -attr xrf 63613 -attr oid 803 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#129.itm}
+load net {ACC1:acc#461.itm(0)} -attr vt d
+load net {ACC1:acc#461.itm(1)} -attr vt d
+load net {ACC1:acc#461.itm(2)} -attr vt d
+load net {ACC1:acc#461.itm(3)} -attr vt d
+load net {ACC1:acc#461.itm(4)} -attr vt d
+load netBundle {ACC1:acc#461.itm} 5 {ACC1:acc#461.itm(0)} {ACC1:acc#461.itm(1)} {ACC1:acc#461.itm(2)} {ACC1:acc#461.itm(3)} {ACC1:acc#461.itm(4)} -attr xrf 63614 -attr oid 804 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461.itm}
+load net {conc#994.itm(0)} -attr vt d
+load net {conc#994.itm(1)} -attr vt d
+load net {conc#994.itm(2)} -attr vt d
+load netBundle {conc#994.itm} 3 {conc#994.itm(0)} {conc#994.itm(1)} {conc#994.itm(2)} -attr xrf 63615 -attr oid 805 -attr vt d -attr @path {/sobel/sobel:core/conc#994.itm}
+load net {slc(ACC1:acc#219.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#219.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#219.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#219.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#219.psp#1.sva)#2.itm(1)} -attr xrf 63616 -attr oid 806 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#1.sva)#2.itm}
+load net {ACC1:exs#1590.itm(0)} -attr vt d
+load net {ACC1:exs#1590.itm(1)} -attr vt d
+load net {ACC1:exs#1590.itm(2)} -attr vt d
+load netBundle {ACC1:exs#1590.itm} 3 {ACC1:exs#1590.itm(0)} {ACC1:exs#1590.itm(1)} {ACC1:exs#1590.itm(2)} -attr xrf 63617 -attr oid 807 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1590.itm}
+load net {ACC1:conc#1381.itm(0)} -attr vt d
+load net {ACC1:conc#1381.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1381.itm} 2 {ACC1:conc#1381.itm(0)} {ACC1:conc#1381.itm(1)} -attr xrf 63618 -attr oid 808 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1381.itm}
+load net {ACC1:acc#639.itm(0)} -attr vt d
+load net {ACC1:acc#639.itm(1)} -attr vt d
+load net {ACC1:acc#639.itm(2)} -attr vt d
+load net {ACC1:acc#639.itm(3)} -attr vt d
+load net {ACC1:acc#639.itm(4)} -attr vt d
+load net {ACC1:acc#639.itm(5)} -attr vt d
+load net {ACC1:acc#639.itm(6)} -attr vt d
+load net {ACC1:acc#639.itm(7)} -attr vt d
+load net {ACC1:acc#639.itm(8)} -attr vt d
+load netBundle {ACC1:acc#639.itm} 9 {ACC1:acc#639.itm(0)} {ACC1:acc#639.itm(1)} {ACC1:acc#639.itm(2)} {ACC1:acc#639.itm(3)} {ACC1:acc#639.itm(4)} {ACC1:acc#639.itm(5)} {ACC1:acc#639.itm(6)} {ACC1:acc#639.itm(7)} {ACC1:acc#639.itm(8)} -attr xrf 63619 -attr oid 809 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:mul.itm(0)} -attr vt d
+load net {ACC1:mul.itm(1)} -attr vt d
+load net {ACC1:mul.itm(2)} -attr vt d
+load net {ACC1:mul.itm(3)} -attr vt d
+load net {ACC1:mul.itm(4)} -attr vt d
+load net {ACC1:mul.itm(5)} -attr vt d
+load net {ACC1:mul.itm(6)} -attr vt d
+load net {ACC1:mul.itm(7)} -attr vt d
+load netBundle {ACC1:mul.itm} 8 {ACC1:mul.itm(0)} {ACC1:mul.itm(1)} {ACC1:mul.itm(2)} {ACC1:mul.itm(3)} {ACC1:mul.itm(4)} {ACC1:mul.itm(5)} {ACC1:mul.itm(6)} {ACC1:mul.itm(7)} -attr xrf 63620 -attr oid 810 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:acc#295.itm(0)} -attr vt d
+load net {ACC1:acc#295.itm(1)} -attr vt d
+load net {ACC1:acc#295.itm(2)} -attr vt d
+load net {ACC1:acc#295.itm(3)} -attr vt d
+load netBundle {ACC1:acc#295.itm} 4 {ACC1:acc#295.itm(0)} {ACC1:acc#295.itm(1)} {ACC1:acc#295.itm(2)} {ACC1:acc#295.itm(3)} -attr xrf 63621 -attr oid 811 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#296.itm(0)} -attr vt d
+load net {ACC1:acc#296.itm(1)} -attr vt d
+load net {ACC1:acc#296.itm(2)} -attr vt d
+load netBundle {ACC1:acc#296.itm} 3 {ACC1:acc#296.itm(0)} {ACC1:acc#296.itm(1)} {ACC1:acc#296.itm(2)} -attr xrf 63622 -attr oid 812 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#297.itm(0)} -attr vt d
+load net {ACC1:acc#297.itm(1)} -attr vt d
+load net {ACC1:acc#297.itm(2)} -attr vt d
+load netBundle {ACC1:acc#297.itm} 3 {ACC1:acc#297.itm(0)} {ACC1:acc#297.itm(1)} {ACC1:acc#297.itm(2)} -attr xrf 63623 -attr oid 813 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#298.itm(0)} -attr vt d
+load net {ACC1:acc#298.itm(1)} -attr vt d
+load net {ACC1:acc#298.itm(2)} -attr vt d
+load netBundle {ACC1:acc#298.itm} 3 {ACC1:acc#298.itm(0)} {ACC1:acc#298.itm(1)} {ACC1:acc#298.itm(2)} -attr xrf 63624 -attr oid 814 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#299.itm(0)} -attr vt d
+load net {ACC1:acc#299.itm(1)} -attr vt d
+load net {ACC1:acc#299.itm(2)} -attr vt d
+load netBundle {ACC1:acc#299.itm} 3 {ACC1:acc#299.itm(0)} {ACC1:acc#299.itm(1)} {ACC1:acc#299.itm(2)} -attr xrf 63625 -attr oid 815 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#300.itm(0)} -attr vt d
+load net {ACC1:acc#300.itm(1)} -attr vt d
+load netBundle {ACC1:acc#300.itm} 2 {ACC1:acc#300.itm(0)} {ACC1:acc#300.itm(1)} -attr xrf 63626 -attr oid 816 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#301.itm(0)} -attr vt d
+load net {ACC1:acc#301.itm(1)} -attr vt d
+load netBundle {ACC1:acc#301.itm} 2 {ACC1:acc#301.itm(0)} {ACC1:acc#301.itm(1)} -attr xrf 63627 -attr oid 817 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {conc#995.itm(0)} -attr vt d
+load net {conc#995.itm(1)} -attr vt d
+load net {conc#995.itm(2)} -attr vt d
+load net {conc#995.itm(3)} -attr vt d
+load net {conc#995.itm(4)} -attr vt d
+load net {conc#995.itm(5)} -attr vt d
+load net {conc#995.itm(6)} -attr vt d
+load net {conc#995.itm(7)} -attr vt d
+load netBundle {conc#995.itm} 8 {conc#995.itm(0)} {conc#995.itm(1)} {conc#995.itm(2)} {conc#995.itm(3)} {conc#995.itm(4)} {conc#995.itm(5)} {conc#995.itm(6)} {conc#995.itm(7)} -attr xrf 63628 -attr oid 818 -attr vt d -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {slc(regs.regs(1).sva)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#2.itm} 10 {slc(regs.regs(1).sva)#2.itm(0)} {slc(regs.regs(1).sva)#2.itm(1)} {slc(regs.regs(1).sva)#2.itm(2)} {slc(regs.regs(1).sva)#2.itm(3)} {slc(regs.regs(1).sva)#2.itm(4)} {slc(regs.regs(1).sva)#2.itm(5)} {slc(regs.regs(1).sva)#2.itm(6)} {slc(regs.regs(1).sva)#2.itm(7)} {slc(regs.regs(1).sva)#2.itm(8)} {slc(regs.regs(1).sva)#2.itm(9)} -attr xrf 63629 -attr oid 819 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {slc(regs.regs(1).sva)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#1.itm} 10 {slc(regs.regs(1).sva)#1.itm(0)} {slc(regs.regs(1).sva)#1.itm(1)} {slc(regs.regs(1).sva)#1.itm(2)} {slc(regs.regs(1).sva)#1.itm(3)} {slc(regs.regs(1).sva)#1.itm(4)} {slc(regs.regs(1).sva)#1.itm(5)} {slc(regs.regs(1).sva)#1.itm(6)} {slc(regs.regs(1).sva)#1.itm(7)} {slc(regs.regs(1).sva)#1.itm(8)} {slc(regs.regs(1).sva)#1.itm(9)} -attr xrf 63630 -attr oid 820 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {slc(regs.regs(1).sva).itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva).itm} 10 {slc(regs.regs(1).sva).itm(0)} {slc(regs.regs(1).sva).itm(1)} {slc(regs.regs(1).sva).itm(2)} {slc(regs.regs(1).sva).itm(3)} {slc(regs.regs(1).sva).itm(4)} {slc(regs.regs(1).sva).itm(5)} {slc(regs.regs(1).sva).itm(6)} {slc(regs.regs(1).sva).itm(7)} {slc(regs.regs(1).sva).itm(8)} {slc(regs.regs(1).sva).itm(9)} -attr xrf 63631 -attr oid 821 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {slc(regs.regs(1).sva)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#5.itm} 10 {slc(regs.regs(1).sva)#5.itm(0)} {slc(regs.regs(1).sva)#5.itm(1)} {slc(regs.regs(1).sva)#5.itm(2)} {slc(regs.regs(1).sva)#5.itm(3)} {slc(regs.regs(1).sva)#5.itm(4)} {slc(regs.regs(1).sva)#5.itm(5)} {slc(regs.regs(1).sva)#5.itm(6)} {slc(regs.regs(1).sva)#5.itm(7)} {slc(regs.regs(1).sva)#5.itm(8)} {slc(regs.regs(1).sva)#5.itm(9)} -attr xrf 63632 -attr oid 822 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {slc(regs.regs(1).sva)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#4.itm} 10 {slc(regs.regs(1).sva)#4.itm(0)} {slc(regs.regs(1).sva)#4.itm(1)} {slc(regs.regs(1).sva)#4.itm(2)} {slc(regs.regs(1).sva)#4.itm(3)} {slc(regs.regs(1).sva)#4.itm(4)} {slc(regs.regs(1).sva)#4.itm(5)} {slc(regs.regs(1).sva)#4.itm(6)} {slc(regs.regs(1).sva)#4.itm(7)} {slc(regs.regs(1).sva)#4.itm(8)} {slc(regs.regs(1).sva)#4.itm(9)} -attr xrf 63633 -attr oid 823 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {slc(regs.regs(1).sva)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#3.itm} 10 {slc(regs.regs(1).sva)#3.itm(0)} {slc(regs.regs(1).sva)#3.itm(1)} {slc(regs.regs(1).sva)#3.itm(2)} {slc(regs.regs(1).sva)#3.itm(3)} {slc(regs.regs(1).sva)#3.itm(4)} {slc(regs.regs(1).sva)#3.itm(5)} {slc(regs.regs(1).sva)#3.itm(6)} {slc(regs.regs(1).sva)#3.itm(7)} {slc(regs.regs(1).sva)#3.itm(8)} {slc(regs.regs(1).sva)#3.itm(9)} -attr xrf 63634 -attr oid 824 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {slc(regs.regs(1).sva)#8.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#8.itm} 10 {slc(regs.regs(1).sva)#8.itm(0)} {slc(regs.regs(1).sva)#8.itm(1)} {slc(regs.regs(1).sva)#8.itm(2)} {slc(regs.regs(1).sva)#8.itm(3)} {slc(regs.regs(1).sva)#8.itm(4)} {slc(regs.regs(1).sva)#8.itm(5)} {slc(regs.regs(1).sva)#8.itm(6)} {slc(regs.regs(1).sva)#8.itm(7)} {slc(regs.regs(1).sva)#8.itm(8)} {slc(regs.regs(1).sva)#8.itm(9)} -attr xrf 63635 -attr oid 825 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {slc(regs.regs(1).sva)#7.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#7.itm} 10 {slc(regs.regs(1).sva)#7.itm(0)} {slc(regs.regs(1).sva)#7.itm(1)} {slc(regs.regs(1).sva)#7.itm(2)} {slc(regs.regs(1).sva)#7.itm(3)} {slc(regs.regs(1).sva)#7.itm(4)} {slc(regs.regs(1).sva)#7.itm(5)} {slc(regs.regs(1).sva)#7.itm(6)} {slc(regs.regs(1).sva)#7.itm(7)} {slc(regs.regs(1).sva)#7.itm(8)} {slc(regs.regs(1).sva)#7.itm(9)} -attr xrf 63636 -attr oid 826 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {slc(regs.regs(1).sva)#6.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#6.itm} 10 {slc(regs.regs(1).sva)#6.itm(0)} {slc(regs.regs(1).sva)#6.itm(1)} {slc(regs.regs(1).sva)#6.itm(2)} {slc(regs.regs(1).sva)#6.itm(3)} {slc(regs.regs(1).sva)#6.itm(4)} {slc(regs.regs(1).sva)#6.itm(5)} {slc(regs.regs(1).sva)#6.itm(6)} {slc(regs.regs(1).sva)#6.itm(7)} {slc(regs.regs(1).sva)#6.itm(8)} {slc(regs.regs(1).sva)#6.itm(9)} -attr xrf 63637 -attr oid 827 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {FRAME:acc#15.itm(0)} -attr vt d
+load net {FRAME:acc#15.itm(1)} -attr vt d
+load net {FRAME:acc#15.itm(2)} -attr vt d
+load net {FRAME:acc#15.itm(3)} -attr vt d
+load net {FRAME:acc#15.itm(4)} -attr vt d
+load net {FRAME:acc#15.itm(5)} -attr vt d
+load net {FRAME:acc#15.itm(6)} -attr vt d
+load net {FRAME:acc#15.itm(7)} -attr vt d
+load net {FRAME:acc#15.itm(8)} -attr vt d
+load net {FRAME:acc#15.itm(9)} -attr vt d
+load net {FRAME:acc#15.itm(10)} -attr vt d
+load net {FRAME:acc#15.itm(11)} -attr vt d
+load netBundle {FRAME:acc#15.itm} 12 {FRAME:acc#15.itm(0)} {FRAME:acc#15.itm(1)} {FRAME:acc#15.itm(2)} {FRAME:acc#15.itm(3)} {FRAME:acc#15.itm(4)} {FRAME:acc#15.itm(5)} {FRAME:acc#15.itm(6)} {FRAME:acc#15.itm(7)} {FRAME:acc#15.itm(8)} {FRAME:acc#15.itm(9)} {FRAME:acc#15.itm(10)} {FRAME:acc#15.itm(11)} -attr xrf 63638 -attr oid 828 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:mul.itm(0)} -attr vt d
+load net {FRAME:mul.itm(1)} -attr vt d
+load net {FRAME:mul.itm(2)} -attr vt d
+load net {FRAME:mul.itm(3)} -attr vt d
+load net {FRAME:mul.itm(4)} -attr vt d
+load net {FRAME:mul.itm(5)} -attr vt d
+load net {FRAME:mul.itm(6)} -attr vt d
+load net {FRAME:mul.itm(7)} -attr vt d
+load net {FRAME:mul.itm(8)} -attr vt d
+load net {FRAME:mul.itm(9)} -attr vt d
+load net {FRAME:mul.itm(10)} -attr vt d
+load netBundle {FRAME:mul.itm} 11 {FRAME:mul.itm(0)} {FRAME:mul.itm(1)} {FRAME:mul.itm(2)} {FRAME:mul.itm(3)} {FRAME:mul.itm(4)} {FRAME:mul.itm(5)} {FRAME:mul.itm(6)} {FRAME:mul.itm(7)} {FRAME:mul.itm(8)} {FRAME:mul.itm(9)} {FRAME:mul.itm(10)} -attr xrf 63639 -attr oid 829 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {slc(ACC1:slc.psp.sva)#13.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#13.itm(1)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#13.itm} 2 {slc(ACC1:slc.psp.sva)#13.itm(0)} {slc(ACC1:slc.psp.sva)#13.itm(1)} -attr xrf 63640 -attr oid 830 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#13.itm}
+load net {FRAME:acc#14.itm(0)} -attr vt d
+load net {FRAME:acc#14.itm(1)} -attr vt d
+load net {FRAME:acc#14.itm(2)} -attr vt d
+load net {FRAME:acc#14.itm(3)} -attr vt d
+load net {FRAME:acc#14.itm(4)} -attr vt d
+load net {FRAME:acc#14.itm(5)} -attr vt d
+load net {FRAME:acc#14.itm(6)} -attr vt d
+load net {FRAME:acc#14.itm(7)} -attr vt d
+load net {FRAME:acc#14.itm(8)} -attr vt d
+load net {FRAME:acc#14.itm(9)} -attr vt d
+load netBundle {FRAME:acc#14.itm} 10 {FRAME:acc#14.itm(0)} {FRAME:acc#14.itm(1)} {FRAME:acc#14.itm(2)} {FRAME:acc#14.itm(3)} {FRAME:acc#14.itm(4)} {FRAME:acc#14.itm(5)} {FRAME:acc#14.itm(6)} {FRAME:acc#14.itm(7)} {FRAME:acc#14.itm(8)} {FRAME:acc#14.itm(9)} -attr xrf 63641 -attr oid 831 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:mul#1.itm(0)} -attr vt d
+load net {FRAME:mul#1.itm(1)} -attr vt d
+load net {FRAME:mul#1.itm(2)} -attr vt d
+load net {FRAME:mul#1.itm(3)} -attr vt d
+load net {FRAME:mul#1.itm(4)} -attr vt d
+load net {FRAME:mul#1.itm(5)} -attr vt d
+load net {FRAME:mul#1.itm(6)} -attr vt d
+load net {FRAME:mul#1.itm(7)} -attr vt d
+load net {FRAME:mul#1.itm(8)} -attr vt d
+load netBundle {FRAME:mul#1.itm} 9 {FRAME:mul#1.itm(0)} {FRAME:mul#1.itm(1)} {FRAME:mul#1.itm(2)} {FRAME:mul#1.itm(3)} {FRAME:mul#1.itm(4)} {FRAME:mul#1.itm(5)} {FRAME:mul#1.itm(6)} {FRAME:mul#1.itm(7)} {FRAME:mul#1.itm(8)} -attr xrf 63642 -attr oid 832 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {slc(ACC1:slc.psp.sva)#1.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#1.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#1.itm} 3 {slc(ACC1:slc.psp.sva)#1.itm(0)} {slc(ACC1:slc.psp.sva)#1.itm(1)} {slc(ACC1:slc.psp.sva)#1.itm(2)} -attr xrf 63643 -attr oid 833 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
+load net {FRAME:acc#13.itm(0)} -attr vt d
+load net {FRAME:acc#13.itm(1)} -attr vt d
+load net {FRAME:acc#13.itm(2)} -attr vt d
+load net {FRAME:acc#13.itm(3)} -attr vt d
+load net {FRAME:acc#13.itm(4)} -attr vt d
+load net {FRAME:acc#13.itm(5)} -attr vt d
+load net {FRAME:acc#13.itm(6)} -attr vt d
+load netBundle {FRAME:acc#13.itm} 7 {FRAME:acc#13.itm(0)} {FRAME:acc#13.itm(1)} {FRAME:acc#13.itm(2)} {FRAME:acc#13.itm(3)} {FRAME:acc#13.itm(4)} {FRAME:acc#13.itm(5)} {FRAME:acc#13.itm(6)} -attr xrf 63644 -attr oid 834 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {slc(ACC1:slc.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#2.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#2.itm(2)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#2.itm(3)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#2.itm(4)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#2.itm(5)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#2.itm} 6 {slc(ACC1:slc.psp.sva)#2.itm(0)} {slc(ACC1:slc.psp.sva)#2.itm(1)} {slc(ACC1:slc.psp.sva)#2.itm(2)} {slc(ACC1:slc.psp.sva)#2.itm(3)} {slc(ACC1:slc.psp.sva)#2.itm(4)} {slc(ACC1:slc.psp.sva)#2.itm(5)} -attr xrf 63645 -attr oid 835 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {FRAME:acc#12.itm(0)} -attr vt d
+load net {FRAME:acc#12.itm(1)} -attr vt d
+load net {FRAME:acc#12.itm(2)} -attr vt d
+load net {FRAME:acc#12.itm(3)} -attr vt d
+load net {FRAME:acc#12.itm(4)} -attr vt d
+load netBundle {FRAME:acc#12.itm} 5 {FRAME:acc#12.itm(0)} {FRAME:acc#12.itm(1)} {FRAME:acc#12.itm(2)} {FRAME:acc#12.itm(3)} {FRAME:acc#12.itm(4)} -attr xrf 63646 -attr oid 836 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {conc#996.itm(0)} -attr vt d
+load net {conc#996.itm(1)} -attr vt d
+load net {conc#996.itm(2)} -attr vt d
+load net {conc#996.itm(3)} -attr vt d
+load net {conc#996.itm(4)} -attr vt d
+load netBundle {conc#996.itm} 5 {conc#996.itm(0)} {conc#996.itm(1)} {conc#996.itm(2)} {conc#996.itm(3)} {conc#996.itm(4)} -attr xrf 63647 -attr oid 837 -attr vt d -attr @path {/sobel/sobel:core/conc#996.itm}
+load net {FRAME:acc#11.itm(0)} -attr vt d
+load net {FRAME:acc#11.itm(1)} -attr vt d
+load net {FRAME:acc#11.itm(2)} -attr vt d
+load net {FRAME:acc#11.itm(3)} -attr vt d
+load netBundle {FRAME:acc#11.itm} 4 {FRAME:acc#11.itm(0)} {FRAME:acc#11.itm(1)} {FRAME:acc#11.itm(2)} {FRAME:acc#11.itm(3)} -attr xrf 63648 -attr oid 838 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#10.itm(0)} -attr vt d
+load net {FRAME:acc#10.itm(1)} -attr vt d
+load net {FRAME:acc#10.itm(2)} -attr vt d
+load net {FRAME:acc#10.itm(3)} -attr vt d
+load netBundle {FRAME:acc#10.itm} 4 {FRAME:acc#10.itm(0)} {FRAME:acc#10.itm(1)} {FRAME:acc#10.itm(2)} {FRAME:acc#10.itm(3)} -attr xrf 63649 -attr oid 839 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {conc#997.itm(0)} -attr vt d
+load net {conc#997.itm(1)} -attr vt d
+load net {conc#997.itm(2)} -attr vt d
+load netBundle {conc#997.itm} 3 {conc#997.itm(0)} {conc#997.itm(1)} {conc#997.itm(2)} -attr xrf 63650 -attr oid 840 -attr vt d -attr @path {/sobel/sobel:core/conc#997.itm}
+load net {conc#998.itm(0)} -attr vt d
+load net {conc#998.itm(1)} -attr vt d
+load net {conc#998.itm(2)} -attr vt d
+load net {conc#998.itm(3)} -attr vt d
+load net {conc#998.itm(4)} -attr vt d
+load netBundle {conc#998.itm} 5 {conc#998.itm(0)} {conc#998.itm(1)} {conc#998.itm(2)} {conc#998.itm(3)} {conc#998.itm(4)} -attr xrf 63651 -attr oid 841 -attr vt d -attr @path {/sobel/sobel:core/conc#998.itm}
+load net {slc(acc.imod#24.sva)#1.itm(0)} -attr vt d
+load net {slc(acc.imod#24.sva)#1.itm(1)} -attr vt d
+load net {slc(acc.imod#24.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#24.sva)#1.itm} 3 {slc(acc.imod#24.sva)#1.itm(0)} {slc(acc.imod#24.sva)#1.itm(1)} {slc(acc.imod#24.sva)#1.itm(2)} -attr xrf 63652 -attr oid 842 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#1.itm}
+load net {FRAME:conc#15.itm(0)} -attr vt d
+load net {FRAME:conc#15.itm(1)} -attr vt d
+load net {FRAME:conc#15.itm(2)} -attr vt d
+load net {FRAME:conc#15.itm(3)} -attr vt d
+load netBundle {FRAME:conc#15.itm} 4 {FRAME:conc#15.itm(0)} {FRAME:conc#15.itm(1)} {FRAME:conc#15.itm(2)} {FRAME:conc#15.itm(3)} -attr xrf 63653 -attr oid 843 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(0)} -attr vt d
+load net {FRAME:not#5.itm(1)} -attr vt d
+load net {FRAME:not#5.itm(2)} -attr vt d
+load netBundle {FRAME:not#5.itm} 3 {FRAME:not#5.itm(0)} {FRAME:not#5.itm(1)} {FRAME:not#5.itm(2)} -attr xrf 63654 -attr oid 844 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {slc(acc.imod#24.sva)#2.itm(0)} -attr vt d
+load net {slc(acc.imod#24.sva)#2.itm(1)} -attr vt d
+load net {slc(acc.imod#24.sva)#2.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#24.sva)#2.itm} 3 {slc(acc.imod#24.sva)#2.itm(0)} {slc(acc.imod#24.sva)#2.itm(1)} {slc(acc.imod#24.sva)#2.itm(2)} -attr xrf 63655 -attr oid 845 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#2.itm}
+load net {slc(acc.imod#24.sva)#4.itm(0)} -attr vt d
+load net {slc(acc.imod#24.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(acc.imod#24.sva)#4.itm} 2 {slc(acc.imod#24.sva)#4.itm(0)} {slc(acc.imod#24.sva)#4.itm(1)} -attr xrf 63656 -attr oid 846 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#4.itm}
+load net {FRAME:not#6.itm(0)} -attr vt d
+load net {FRAME:not#6.itm(1)} -attr vt d
+load net {FRAME:not#6.itm(2)} -attr vt d
+load netBundle {FRAME:not#6.itm} 3 {FRAME:not#6.itm(0)} {FRAME:not#6.itm(1)} {FRAME:not#6.itm(2)} -attr xrf 63657 -attr oid 847 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {slc(ACC1:slc.psp.sva)#3.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#3.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#3.itm(2)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#3.itm} 3 {slc(ACC1:slc.psp.sva)#3.itm(0)} {slc(ACC1:slc.psp.sva)#3.itm(1)} {slc(ACC1:slc.psp.sva)#3.itm(2)} -attr xrf 63658 -attr oid 848 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
+load net {exs#103.itm(0)} -attr vt d
+load net {exs#103.itm(1)} -attr vt d
+load net {exs#103.itm(2)} -attr vt d
+load net {exs#103.itm(3)} -attr vt d
+load net {exs#103.itm(4)} -attr vt d
+load net {exs#103.itm(5)} -attr vt d
+load net {exs#103.itm(6)} -attr vt d
+load net {exs#103.itm(7)} -attr vt d
+load net {exs#103.itm(8)} -attr vt d
+load net {exs#103.itm(9)} -attr vt d
+load net {exs#103.itm(10)} -attr vt d
+load netBundle {exs#103.itm} 11 {exs#103.itm(0)} {exs#103.itm(1)} {exs#103.itm(2)} {exs#103.itm(3)} {exs#103.itm(4)} {exs#103.itm(5)} {exs#103.itm(6)} {exs#103.itm(7)} {exs#103.itm(8)} {exs#103.itm(9)} {exs#103.itm(10)} -attr xrf 63659 -attr oid 849 -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {conc#999.itm(0)} -attr vt d
+load net {conc#999.itm(1)} -attr vt d
+load net {conc#999.itm(2)} -attr vt d
+load net {conc#999.itm(3)} -attr vt d
+load net {conc#999.itm(4)} -attr vt d
+load net {conc#999.itm(5)} -attr vt d
+load net {conc#999.itm(6)} -attr vt d
+load net {conc#999.itm(7)} -attr vt d
+load net {conc#999.itm(8)} -attr vt d
+load netBundle {conc#999.itm} 9 {conc#999.itm(0)} {conc#999.itm(1)} {conc#999.itm(2)} {conc#999.itm(3)} {conc#999.itm(4)} {conc#999.itm(5)} {conc#999.itm(6)} {conc#999.itm(7)} {conc#999.itm(8)} -attr xrf 63660 -attr oid 850 -attr vt d -attr @path {/sobel/sobel:core/conc#999.itm}
+load net {FRAME:exs#5.itm(0)} -attr vt d
+load net {FRAME:exs#5.itm(1)} -attr vt d
+load net {FRAME:exs#5.itm(2)} -attr vt d
+load netBundle {FRAME:exs#5.itm} 3 {FRAME:exs#5.itm(0)} {FRAME:exs#5.itm(1)} {FRAME:exs#5.itm(2)} -attr xrf 63661 -attr oid 851 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#5.itm}
+load net {ACC1:acc.itm(0)} -attr vt d
+load net {ACC1:acc.itm(1)} -attr vt d
+load net {ACC1:acc.itm(2)} -attr vt d
+load net {ACC1:acc.itm(3)} -attr vt d
+load net {ACC1:acc.itm(4)} -attr vt d
+load net {ACC1:acc.itm(5)} -attr vt d
+load net {ACC1:acc.itm(6)} -attr vt d
+load net {ACC1:acc.itm(7)} -attr vt d
+load net {ACC1:acc.itm(8)} -attr vt d
+load net {ACC1:acc.itm(9)} -attr vt d
+load net {ACC1:acc.itm(10)} -attr vt d
+load net {ACC1:acc.itm(11)} -attr vt d
+load net {ACC1:acc.itm(12)} -attr vt d
+load net {ACC1:acc.itm(13)} -attr vt d
+load net {ACC1:acc.itm(14)} -attr vt d
+load netBundle {ACC1:acc.itm} 15 {ACC1:acc.itm(0)} {ACC1:acc.itm(1)} {ACC1:acc.itm(2)} {ACC1:acc.itm(3)} {ACC1:acc.itm(4)} {ACC1:acc.itm(5)} {ACC1:acc.itm(6)} {ACC1:acc.itm(7)} {ACC1:acc.itm(8)} {ACC1:acc.itm(9)} {ACC1:acc.itm(10)} {ACC1:acc.itm(11)} {ACC1:acc.itm(12)} {ACC1:acc.itm(13)} {ACC1:acc.itm(14)} -attr xrf 63662 -attr oid 852 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc#664.itm(0)} -attr vt d
+load net {ACC1:acc#664.itm(1)} -attr vt d
+load net {ACC1:acc#664.itm(2)} -attr vt d
+load net {ACC1:acc#664.itm(3)} -attr vt d
+load net {ACC1:acc#664.itm(4)} -attr vt d
+load net {ACC1:acc#664.itm(5)} -attr vt d
+load net {ACC1:acc#664.itm(6)} -attr vt d
+load net {ACC1:acc#664.itm(7)} -attr vt d
+load net {ACC1:acc#664.itm(8)} -attr vt d
+load net {ACC1:acc#664.itm(9)} -attr vt d
+load net {ACC1:acc#664.itm(10)} -attr vt d
+load net {ACC1:acc#664.itm(11)} -attr vt d
+load net {ACC1:acc#664.itm(12)} -attr vt d
+load net {ACC1:acc#664.itm(13)} -attr vt d
+load net {ACC1:acc#664.itm(14)} -attr vt d
+load netBundle {ACC1:acc#664.itm} 15 {ACC1:acc#664.itm(0)} {ACC1:acc#664.itm(1)} {ACC1:acc#664.itm(2)} {ACC1:acc#664.itm(3)} {ACC1:acc#664.itm(4)} {ACC1:acc#664.itm(5)} {ACC1:acc#664.itm(6)} {ACC1:acc#664.itm(7)} {ACC1:acc#664.itm(8)} {ACC1:acc#664.itm(9)} {ACC1:acc#664.itm(10)} {ACC1:acc#664.itm(11)} {ACC1:acc#664.itm(12)} {ACC1:acc#664.itm(13)} {ACC1:acc#664.itm(14)} -attr xrf 63663 -attr oid 853 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#662.itm(0)} -attr vt d
+load net {ACC1:acc#662.itm(1)} -attr vt d
+load net {ACC1:acc#662.itm(2)} -attr vt d
+load net {ACC1:acc#662.itm(3)} -attr vt d
+load net {ACC1:acc#662.itm(4)} -attr vt d
+load net {ACC1:acc#662.itm(5)} -attr vt d
+load net {ACC1:acc#662.itm(6)} -attr vt d
+load net {ACC1:acc#662.itm(7)} -attr vt d
+load net {ACC1:acc#662.itm(8)} -attr vt d
+load net {ACC1:acc#662.itm(9)} -attr vt d
+load net {ACC1:acc#662.itm(10)} -attr vt d
+load net {ACC1:acc#662.itm(11)} -attr vt d
+load net {ACC1:acc#662.itm(12)} -attr vt d
+load net {ACC1:acc#662.itm(13)} -attr vt d
+load netBundle {ACC1:acc#662.itm} 14 {ACC1:acc#662.itm(0)} {ACC1:acc#662.itm(1)} {ACC1:acc#662.itm(2)} {ACC1:acc#662.itm(3)} {ACC1:acc#662.itm(4)} {ACC1:acc#662.itm(5)} {ACC1:acc#662.itm(6)} {ACC1:acc#662.itm(7)} {ACC1:acc#662.itm(8)} {ACC1:acc#662.itm(9)} {ACC1:acc#662.itm(10)} {ACC1:acc#662.itm(11)} {ACC1:acc#662.itm(12)} {ACC1:acc#662.itm(13)} -attr xrf 63664 -attr oid 854 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#663.itm(0)} -attr vt d
+load net {ACC1:acc#663.itm(1)} -attr vt d
+load net {ACC1:acc#663.itm(2)} -attr vt d
+load net {ACC1:acc#663.itm(3)} -attr vt d
+load net {ACC1:acc#663.itm(4)} -attr vt d
+load net {ACC1:acc#663.itm(5)} -attr vt d
+load net {ACC1:acc#663.itm(6)} -attr vt d
+load net {ACC1:acc#663.itm(7)} -attr vt d
+load net {ACC1:acc#663.itm(8)} -attr vt d
+load net {ACC1:acc#663.itm(9)} -attr vt d
+load net {ACC1:acc#663.itm(10)} -attr vt d
+load net {ACC1:acc#663.itm(11)} -attr vt d
+load net {ACC1:acc#663.itm(12)} -attr vt d
+load net {ACC1:acc#663.itm(13)} -attr vt d
+load netBundle {ACC1:acc#663.itm} 14 {ACC1:acc#663.itm(0)} {ACC1:acc#663.itm(1)} {ACC1:acc#663.itm(2)} {ACC1:acc#663.itm(3)} {ACC1:acc#663.itm(4)} {ACC1:acc#663.itm(5)} {ACC1:acc#663.itm(6)} {ACC1:acc#663.itm(7)} {ACC1:acc#663.itm(8)} {ACC1:acc#663.itm(9)} {ACC1:acc#663.itm(10)} {ACC1:acc#663.itm(11)} {ACC1:acc#663.itm(12)} {ACC1:acc#663.itm(13)} -attr xrf 63665 -attr oid 855 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {conc#1001.itm(0)} -attr vt d
+load net {conc#1001.itm(1)} -attr vt d
+load net {conc#1001.itm(2)} -attr vt d
+load net {conc#1001.itm(3)} -attr vt d
+load net {conc#1001.itm(4)} -attr vt d
+load net {conc#1001.itm(5)} -attr vt d
+load net {conc#1001.itm(6)} -attr vt d
+load net {conc#1001.itm(7)} -attr vt d
+load net {conc#1001.itm(8)} -attr vt d
+load net {conc#1001.itm(9)} -attr vt d
+load net {conc#1001.itm(10)} -attr vt d
+load net {conc#1001.itm(11)} -attr vt d
+load net {conc#1001.itm(12)} -attr vt d
+load net {conc#1001.itm(13)} -attr vt d
+load netBundle {conc#1001.itm} 14 {conc#1001.itm(0)} {conc#1001.itm(1)} {conc#1001.itm(2)} {conc#1001.itm(3)} {conc#1001.itm(4)} {conc#1001.itm(5)} {conc#1001.itm(6)} {conc#1001.itm(7)} {conc#1001.itm(8)} {conc#1001.itm(9)} {conc#1001.itm(10)} {conc#1001.itm(11)} {conc#1001.itm(12)} {conc#1001.itm(13)} -attr xrf 63666 -attr oid 856 -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:acc#660.itm(0)} -attr vt d
+load net {ACC1:acc#660.itm(1)} -attr vt d
+load net {ACC1:acc#660.itm(2)} -attr vt d
+load net {ACC1:acc#660.itm(3)} -attr vt d
+load net {ACC1:acc#660.itm(4)} -attr vt d
+load net {ACC1:acc#660.itm(5)} -attr vt d
+load net {ACC1:acc#660.itm(6)} -attr vt d
+load net {ACC1:acc#660.itm(7)} -attr vt d
+load net {ACC1:acc#660.itm(8)} -attr vt d
+load net {ACC1:acc#660.itm(9)} -attr vt d
+load net {ACC1:acc#660.itm(10)} -attr vt d
+load net {ACC1:acc#660.itm(11)} -attr vt d
+load net {ACC1:acc#660.itm(12)} -attr vt d
+load netBundle {ACC1:acc#660.itm} 13 {ACC1:acc#660.itm(0)} {ACC1:acc#660.itm(1)} {ACC1:acc#660.itm(2)} {ACC1:acc#660.itm(3)} {ACC1:acc#660.itm(4)} {ACC1:acc#660.itm(5)} {ACC1:acc#660.itm(6)} {ACC1:acc#660.itm(7)} {ACC1:acc#660.itm(8)} {ACC1:acc#660.itm(9)} {ACC1:acc#660.itm(10)} {ACC1:acc#660.itm(11)} {ACC1:acc#660.itm(12)} -attr xrf 63667 -attr oid 857 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#656.itm(0)} -attr vt d
+load net {ACC1:acc#656.itm(1)} -attr vt d
+load net {ACC1:acc#656.itm(2)} -attr vt d
+load net {ACC1:acc#656.itm(3)} -attr vt d
+load net {ACC1:acc#656.itm(4)} -attr vt d
+load net {ACC1:acc#656.itm(5)} -attr vt d
+load net {ACC1:acc#656.itm(6)} -attr vt d
+load net {ACC1:acc#656.itm(7)} -attr vt d
+load net {ACC1:acc#656.itm(8)} -attr vt d
+load net {ACC1:acc#656.itm(9)} -attr vt d
+load net {ACC1:acc#656.itm(10)} -attr vt d
+load net {ACC1:acc#656.itm(11)} -attr vt d
+load netBundle {ACC1:acc#656.itm} 12 {ACC1:acc#656.itm(0)} {ACC1:acc#656.itm(1)} {ACC1:acc#656.itm(2)} {ACC1:acc#656.itm(3)} {ACC1:acc#656.itm(4)} {ACC1:acc#656.itm(5)} {ACC1:acc#656.itm(6)} {ACC1:acc#656.itm(7)} {ACC1:acc#656.itm(8)} {ACC1:acc#656.itm(9)} {ACC1:acc#656.itm(10)} {ACC1:acc#656.itm(11)} -attr xrf 63668 -attr oid 858 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {conc#1002.itm(0)} -attr vt d
+load net {conc#1002.itm(1)} -attr vt d
+load net {conc#1002.itm(2)} -attr vt d
+load net {conc#1002.itm(3)} -attr vt d
+load net {conc#1002.itm(4)} -attr vt d
+load net {conc#1002.itm(5)} -attr vt d
+load net {conc#1002.itm(6)} -attr vt d
+load net {conc#1002.itm(7)} -attr vt d
+load net {conc#1002.itm(8)} -attr vt d
+load net {conc#1002.itm(9)} -attr vt d
+load net {conc#1002.itm(10)} -attr vt d
+load net {conc#1002.itm(11)} -attr vt d
+load netBundle {conc#1002.itm} 12 {conc#1002.itm(0)} {conc#1002.itm(1)} {conc#1002.itm(2)} {conc#1002.itm(3)} {conc#1002.itm(4)} {conc#1002.itm(5)} {conc#1002.itm(6)} {conc#1002.itm(7)} {conc#1002.itm(8)} {conc#1002.itm(9)} {conc#1002.itm(10)} {conc#1002.itm(11)} -attr xrf 63669 -attr oid 859 -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {ACC1-3:exs#1074.itm(0)} -attr vt d
+load net {ACC1-3:exs#1074.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1074.itm} 2 {ACC1-3:exs#1074.itm(0)} {ACC1-3:exs#1074.itm(1)} -attr xrf 63670 -attr oid 860 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1074.itm}
+load net {FRAME:acc#9.itm(0)} -attr vt d
+load net {FRAME:acc#9.itm(1)} -attr vt d
+load net {FRAME:acc#9.itm(2)} -attr vt d
+load net {FRAME:acc#9.itm(3)} -attr vt d
+load net {FRAME:acc#9.itm(4)} -attr vt d
+load net {FRAME:acc#9.itm(5)} -attr vt d
+load netBundle {FRAME:acc#9.itm} 6 {FRAME:acc#9.itm(0)} {FRAME:acc#9.itm(1)} {FRAME:acc#9.itm(2)} {FRAME:acc#9.itm(3)} {FRAME:acc#9.itm(4)} {FRAME:acc#9.itm(5)} -attr xrf 63671 -attr oid 861 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#8.itm(0)} -attr vt d
+load net {FRAME:acc#8.itm(1)} -attr vt d
+load net {FRAME:acc#8.itm(2)} -attr vt d
+load net {FRAME:acc#8.itm(3)} -attr vt d
+load net {FRAME:acc#8.itm(4)} -attr vt d
+load netBundle {FRAME:acc#8.itm} 5 {FRAME:acc#8.itm(0)} {FRAME:acc#8.itm(1)} {FRAME:acc#8.itm(2)} {FRAME:acc#8.itm(3)} {FRAME:acc#8.itm(4)} -attr xrf 63672 -attr oid 862 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#6.itm(0)} -attr vt d
+load net {FRAME:acc#6.itm(1)} -attr vt d
+load net {FRAME:acc#6.itm(2)} -attr vt d
+load net {FRAME:acc#6.itm(3)} -attr vt d
+load netBundle {FRAME:acc#6.itm} 4 {FRAME:acc#6.itm(0)} {FRAME:acc#6.itm(1)} {FRAME:acc#6.itm(2)} {FRAME:acc#6.itm(3)} -attr xrf 63673 -attr oid 863 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {slc(ACC1:slc.psp.sva)#5.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#5.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#5.itm(2)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#5.itm} 3 {slc(ACC1:slc.psp.sva)#5.itm(0)} {slc(ACC1:slc.psp.sva)#5.itm(1)} {slc(ACC1:slc.psp.sva)#5.itm(2)} -attr xrf 63674 -attr oid 864 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#5.itm}
+load net {FRAME:not#2.itm(0)} -attr vt d
+load net {FRAME:not#2.itm(1)} -attr vt d
+load net {FRAME:not#2.itm(2)} -attr vt d
+load netBundle {FRAME:not#2.itm} 3 {FRAME:not#2.itm(0)} {FRAME:not#2.itm(1)} {FRAME:not#2.itm(2)} -attr xrf 63675 -attr oid 865 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {slc(ACC1:slc.psp.sva)#6.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#6.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#6.itm(2)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#6.itm} 3 {slc(ACC1:slc.psp.sva)#6.itm(0)} {slc(ACC1:slc.psp.sva)#6.itm(1)} {slc(ACC1:slc.psp.sva)#6.itm(2)} -attr xrf 63676 -attr oid 866 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#6.itm}
+load net {FRAME:acc#5.itm(0)} -attr vt d
+load net {FRAME:acc#5.itm(1)} -attr vt d
+load net {FRAME:acc#5.itm(2)} -attr vt d
+load net {FRAME:acc#5.itm(3)} -attr vt d
+load netBundle {FRAME:acc#5.itm} 4 {FRAME:acc#5.itm(0)} {FRAME:acc#5.itm(1)} {FRAME:acc#5.itm(2)} {FRAME:acc#5.itm(3)} -attr xrf 63677 -attr oid 867 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {conc#1003.itm(0)} -attr vt d
+load net {conc#1003.itm(1)} -attr vt d
+load net {conc#1003.itm(2)} -attr vt d
+load netBundle {conc#1003.itm} 3 {conc#1003.itm(0)} {conc#1003.itm(1)} {conc#1003.itm(2)} -attr xrf 63678 -attr oid 868 -attr vt d -attr @path {/sobel/sobel:core/conc#1003.itm}
+load net {slc(ACC1:slc.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva).itm} 2 {slc(ACC1:slc.psp.sva).itm(0)} {slc(ACC1:slc.psp.sva).itm(1)} -attr xrf 63679 -attr oid 869 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva).itm}
+load net {FRAME:acc#7.itm(0)} -attr vt d
+load net {FRAME:acc#7.itm(1)} -attr vt d
+load net {FRAME:acc#7.itm(2)} -attr vt d
+load net {FRAME:acc#7.itm(3)} -attr vt d
+load netBundle {FRAME:acc#7.itm} 4 {FRAME:acc#7.itm(0)} {FRAME:acc#7.itm(1)} {FRAME:acc#7.itm(2)} {FRAME:acc#7.itm(3)} -attr xrf 63680 -attr oid 870 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {slc(ACC1:slc.psp.sva)#7.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#7.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#7.itm(2)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#7.itm} 3 {slc(ACC1:slc.psp.sva)#7.itm(0)} {slc(ACC1:slc.psp.sva)#7.itm(1)} {slc(ACC1:slc.psp.sva)#7.itm(2)} -attr xrf 63681 -attr oid 871 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#7.itm}
+load net {FRAME:not#1.itm(0)} -attr vt d
+load net {FRAME:not#1.itm(1)} -attr vt d
+load net {FRAME:not#1.itm(2)} -attr vt d
+load netBundle {FRAME:not#1.itm} 3 {FRAME:not#1.itm(0)} {FRAME:not#1.itm(1)} {FRAME:not#1.itm(2)} -attr xrf 63682 -attr oid 872 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {slc(ACC1:slc.psp.sva)#8.itm(0)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#8.itm(1)} -attr vt d
+load net {slc(ACC1:slc.psp.sva)#8.itm(2)} -attr vt d
+load netBundle {slc(ACC1:slc.psp.sva)#8.itm} 3 {slc(ACC1:slc.psp.sva)#8.itm(0)} {slc(ACC1:slc.psp.sva)#8.itm(1)} {slc(ACC1:slc.psp.sva)#8.itm(2)} -attr xrf 63683 -attr oid 873 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
+load net {ACC1:acc#416.itm(0)} -attr vt d
+load net {ACC1:acc#416.itm(1)} -attr vt d
+load net {ACC1:acc#416.itm(2)} -attr vt d
+load net {ACC1:acc#416.itm(3)} -attr vt d
+load net {ACC1:acc#416.itm(4)} -attr vt d
+load net {ACC1:acc#416.itm(5)} -attr vt d
+load net {ACC1:acc#416.itm(6)} -attr vt d
+load net {ACC1:acc#416.itm(7)} -attr vt d
+load net {ACC1:acc#416.itm(8)} -attr vt d
+load net {ACC1:acc#416.itm(9)} -attr vt d
+load net {ACC1:acc#416.itm(10)} -attr vt d
+load netBundle {ACC1:acc#416.itm} 11 {ACC1:acc#416.itm(0)} {ACC1:acc#416.itm(1)} {ACC1:acc#416.itm(2)} {ACC1:acc#416.itm(3)} {ACC1:acc#416.itm(4)} {ACC1:acc#416.itm(5)} {ACC1:acc#416.itm(6)} {ACC1:acc#416.itm(7)} {ACC1:acc#416.itm(8)} {ACC1:acc#416.itm(9)} {ACC1:acc#416.itm(10)} -attr xrf 63684 -attr oid 874 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#370.itm(0)} -attr vt d
+load net {ACC1:acc#370.itm(1)} -attr vt d
+load net {ACC1:acc#370.itm(2)} -attr vt d
+load net {ACC1:acc#370.itm(3)} -attr vt d
+load net {ACC1:acc#370.itm(4)} -attr vt d
+load net {ACC1:acc#370.itm(5)} -attr vt d
+load net {ACC1:acc#370.itm(6)} -attr vt d
+load net {ACC1:acc#370.itm(7)} -attr vt d
+load net {ACC1:acc#370.itm(8)} -attr vt d
+load net {ACC1:acc#370.itm(9)} -attr vt d
+load net {ACC1:acc#370.itm(10)} -attr vt d
+load netBundle {ACC1:acc#370.itm} 11 {ACC1:acc#370.itm(0)} {ACC1:acc#370.itm(1)} {ACC1:acc#370.itm(2)} {ACC1:acc#370.itm(3)} {ACC1:acc#370.itm(4)} {ACC1:acc#370.itm(5)} {ACC1:acc#370.itm(6)} {ACC1:acc#370.itm(7)} {ACC1:acc#370.itm(8)} {ACC1:acc#370.itm(9)} {ACC1:acc#370.itm(10)} -attr xrf 63685 -attr oid 875 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:not#309.itm(0)} -attr vt d
+load net {ACC1:not#309.itm(1)} -attr vt d
+load net {ACC1:not#309.itm(2)} -attr vt d
+load net {ACC1:not#309.itm(3)} -attr vt d
+load net {ACC1:not#309.itm(4)} -attr vt d
+load net {ACC1:not#309.itm(5)} -attr vt d
+load net {ACC1:not#309.itm(6)} -attr vt d
+load net {ACC1:not#309.itm(7)} -attr vt d
+load net {ACC1:not#309.itm(8)} -attr vt d
+load net {ACC1:not#309.itm(9)} -attr vt d
+load netBundle {ACC1:not#309.itm} 10 {ACC1:not#309.itm(0)} {ACC1:not#309.itm(1)} {ACC1:not#309.itm(2)} {ACC1:not#309.itm(3)} {ACC1:not#309.itm(4)} {ACC1:not#309.itm(5)} {ACC1:not#309.itm(6)} {ACC1:not#309.itm(7)} {ACC1:not#309.itm(8)} {ACC1:not#309.itm(9)} -attr xrf 63686 -attr oid 876 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {slc(regs.regs(0).sva)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#3.itm} 10 {slc(regs.regs(0).sva)#3.itm(0)} {slc(regs.regs(0).sva)#3.itm(1)} {slc(regs.regs(0).sva)#3.itm(2)} {slc(regs.regs(0).sva)#3.itm(3)} {slc(regs.regs(0).sva)#3.itm(4)} {slc(regs.regs(0).sva)#3.itm(5)} {slc(regs.regs(0).sva)#3.itm(6)} {slc(regs.regs(0).sva)#3.itm(7)} {slc(regs.regs(0).sva)#3.itm(8)} {slc(regs.regs(0).sva)#3.itm(9)} -attr xrf 63687 -attr oid 877 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {ACC1:not#310.itm(0)} -attr vt d
+load net {ACC1:not#310.itm(1)} -attr vt d
+load net {ACC1:not#310.itm(2)} -attr vt d
+load net {ACC1:not#310.itm(3)} -attr vt d
+load net {ACC1:not#310.itm(4)} -attr vt d
+load net {ACC1:not#310.itm(5)} -attr vt d
+load net {ACC1:not#310.itm(6)} -attr vt d
+load net {ACC1:not#310.itm(7)} -attr vt d
+load net {ACC1:not#310.itm(8)} -attr vt d
+load net {ACC1:not#310.itm(9)} -attr vt d
+load netBundle {ACC1:not#310.itm} 10 {ACC1:not#310.itm(0)} {ACC1:not#310.itm(1)} {ACC1:not#310.itm(2)} {ACC1:not#310.itm(3)} {ACC1:not#310.itm(4)} {ACC1:not#310.itm(5)} {ACC1:not#310.itm(6)} {ACC1:not#310.itm(7)} {ACC1:not#310.itm(8)} {ACC1:not#310.itm(9)} -attr xrf 63688 -attr oid 878 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {slc(regs.regs(0).sva)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#4.itm} 10 {slc(regs.regs(0).sva)#4.itm(0)} {slc(regs.regs(0).sva)#4.itm(1)} {slc(regs.regs(0).sva)#4.itm(2)} {slc(regs.regs(0).sva)#4.itm(3)} {slc(regs.regs(0).sva)#4.itm(4)} {slc(regs.regs(0).sva)#4.itm(5)} {slc(regs.regs(0).sva)#4.itm(6)} {slc(regs.regs(0).sva)#4.itm(7)} {slc(regs.regs(0).sva)#4.itm(8)} {slc(regs.regs(0).sva)#4.itm(9)} -attr xrf 63689 -attr oid 879 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {ACC1:acc#369.itm(0)} -attr vt d
+load net {ACC1:acc#369.itm(1)} -attr vt d
+load net {ACC1:acc#369.itm(2)} -attr vt d
+load net {ACC1:acc#369.itm(3)} -attr vt d
+load net {ACC1:acc#369.itm(4)} -attr vt d
+load net {ACC1:acc#369.itm(5)} -attr vt d
+load net {ACC1:acc#369.itm(6)} -attr vt d
+load net {ACC1:acc#369.itm(7)} -attr vt d
+load net {ACC1:acc#369.itm(8)} -attr vt d
+load net {ACC1:acc#369.itm(9)} -attr vt d
+load net {ACC1:acc#369.itm(10)} -attr vt d
+load netBundle {ACC1:acc#369.itm} 11 {ACC1:acc#369.itm(0)} {ACC1:acc#369.itm(1)} {ACC1:acc#369.itm(2)} {ACC1:acc#369.itm(3)} {ACC1:acc#369.itm(4)} {ACC1:acc#369.itm(5)} {ACC1:acc#369.itm(6)} {ACC1:acc#369.itm(7)} {ACC1:acc#369.itm(8)} {ACC1:acc#369.itm(9)} {ACC1:acc#369.itm(10)} -attr xrf 63690 -attr oid 880 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:not#311.itm(0)} -attr vt d
+load net {ACC1:not#311.itm(1)} -attr vt d
+load net {ACC1:not#311.itm(2)} -attr vt d
+load net {ACC1:not#311.itm(3)} -attr vt d
+load net {ACC1:not#311.itm(4)} -attr vt d
+load net {ACC1:not#311.itm(5)} -attr vt d
+load net {ACC1:not#311.itm(6)} -attr vt d
+load net {ACC1:not#311.itm(7)} -attr vt d
+load net {ACC1:not#311.itm(8)} -attr vt d
+load net {ACC1:not#311.itm(9)} -attr vt d
+load netBundle {ACC1:not#311.itm} 10 {ACC1:not#311.itm(0)} {ACC1:not#311.itm(1)} {ACC1:not#311.itm(2)} {ACC1:not#311.itm(3)} {ACC1:not#311.itm(4)} {ACC1:not#311.itm(5)} {ACC1:not#311.itm(6)} {ACC1:not#311.itm(7)} {ACC1:not#311.itm(8)} {ACC1:not#311.itm(9)} -attr xrf 63691 -attr oid 881 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {slc(regs.regs(0).sva)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#5.itm} 10 {slc(regs.regs(0).sva)#5.itm(0)} {slc(regs.regs(0).sva)#5.itm(1)} {slc(regs.regs(0).sva)#5.itm(2)} {slc(regs.regs(0).sva)#5.itm(3)} {slc(regs.regs(0).sva)#5.itm(4)} {slc(regs.regs(0).sva)#5.itm(5)} {slc(regs.regs(0).sva)#5.itm(6)} {slc(regs.regs(0).sva)#5.itm(7)} {slc(regs.regs(0).sva)#5.itm(8)} {slc(regs.regs(0).sva)#5.itm(9)} -attr xrf 63692 -attr oid 882 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {ACC1:acc#360.itm(0)} -attr vt d
+load net {ACC1:acc#360.itm(1)} -attr vt d
+load net {ACC1:acc#360.itm(2)} -attr vt d
+load net {ACC1:acc#360.itm(3)} -attr vt d
+load net {ACC1:acc#360.itm(4)} -attr vt d
+load net {ACC1:acc#360.itm(5)} -attr vt d
+load net {ACC1:acc#360.itm(6)} -attr vt d
+load net {ACC1:acc#360.itm(7)} -attr vt d
+load net {ACC1:acc#360.itm(8)} -attr vt d
+load net {ACC1:acc#360.itm(9)} -attr vt d
+load net {ACC1:acc#360.itm(10)} -attr vt d
+load netBundle {ACC1:acc#360.itm} 11 {ACC1:acc#360.itm(0)} {ACC1:acc#360.itm(1)} {ACC1:acc#360.itm(2)} {ACC1:acc#360.itm(3)} {ACC1:acc#360.itm(4)} {ACC1:acc#360.itm(5)} {ACC1:acc#360.itm(6)} {ACC1:acc#360.itm(7)} {ACC1:acc#360.itm(8)} {ACC1:acc#360.itm(9)} {ACC1:acc#360.itm(10)} -attr xrf 63693 -attr oid 883 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {slc(regs.regs(0).sva#1)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#1)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#1)#1.itm} 10 {slc(regs.regs(0).sva#1)#1.itm(0)} {slc(regs.regs(0).sva#1)#1.itm(1)} {slc(regs.regs(0).sva#1)#1.itm(2)} {slc(regs.regs(0).sva#1)#1.itm(3)} {slc(regs.regs(0).sva#1)#1.itm(4)} {slc(regs.regs(0).sva#1)#1.itm(5)} {slc(regs.regs(0).sva#1)#1.itm(6)} {slc(regs.regs(0).sva#1)#1.itm(7)} {slc(regs.regs(0).sva#1)#1.itm(8)} {slc(regs.regs(0).sva#1)#1.itm(9)} -attr xrf 63694 -attr oid 884 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {slc(regs.regs(0).sva#2)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#2)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#2)#1.itm} 10 {slc(regs.regs(0).sva#2)#1.itm(0)} {slc(regs.regs(0).sva#2)#1.itm(1)} {slc(regs.regs(0).sva#2)#1.itm(2)} {slc(regs.regs(0).sva#2)#1.itm(3)} {slc(regs.regs(0).sva#2)#1.itm(4)} {slc(regs.regs(0).sva#2)#1.itm(5)} {slc(regs.regs(0).sva#2)#1.itm(6)} {slc(regs.regs(0).sva#2)#1.itm(7)} {slc(regs.regs(0).sva#2)#1.itm(8)} {slc(regs.regs(0).sva#2)#1.itm(9)} -attr xrf 63695 -attr oid 885 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {slc(regs.regs(0).sva#3)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#3)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#3)#1.itm} 10 {slc(regs.regs(0).sva#3)#1.itm(0)} {slc(regs.regs(0).sva#3)#1.itm(1)} {slc(regs.regs(0).sva#3)#1.itm(2)} {slc(regs.regs(0).sva#3)#1.itm(3)} {slc(regs.regs(0).sva#3)#1.itm(4)} {slc(regs.regs(0).sva#3)#1.itm(5)} {slc(regs.regs(0).sva#3)#1.itm(6)} {slc(regs.regs(0).sva#3)#1.itm(7)} {slc(regs.regs(0).sva#3)#1.itm(8)} {slc(regs.regs(0).sva#3)#1.itm(9)} -attr xrf 63696 -attr oid 886 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {ACC1-3:exs#1059.itm(0)} -attr vt d
+load net {ACC1-3:exs#1059.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1059.itm} 2 {ACC1-3:exs#1059.itm(0)} {ACC1-3:exs#1059.itm(1)} -attr xrf 63697 -attr oid 887 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1059.itm}
+load net {ACC1-3:exs#1047.itm(0)} -attr vt d
+load net {ACC1-3:exs#1047.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1047.itm} 2 {ACC1-3:exs#1047.itm(0)} {ACC1-3:exs#1047.itm(1)} -attr xrf 63698 -attr oid 888 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1047.itm}
+load net {ACC1:acc#398.itm(0)} -attr vt d
+load net {ACC1:acc#398.itm(1)} -attr vt d
+load net {ACC1:acc#398.itm(2)} -attr vt d
+load net {ACC1:acc#398.itm(3)} -attr vt d
+load net {ACC1:acc#398.itm(4)} -attr vt d
+load net {ACC1:acc#398.itm(5)} -attr vt d
+load net {ACC1:acc#398.itm(6)} -attr vt d
+load net {ACC1:acc#398.itm(7)} -attr vt d
+load net {ACC1:acc#398.itm(8)} -attr vt d
+load net {ACC1:acc#398.itm(9)} -attr vt d
+load net {ACC1:acc#398.itm(10)} -attr vt d
+load netBundle {ACC1:acc#398.itm} 11 {ACC1:acc#398.itm(0)} {ACC1:acc#398.itm(1)} {ACC1:acc#398.itm(2)} {ACC1:acc#398.itm(3)} {ACC1:acc#398.itm(4)} {ACC1:acc#398.itm(5)} {ACC1:acc#398.itm(6)} {ACC1:acc#398.itm(7)} {ACC1:acc#398.itm(8)} {ACC1:acc#398.itm(9)} {ACC1:acc#398.itm(10)} -attr xrf 63699 -attr oid 889 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {regs.operator[]:not#5.itm(0)} -attr vt d
+load net {regs.operator[]:not#5.itm(1)} -attr vt d
+load net {regs.operator[]:not#5.itm(2)} -attr vt d
+load net {regs.operator[]:not#5.itm(3)} -attr vt d
+load net {regs.operator[]:not#5.itm(4)} -attr vt d
+load net {regs.operator[]:not#5.itm(5)} -attr vt d
+load net {regs.operator[]:not#5.itm(6)} -attr vt d
+load net {regs.operator[]:not#5.itm(7)} -attr vt d
+load net {regs.operator[]:not#5.itm(8)} -attr vt d
+load net {regs.operator[]:not#5.itm(9)} -attr vt d
+load netBundle {regs.operator[]:not#5.itm} 10 {regs.operator[]:not#5.itm(0)} {regs.operator[]:not#5.itm(1)} {regs.operator[]:not#5.itm(2)} {regs.operator[]:not#5.itm(3)} {regs.operator[]:not#5.itm(4)} {regs.operator[]:not#5.itm(5)} {regs.operator[]:not#5.itm(6)} {regs.operator[]:not#5.itm(7)} {regs.operator[]:not#5.itm(8)} {regs.operator[]:not#5.itm(9)} -attr xrf 63700 -attr oid 890 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(0)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(1)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(2)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(3)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(4)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(5)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(6)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(7)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(8)} -attr vt d
+load net {regs.operator[]#1:not#5.itm(9)} -attr vt d
+load netBundle {regs.operator[]#1:not#5.itm} 10 {regs.operator[]#1:not#5.itm(0)} {regs.operator[]#1:not#5.itm(1)} {regs.operator[]#1:not#5.itm(2)} {regs.operator[]#1:not#5.itm(3)} {regs.operator[]#1:not#5.itm(4)} {regs.operator[]#1:not#5.itm(5)} {regs.operator[]#1:not#5.itm(6)} {regs.operator[]#1:not#5.itm(7)} {regs.operator[]#1:not#5.itm(8)} {regs.operator[]#1:not#5.itm(9)} -attr xrf 63701 -attr oid 891 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {ACC1:acc#397.itm(0)} -attr vt d
+load net {ACC1:acc#397.itm(1)} -attr vt d
+load net {ACC1:acc#397.itm(2)} -attr vt d
+load net {ACC1:acc#397.itm(3)} -attr vt d
+load net {ACC1:acc#397.itm(4)} -attr vt d
+load net {ACC1:acc#397.itm(5)} -attr vt d
+load net {ACC1:acc#397.itm(6)} -attr vt d
+load net {ACC1:acc#397.itm(7)} -attr vt d
+load net {ACC1:acc#397.itm(8)} -attr vt d
+load net {ACC1:acc#397.itm(9)} -attr vt d
+load net {ACC1:acc#397.itm(10)} -attr vt d
+load netBundle {ACC1:acc#397.itm} 11 {ACC1:acc#397.itm(0)} {ACC1:acc#397.itm(1)} {ACC1:acc#397.itm(2)} {ACC1:acc#397.itm(3)} {ACC1:acc#397.itm(4)} {ACC1:acc#397.itm(5)} {ACC1:acc#397.itm(6)} {ACC1:acc#397.itm(7)} {ACC1:acc#397.itm(8)} {ACC1:acc#397.itm(9)} {ACC1:acc#397.itm(10)} -attr xrf 63702 -attr oid 892 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {regs.operator[]#2:not#5.itm(0)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(1)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(2)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(3)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(4)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(5)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(6)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(7)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(8)} -attr vt d
+load net {regs.operator[]#2:not#5.itm(9)} -attr vt d
+load netBundle {regs.operator[]#2:not#5.itm} 10 {regs.operator[]#2:not#5.itm(0)} {regs.operator[]#2:not#5.itm(1)} {regs.operator[]#2:not#5.itm(2)} {regs.operator[]#2:not#5.itm(3)} {regs.operator[]#2:not#5.itm(4)} {regs.operator[]#2:not#5.itm(5)} {regs.operator[]#2:not#5.itm(6)} {regs.operator[]#2:not#5.itm(7)} {regs.operator[]#2:not#5.itm(8)} {regs.operator[]#2:not#5.itm(9)} -attr xrf 63703 -attr oid 893 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {ACC1-3:exs#1056.itm(0)} -attr vt d
+load net {ACC1-3:exs#1056.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1056.itm} 2 {ACC1-3:exs#1056.itm(0)} {ACC1-3:exs#1056.itm(1)} -attr xrf 63704 -attr oid 894 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1056.itm}
+load net {ACC1-3:exs#1043.itm(0)} -attr vt d
+load net {ACC1-3:exs#1043.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1043.itm} 2 {ACC1-3:exs#1043.itm(0)} {ACC1-3:exs#1043.itm(1)} -attr xrf 63705 -attr oid 895 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1043.itm}
+load net {ACC1-3:exs#963.itm(0)} -attr vt d
+load net {ACC1-3:exs#963.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#963.itm} 2 {ACC1-3:exs#963.itm(0)} {ACC1-3:exs#963.itm(1)} -attr xrf 63706 -attr oid 896 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#963.itm}
+load net {ACC1-3:exs#1055.itm(0)} -attr vt d
+load net {ACC1-3:exs#1055.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#1055.itm} 2 {ACC1-3:exs#1055.itm(0)} {ACC1-3:exs#1055.itm(1)} -attr xrf 63707 -attr oid 897 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1055.itm}
+load net {ACC1-2:exs#1059.itm(0)} -attr vt d
+load net {ACC1-2:exs#1059.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1059.itm} 2 {ACC1-2:exs#1059.itm(0)} {ACC1-2:exs#1059.itm(1)} -attr xrf 63708 -attr oid 898 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1059.itm}
+load net {ACC1-2:exs#1047.itm(0)} -attr vt d
+load net {ACC1-2:exs#1047.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1047.itm} 2 {ACC1-2:exs#1047.itm(0)} {ACC1-2:exs#1047.itm(1)} -attr xrf 63709 -attr oid 899 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1047.itm}
+load net {ACC1:acc#379.itm(0)} -attr vt d
+load net {ACC1:acc#379.itm(1)} -attr vt d
+load net {ACC1:acc#379.itm(2)} -attr vt d
+load net {ACC1:acc#379.itm(3)} -attr vt d
+load net {ACC1:acc#379.itm(4)} -attr vt d
+load net {ACC1:acc#379.itm(5)} -attr vt d
+load net {ACC1:acc#379.itm(6)} -attr vt d
+load net {ACC1:acc#379.itm(7)} -attr vt d
+load net {ACC1:acc#379.itm(8)} -attr vt d
+load net {ACC1:acc#379.itm(9)} -attr vt d
+load net {ACC1:acc#379.itm(10)} -attr vt d
+load netBundle {ACC1:acc#379.itm} 11 {ACC1:acc#379.itm(0)} {ACC1:acc#379.itm(1)} {ACC1:acc#379.itm(2)} {ACC1:acc#379.itm(3)} {ACC1:acc#379.itm(4)} {ACC1:acc#379.itm(5)} {ACC1:acc#379.itm(6)} {ACC1:acc#379.itm(7)} {ACC1:acc#379.itm(8)} {ACC1:acc#379.itm(9)} {ACC1:acc#379.itm(10)} -attr xrf 63710 -attr oid 900 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {slc(regs.regs(0).sva).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva).itm} 10 {slc(regs.regs(0).sva).itm(0)} {slc(regs.regs(0).sva).itm(1)} {slc(regs.regs(0).sva).itm(2)} {slc(regs.regs(0).sva).itm(3)} {slc(regs.regs(0).sva).itm(4)} {slc(regs.regs(0).sva).itm(5)} {slc(regs.regs(0).sva).itm(6)} {slc(regs.regs(0).sva).itm(7)} {slc(regs.regs(0).sva).itm(8)} {slc(regs.regs(0).sva).itm(9)} -attr xrf 63711 -attr oid 901 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {slc(regs.regs(0).sva)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#1.itm} 10 {slc(regs.regs(0).sva)#1.itm(0)} {slc(regs.regs(0).sva)#1.itm(1)} {slc(regs.regs(0).sva)#1.itm(2)} {slc(regs.regs(0).sva)#1.itm(3)} {slc(regs.regs(0).sva)#1.itm(4)} {slc(regs.regs(0).sva)#1.itm(5)} {slc(regs.regs(0).sva)#1.itm(6)} {slc(regs.regs(0).sva)#1.itm(7)} {slc(regs.regs(0).sva)#1.itm(8)} {slc(regs.regs(0).sva)#1.itm(9)} -attr xrf 63712 -attr oid 902 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {slc(regs.regs(0).sva)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#2.itm} 10 {slc(regs.regs(0).sva)#2.itm(0)} {slc(regs.regs(0).sva)#2.itm(1)} {slc(regs.regs(0).sva)#2.itm(2)} {slc(regs.regs(0).sva)#2.itm(3)} {slc(regs.regs(0).sva)#2.itm(4)} {slc(regs.regs(0).sva)#2.itm(5)} {slc(regs.regs(0).sva)#2.itm(6)} {slc(regs.regs(0).sva)#2.itm(7)} {slc(regs.regs(0).sva)#2.itm(8)} {slc(regs.regs(0).sva)#2.itm(9)} -attr xrf 63713 -attr oid 903 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {ACC1:acc#388.itm(0)} -attr vt d
+load net {ACC1:acc#388.itm(1)} -attr vt d
+load net {ACC1:acc#388.itm(2)} -attr vt d
+load net {ACC1:acc#388.itm(3)} -attr vt d
+load net {ACC1:acc#388.itm(4)} -attr vt d
+load net {ACC1:acc#388.itm(5)} -attr vt d
+load net {ACC1:acc#388.itm(6)} -attr vt d
+load net {ACC1:acc#388.itm(7)} -attr vt d
+load net {ACC1:acc#388.itm(8)} -attr vt d
+load net {ACC1:acc#388.itm(9)} -attr vt d
+load net {ACC1:acc#388.itm(10)} -attr vt d
+load netBundle {ACC1:acc#388.itm} 11 {ACC1:acc#388.itm(0)} {ACC1:acc#388.itm(1)} {ACC1:acc#388.itm(2)} {ACC1:acc#388.itm(3)} {ACC1:acc#388.itm(4)} {ACC1:acc#388.itm(5)} {ACC1:acc#388.itm(6)} {ACC1:acc#388.itm(7)} {ACC1:acc#388.itm(8)} {ACC1:acc#388.itm(9)} {ACC1:acc#388.itm(10)} -attr xrf 63714 -attr oid 904 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#338.itm(0)} -attr vt d
+load net {ACC1:acc#338.itm(1)} -attr vt d
+load net {ACC1:acc#338.itm(2)} -attr vt d
+load net {ACC1:acc#338.itm(3)} -attr vt d
+load netBundle {ACC1:acc#338.itm} 4 {ACC1:acc#338.itm(0)} {ACC1:acc#338.itm(1)} {ACC1:acc#338.itm(2)} {ACC1:acc#338.itm(3)} -attr xrf 63715 -attr oid 905 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {conc#1004.itm(0)} -attr vt d
+load net {conc#1004.itm(1)} -attr vt d
+load net {conc#1004.itm(2)} -attr vt d
+load netBundle {conc#1004.itm} 3 {conc#1004.itm(0)} {conc#1004.itm(1)} {conc#1004.itm(2)} -attr xrf 63716 -attr oid 906 -attr vt d -attr @path {/sobel/sobel:core/conc#1004.itm}
+load net {ACC1-1:not#293.itm(0)} -attr vt d
+load net {ACC1-1:not#293.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#293.itm} 2 {ACC1-1:not#293.itm(0)} {ACC1-1:not#293.itm(1)} -attr xrf 63717 -attr oid 907 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#293.itm}
+load net {slc(ACC1:acc#220.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#220.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#220.psp#1.sva).itm} 2 {slc(ACC1:acc#220.psp#1.sva).itm(0)} {slc(ACC1:acc#220.psp#1.sva).itm(1)} -attr xrf 63718 -attr oid 908 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva).itm}
+load net {conc#1005.itm(0)} -attr vt d
+load net {conc#1005.itm(1)} -attr vt d
+load netBundle {conc#1005.itm} 2 {conc#1005.itm(0)} {conc#1005.itm(1)} -attr xrf 63719 -attr oid 909 -attr vt d -attr @path {/sobel/sobel:core/conc#1005.itm}
+load net {ACC1:slc#17.itm(0)} -attr vt d
+load net {ACC1:slc#17.itm(1)} -attr vt d
+load net {ACC1:slc#17.itm(2)} -attr vt d
+load net {ACC1:slc#17.itm(3)} -attr vt d
+load netBundle {ACC1:slc#17.itm} 4 {ACC1:slc#17.itm(0)} {ACC1:slc#17.itm(1)} {ACC1:slc#17.itm(2)} {ACC1:slc#17.itm(3)} -attr xrf 63720 -attr oid 910 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
+load net {ACC1:acc#336.itm(0)} -attr vt d
+load net {ACC1:acc#336.itm(1)} -attr vt d
+load net {ACC1:acc#336.itm(2)} -attr vt d
+load net {ACC1:acc#336.itm(3)} -attr vt d
+load net {ACC1:acc#336.itm(4)} -attr vt d
+load netBundle {ACC1:acc#336.itm} 5 {ACC1:acc#336.itm(0)} {ACC1:acc#336.itm(1)} {ACC1:acc#336.itm(2)} {ACC1:acc#336.itm(3)} {ACC1:acc#336.itm(4)} -attr xrf 63721 -attr oid 911 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {conc#1006.itm(0)} -attr vt d
+load net {conc#1006.itm(1)} -attr vt d
+load net {conc#1006.itm(2)} -attr vt d
+load net {conc#1006.itm(3)} -attr vt d
+load netBundle {conc#1006.itm} 4 {conc#1006.itm(0)} {conc#1006.itm(1)} {conc#1006.itm(2)} {conc#1006.itm(3)} -attr xrf 63722 -attr oid 912 -attr vt d -attr @path {/sobel/sobel:core/conc#1006.itm}
+load net {ACC1:slc#15.itm(0)} -attr vt d
+load net {ACC1:slc#15.itm(1)} -attr vt d
+load net {ACC1:slc#15.itm(2)} -attr vt d
+load netBundle {ACC1:slc#15.itm} 3 {ACC1:slc#15.itm(0)} {ACC1:slc#15.itm(1)} {ACC1:slc#15.itm(2)} -attr xrf 63723 -attr oid 913 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#15.itm}
+load net {ACC1:acc#334.itm(0)} -attr vt d
+load net {ACC1:acc#334.itm(1)} -attr vt d
+load net {ACC1:acc#334.itm(2)} -attr vt d
+load net {ACC1:acc#334.itm(3)} -attr vt d
+load netBundle {ACC1:acc#334.itm} 4 {ACC1:acc#334.itm(0)} {ACC1:acc#334.itm(1)} {ACC1:acc#334.itm(2)} {ACC1:acc#334.itm(3)} -attr xrf 63724 -attr oid 914 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {conc#1007.itm(0)} -attr vt d
+load net {conc#1007.itm(1)} -attr vt d
+load netBundle {conc#1007.itm} 2 {conc#1007.itm(0)} {conc#1007.itm(1)} -attr xrf 63725 -attr oid 915 -attr vt d -attr @path {/sobel/sobel:core/conc#1007.itm}
+load net {ACC1:conc#1133.itm(0)} -attr vt d
+load net {ACC1:conc#1133.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1133.itm} 2 {ACC1:conc#1133.itm(0)} {ACC1:conc#1133.itm(1)} -attr xrf 63726 -attr oid 916 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1133.itm}
+load net {conc#1008.itm(0)} -attr vt d
+load net {conc#1008.itm(1)} -attr vt d
+load net {conc#1008.itm(2)} -attr vt d
+load net {conc#1008.itm(3)} -attr vt d
+load netBundle {conc#1008.itm} 4 {conc#1008.itm(0)} {conc#1008.itm(1)} {conc#1008.itm(2)} {conc#1008.itm(3)} -attr xrf 63727 -attr oid 917 -attr vt d -attr @path {/sobel/sobel:core/conc#1008.itm}
+load net {ACC1:slc#16.itm(0)} -attr vt d
+load net {ACC1:slc#16.itm(1)} -attr vt d
+load net {ACC1:slc#16.itm(2)} -attr vt d
+load netBundle {ACC1:slc#16.itm} 3 {ACC1:slc#16.itm(0)} {ACC1:slc#16.itm(1)} {ACC1:slc#16.itm(2)} -attr xrf 63728 -attr oid 918 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#16.itm}
+load net {ACC1:acc#335.itm(0)} -attr vt d
+load net {ACC1:acc#335.itm(1)} -attr vt d
+load net {ACC1:acc#335.itm(2)} -attr vt d
+load net {ACC1:acc#335.itm(3)} -attr vt d
+load netBundle {ACC1:acc#335.itm} 4 {ACC1:acc#335.itm(0)} {ACC1:acc#335.itm(1)} {ACC1:acc#335.itm(2)} {ACC1:acc#335.itm(3)} -attr xrf 63729 -attr oid 919 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {conc#1009.itm(0)} -attr vt d
+load net {conc#1009.itm(1)} -attr vt d
+load net {conc#1009.itm(2)} -attr vt d
+load netBundle {conc#1009.itm} 3 {conc#1009.itm(0)} {conc#1009.itm(1)} {conc#1009.itm(2)} -attr xrf 63730 -attr oid 920 -attr vt d -attr @path {/sobel/sobel:core/conc#1009.itm}
+load net {ACC1:slc#14.itm(0)} -attr vt d
+load net {ACC1:slc#14.itm(1)} -attr vt d
+load netBundle {ACC1:slc#14.itm} 2 {ACC1:slc#14.itm(0)} {ACC1:slc#14.itm(1)} -attr xrf 63731 -attr oid 921 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#14.itm}
+load net {ACC1:acc#333.itm(0)} -attr vt d
+load net {ACC1:acc#333.itm(1)} -attr vt d
+load net {ACC1:acc#333.itm(2)} -attr vt d
+load netBundle {ACC1:acc#333.itm} 3 {ACC1:acc#333.itm(0)} {ACC1:acc#333.itm(1)} {ACC1:acc#333.itm(2)} -attr xrf 63732 -attr oid 922 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {conc#1010.itm(0)} -attr vt d
+load net {conc#1010.itm(1)} -attr vt d
+load netBundle {conc#1010.itm} 2 {conc#1010.itm(0)} {conc#1010.itm(1)} -attr xrf 63733 -attr oid 923 -attr vt d -attr @path {/sobel/sobel:core/conc#1010.itm}
+load net {ACC1:conc#1131.itm(0)} -attr vt d
+load net {ACC1:conc#1131.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1131.itm} 2 {ACC1:conc#1131.itm(0)} {ACC1:conc#1131.itm(1)} -attr xrf 63734 -attr oid 924 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1131.itm}
+load net {ACC1:conc#1135.itm(0)} -attr vt d
+load net {ACC1:conc#1135.itm(1)} -attr vt d
+load net {ACC1:conc#1135.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1135.itm} 3 {ACC1:conc#1135.itm(0)} {ACC1:conc#1135.itm(1)} {ACC1:conc#1135.itm(2)} -attr xrf 63735 -attr oid 925 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1135.itm}
+load net {ACC1:slc#13.itm(0)} -attr vt d
+load net {ACC1:slc#13.itm(1)} -attr vt d
+load netBundle {ACC1:slc#13.itm} 2 {ACC1:slc#13.itm(0)} {ACC1:slc#13.itm(1)} -attr xrf 63736 -attr oid 926 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#13.itm}
+load net {ACC1:acc#332.itm(0)} -attr vt d
+load net {ACC1:acc#332.itm(1)} -attr vt d
+load net {ACC1:acc#332.itm(2)} -attr vt d
+load netBundle {ACC1:acc#332.itm} 3 {ACC1:acc#332.itm(0)} {ACC1:acc#332.itm(1)} {ACC1:acc#332.itm(2)} -attr xrf 63737 -attr oid 927 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {conc#1011.itm(0)} -attr vt d
+load net {conc#1011.itm(1)} -attr vt d
+load netBundle {conc#1011.itm} 2 {conc#1011.itm(0)} {conc#1011.itm(1)} -attr xrf 63738 -attr oid 928 -attr vt d -attr @path {/sobel/sobel:core/conc#1011.itm}
+load net {ACC1:conc#1129.itm(0)} -attr vt d
+load net {ACC1:conc#1129.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1129.itm} 2 {ACC1:conc#1129.itm(0)} {ACC1:conc#1129.itm(1)} -attr xrf 63739 -attr oid 929 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1129.itm}
+load net {ACC1:acc#331.itm(0)} -attr vt d
+load net {ACC1:acc#331.itm(1)} -attr vt d
+load net {ACC1:acc#331.itm(2)} -attr vt d
+load net {ACC1:acc#331.itm(3)} -attr vt d
+load net {ACC1:acc#331.itm(4)} -attr vt d
+load net {ACC1:acc#331.itm(5)} -attr vt d
+load net {ACC1:acc#331.itm(6)} -attr vt d
+load net {ACC1:acc#331.itm(7)} -attr vt d
+load net {ACC1:acc#331.itm(8)} -attr vt d
+load net {ACC1:acc#331.itm(9)} -attr vt d
+load net {ACC1:acc#331.itm(10)} -attr vt d
+load netBundle {ACC1:acc#331.itm} 11 {ACC1:acc#331.itm(0)} {ACC1:acc#331.itm(1)} {ACC1:acc#331.itm(2)} {ACC1:acc#331.itm(3)} {ACC1:acc#331.itm(4)} {ACC1:acc#331.itm(5)} {ACC1:acc#331.itm(6)} {ACC1:acc#331.itm(7)} {ACC1:acc#331.itm(8)} {ACC1:acc#331.itm(9)} {ACC1:acc#331.itm(10)} -attr xrf 63740 -attr oid 930 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {regs.operator[]:not.itm(0)} -attr vt d
+load net {regs.operator[]:not.itm(1)} -attr vt d
+load net {regs.operator[]:not.itm(2)} -attr vt d
+load net {regs.operator[]:not.itm(3)} -attr vt d
+load net {regs.operator[]:not.itm(4)} -attr vt d
+load net {regs.operator[]:not.itm(5)} -attr vt d
+load net {regs.operator[]:not.itm(6)} -attr vt d
+load net {regs.operator[]:not.itm(7)} -attr vt d
+load net {regs.operator[]:not.itm(8)} -attr vt d
+load net {regs.operator[]:not.itm(9)} -attr vt d
+load netBundle {regs.operator[]:not.itm} 10 {regs.operator[]:not.itm(0)} {regs.operator[]:not.itm(1)} {regs.operator[]:not.itm(2)} {regs.operator[]:not.itm(3)} {regs.operator[]:not.itm(4)} {regs.operator[]:not.itm(5)} {regs.operator[]:not.itm(6)} {regs.operator[]:not.itm(7)} {regs.operator[]:not.itm(8)} {regs.operator[]:not.itm(9)} -attr xrf 63741 -attr oid 931 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {slc(regs.regs(0).sva#7).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#7).itm} 10 {slc(regs.regs(0).sva#7).itm(0)} {slc(regs.regs(0).sva#7).itm(1)} {slc(regs.regs(0).sva#7).itm(2)} {slc(regs.regs(0).sva#7).itm(3)} {slc(regs.regs(0).sva#7).itm(4)} {slc(regs.regs(0).sva#7).itm(5)} {slc(regs.regs(0).sva#7).itm(6)} {slc(regs.regs(0).sva#7).itm(7)} {slc(regs.regs(0).sva#7).itm(8)} {slc(regs.regs(0).sva#7).itm(9)} -attr xrf 63742 -attr oid 932 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {regs.operator[]#1:not.itm(0)} -attr vt d
+load net {regs.operator[]#1:not.itm(1)} -attr vt d
+load net {regs.operator[]#1:not.itm(2)} -attr vt d
+load net {regs.operator[]#1:not.itm(3)} -attr vt d
+load net {regs.operator[]#1:not.itm(4)} -attr vt d
+load net {regs.operator[]#1:not.itm(5)} -attr vt d
+load net {regs.operator[]#1:not.itm(6)} -attr vt d
+load net {regs.operator[]#1:not.itm(7)} -attr vt d
+load net {regs.operator[]#1:not.itm(8)} -attr vt d
+load net {regs.operator[]#1:not.itm(9)} -attr vt d
+load netBundle {regs.operator[]#1:not.itm} 10 {regs.operator[]#1:not.itm(0)} {regs.operator[]#1:not.itm(1)} {regs.operator[]#1:not.itm(2)} {regs.operator[]#1:not.itm(3)} {regs.operator[]#1:not.itm(4)} {regs.operator[]#1:not.itm(5)} {regs.operator[]#1:not.itm(6)} {regs.operator[]#1:not.itm(7)} {regs.operator[]#1:not.itm(8)} {regs.operator[]#1:not.itm(9)} -attr xrf 63743 -attr oid 933 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {slc(regs.regs(0).sva#8).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#8).itm} 10 {slc(regs.regs(0).sva#8).itm(0)} {slc(regs.regs(0).sva#8).itm(1)} {slc(regs.regs(0).sva#8).itm(2)} {slc(regs.regs(0).sva#8).itm(3)} {slc(regs.regs(0).sva#8).itm(4)} {slc(regs.regs(0).sva#8).itm(5)} {slc(regs.regs(0).sva#8).itm(6)} {slc(regs.regs(0).sva#8).itm(7)} {slc(regs.regs(0).sva#8).itm(8)} {slc(regs.regs(0).sva#8).itm(9)} -attr xrf 63744 -attr oid 934 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {ACC1:acc#330.itm(0)} -attr vt d
+load net {ACC1:acc#330.itm(1)} -attr vt d
+load net {ACC1:acc#330.itm(2)} -attr vt d
+load net {ACC1:acc#330.itm(3)} -attr vt d
+load net {ACC1:acc#330.itm(4)} -attr vt d
+load net {ACC1:acc#330.itm(5)} -attr vt d
+load net {ACC1:acc#330.itm(6)} -attr vt d
+load net {ACC1:acc#330.itm(7)} -attr vt d
+load net {ACC1:acc#330.itm(8)} -attr vt d
+load net {ACC1:acc#330.itm(9)} -attr vt d
+load net {ACC1:acc#330.itm(10)} -attr vt d
+load netBundle {ACC1:acc#330.itm} 11 {ACC1:acc#330.itm(0)} {ACC1:acc#330.itm(1)} {ACC1:acc#330.itm(2)} {ACC1:acc#330.itm(3)} {ACC1:acc#330.itm(4)} {ACC1:acc#330.itm(5)} {ACC1:acc#330.itm(6)} {ACC1:acc#330.itm(7)} {ACC1:acc#330.itm(8)} {ACC1:acc#330.itm(9)} {ACC1:acc#330.itm(10)} -attr xrf 63745 -attr oid 935 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {regs.operator[]#2:not.itm(0)} -attr vt d
+load net {regs.operator[]#2:not.itm(1)} -attr vt d
+load net {regs.operator[]#2:not.itm(2)} -attr vt d
+load net {regs.operator[]#2:not.itm(3)} -attr vt d
+load net {regs.operator[]#2:not.itm(4)} -attr vt d
+load net {regs.operator[]#2:not.itm(5)} -attr vt d
+load net {regs.operator[]#2:not.itm(6)} -attr vt d
+load net {regs.operator[]#2:not.itm(7)} -attr vt d
+load net {regs.operator[]#2:not.itm(8)} -attr vt d
+load net {regs.operator[]#2:not.itm(9)} -attr vt d
+load netBundle {regs.operator[]#2:not.itm} 10 {regs.operator[]#2:not.itm(0)} {regs.operator[]#2:not.itm(1)} {regs.operator[]#2:not.itm(2)} {regs.operator[]#2:not.itm(3)} {regs.operator[]#2:not.itm(4)} {regs.operator[]#2:not.itm(5)} {regs.operator[]#2:not.itm(6)} {regs.operator[]#2:not.itm(7)} {regs.operator[]#2:not.itm(8)} {regs.operator[]#2:not.itm(9)} -attr xrf 63746 -attr oid 936 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {slc(regs.regs(0).sva#9).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#9).itm} 10 {slc(regs.regs(0).sva#9).itm(0)} {slc(regs.regs(0).sva#9).itm(1)} {slc(regs.regs(0).sva#9).itm(2)} {slc(regs.regs(0).sva#9).itm(3)} {slc(regs.regs(0).sva#9).itm(4)} {slc(regs.regs(0).sva#9).itm(5)} {slc(regs.regs(0).sva#9).itm(6)} {slc(regs.regs(0).sva#9).itm(7)} {slc(regs.regs(0).sva#9).itm(8)} {slc(regs.regs(0).sva#9).itm(9)} -attr xrf 63747 -attr oid 937 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {ACC1:acc#341.itm(0)} -attr vt d
+load net {ACC1:acc#341.itm(1)} -attr vt d
+load net {ACC1:acc#341.itm(2)} -attr vt d
+load net {ACC1:acc#341.itm(3)} -attr vt d
+load net {ACC1:acc#341.itm(4)} -attr vt d
+load net {ACC1:acc#341.itm(5)} -attr vt d
+load net {ACC1:acc#341.itm(6)} -attr vt d
+load net {ACC1:acc#341.itm(7)} -attr vt d
+load net {ACC1:acc#341.itm(8)} -attr vt d
+load net {ACC1:acc#341.itm(9)} -attr vt d
+load net {ACC1:acc#341.itm(10)} -attr vt d
+load netBundle {ACC1:acc#341.itm} 11 {ACC1:acc#341.itm(0)} {ACC1:acc#341.itm(1)} {ACC1:acc#341.itm(2)} {ACC1:acc#341.itm(3)} {ACC1:acc#341.itm(4)} {ACC1:acc#341.itm(5)} {ACC1:acc#341.itm(6)} {ACC1:acc#341.itm(7)} {ACC1:acc#341.itm(8)} {ACC1:acc#341.itm(9)} {ACC1:acc#341.itm(10)} -attr xrf 63748 -attr oid 938 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:not.itm(0)} -attr vt d
+load net {ACC1:not.itm(1)} -attr vt d
+load net {ACC1:not.itm(2)} -attr vt d
+load net {ACC1:not.itm(3)} -attr vt d
+load net {ACC1:not.itm(4)} -attr vt d
+load net {ACC1:not.itm(5)} -attr vt d
+load net {ACC1:not.itm(6)} -attr vt d
+load net {ACC1:not.itm(7)} -attr vt d
+load net {ACC1:not.itm(8)} -attr vt d
+load net {ACC1:not.itm(9)} -attr vt d
+load netBundle {ACC1:not.itm} 10 {ACC1:not.itm(0)} {ACC1:not.itm(1)} {ACC1:not.itm(2)} {ACC1:not.itm(3)} {ACC1:not.itm(4)} {ACC1:not.itm(5)} {ACC1:not.itm(6)} {ACC1:not.itm(7)} {ACC1:not.itm(8)} {ACC1:not.itm(9)} -attr xrf 63749 -attr oid 939 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {slc(regs.regs(0).sva#4).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#4).itm} 10 {slc(regs.regs(0).sva#4).itm(0)} {slc(regs.regs(0).sva#4).itm(1)} {slc(regs.regs(0).sva#4).itm(2)} {slc(regs.regs(0).sva#4).itm(3)} {slc(regs.regs(0).sva#4).itm(4)} {slc(regs.regs(0).sva#4).itm(5)} {slc(regs.regs(0).sva#4).itm(6)} {slc(regs.regs(0).sva#4).itm(7)} {slc(regs.regs(0).sva#4).itm(8)} {slc(regs.regs(0).sva#4).itm(9)} -attr xrf 63750 -attr oid 940 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {ACC1:not#307.itm(0)} -attr vt d
+load net {ACC1:not#307.itm(1)} -attr vt d
+load net {ACC1:not#307.itm(2)} -attr vt d
+load net {ACC1:not#307.itm(3)} -attr vt d
+load net {ACC1:not#307.itm(4)} -attr vt d
+load net {ACC1:not#307.itm(5)} -attr vt d
+load net {ACC1:not#307.itm(6)} -attr vt d
+load net {ACC1:not#307.itm(7)} -attr vt d
+load net {ACC1:not#307.itm(8)} -attr vt d
+load net {ACC1:not#307.itm(9)} -attr vt d
+load netBundle {ACC1:not#307.itm} 10 {ACC1:not#307.itm(0)} {ACC1:not#307.itm(1)} {ACC1:not#307.itm(2)} {ACC1:not#307.itm(3)} {ACC1:not#307.itm(4)} {ACC1:not#307.itm(5)} {ACC1:not#307.itm(6)} {ACC1:not#307.itm(7)} {ACC1:not#307.itm(8)} {ACC1:not#307.itm(9)} -attr xrf 63751 -attr oid 941 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {slc(regs.regs(0).sva#5).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#5).itm} 10 {slc(regs.regs(0).sva#5).itm(0)} {slc(regs.regs(0).sva#5).itm(1)} {slc(regs.regs(0).sva#5).itm(2)} {slc(regs.regs(0).sva#5).itm(3)} {slc(regs.regs(0).sva#5).itm(4)} {slc(regs.regs(0).sva#5).itm(5)} {slc(regs.regs(0).sva#5).itm(6)} {slc(regs.regs(0).sva#5).itm(7)} {slc(regs.regs(0).sva#5).itm(8)} {slc(regs.regs(0).sva#5).itm(9)} -attr xrf 63752 -attr oid 942 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {ACC1:acc#340.itm(0)} -attr vt d
+load net {ACC1:acc#340.itm(1)} -attr vt d
+load net {ACC1:acc#340.itm(2)} -attr vt d
+load net {ACC1:acc#340.itm(3)} -attr vt d
+load net {ACC1:acc#340.itm(4)} -attr vt d
+load net {ACC1:acc#340.itm(5)} -attr vt d
+load net {ACC1:acc#340.itm(6)} -attr vt d
+load net {ACC1:acc#340.itm(7)} -attr vt d
+load net {ACC1:acc#340.itm(8)} -attr vt d
+load net {ACC1:acc#340.itm(9)} -attr vt d
+load net {ACC1:acc#340.itm(10)} -attr vt d
+load netBundle {ACC1:acc#340.itm} 11 {ACC1:acc#340.itm(0)} {ACC1:acc#340.itm(1)} {ACC1:acc#340.itm(2)} {ACC1:acc#340.itm(3)} {ACC1:acc#340.itm(4)} {ACC1:acc#340.itm(5)} {ACC1:acc#340.itm(6)} {ACC1:acc#340.itm(7)} {ACC1:acc#340.itm(8)} {ACC1:acc#340.itm(9)} {ACC1:acc#340.itm(10)} -attr xrf 63753 -attr oid 943 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:not#308.itm(0)} -attr vt d
+load net {ACC1:not#308.itm(1)} -attr vt d
+load net {ACC1:not#308.itm(2)} -attr vt d
+load net {ACC1:not#308.itm(3)} -attr vt d
+load net {ACC1:not#308.itm(4)} -attr vt d
+load net {ACC1:not#308.itm(5)} -attr vt d
+load net {ACC1:not#308.itm(6)} -attr vt d
+load net {ACC1:not#308.itm(7)} -attr vt d
+load net {ACC1:not#308.itm(8)} -attr vt d
+load net {ACC1:not#308.itm(9)} -attr vt d
+load netBundle {ACC1:not#308.itm} 10 {ACC1:not#308.itm(0)} {ACC1:not#308.itm(1)} {ACC1:not#308.itm(2)} {ACC1:not#308.itm(3)} {ACC1:not#308.itm(4)} {ACC1:not#308.itm(5)} {ACC1:not#308.itm(6)} {ACC1:not#308.itm(7)} {ACC1:not#308.itm(8)} {ACC1:not#308.itm(9)} -attr xrf 63754 -attr oid 944 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {slc(regs.regs(0).sva#6).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#6).itm} 10 {slc(regs.regs(0).sva#6).itm(0)} {slc(regs.regs(0).sva#6).itm(1)} {slc(regs.regs(0).sva#6).itm(2)} {slc(regs.regs(0).sva#6).itm(3)} {slc(regs.regs(0).sva#6).itm(4)} {slc(regs.regs(0).sva#6).itm(5)} {slc(regs.regs(0).sva#6).itm(6)} {slc(regs.regs(0).sva#6).itm(7)} {slc(regs.regs(0).sva#6).itm(8)} {slc(regs.regs(0).sva#6).itm(9)} -attr xrf 63755 -attr oid 945 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {ACC1:acc#406.itm(0)} -attr vt d
+load net {ACC1:acc#406.itm(1)} -attr vt d
+load net {ACC1:acc#406.itm(2)} -attr vt d
+load netBundle {ACC1:acc#406.itm} 3 {ACC1:acc#406.itm(0)} {ACC1:acc#406.itm(1)} {ACC1:acc#406.itm(2)} -attr xrf 63756 -attr oid 946 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#406.itm}
+load net {conc#1012.itm(0)} -attr vt d
+load net {conc#1012.itm(1)} -attr vt d
+load net {conc#1012.itm(2)} -attr vt d
+load netBundle {conc#1012.itm} 3 {conc#1012.itm(0)} {conc#1012.itm(1)} {conc#1012.itm(2)} -attr xrf 63757 -attr oid 947 -attr vt d -attr @path {/sobel/sobel:core/conc#1012.itm}
+load net {ACC1:conc#1270.itm(0)} -attr vt d
+load net {ACC1:conc#1270.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1270.itm} 2 {ACC1:conc#1270.itm(0)} {ACC1:conc#1270.itm(1)} -attr xrf 63758 -attr oid 948 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1270.itm}
+load net {ACC1:acc#368.itm(0)} -attr vt d
+load net {ACC1:acc#368.itm(1)} -attr vt d
+load net {ACC1:acc#368.itm(2)} -attr vt d
+load netBundle {ACC1:acc#368.itm} 3 {ACC1:acc#368.itm(0)} {ACC1:acc#368.itm(1)} {ACC1:acc#368.itm(2)} -attr xrf 63759 -attr oid 949 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#368.itm}
+load net {conc#1013.itm(0)} -attr vt d
+load net {conc#1013.itm(1)} -attr vt d
+load net {conc#1013.itm(2)} -attr vt d
+load netBundle {conc#1013.itm} 3 {conc#1013.itm(0)} {conc#1013.itm(1)} {conc#1013.itm(2)} -attr xrf 63760 -attr oid 950 -attr vt d -attr @path {/sobel/sobel:core/conc#1013.itm}
+load net {ACC1:conc#1198.itm(0)} -attr vt d
+load net {ACC1:conc#1198.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1198.itm} 2 {ACC1:conc#1198.itm(0)} {ACC1:conc#1198.itm(1)} -attr xrf 63761 -attr oid 951 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1198.itm}
+load net {ACC1:acc#367.itm(0)} -attr vt d
+load net {ACC1:acc#367.itm(1)} -attr vt d
+load net {ACC1:acc#367.itm(2)} -attr vt d
+load net {ACC1:acc#367.itm(3)} -attr vt d
+load netBundle {ACC1:acc#367.itm} 4 {ACC1:acc#367.itm(0)} {ACC1:acc#367.itm(1)} {ACC1:acc#367.itm(2)} {ACC1:acc#367.itm(3)} -attr xrf 63762 -attr oid 952 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#367.itm}
+load net {conc#1014.itm(0)} -attr vt d
+load net {conc#1014.itm(1)} -attr vt d
+load net {conc#1014.itm(2)} -attr vt d
+load netBundle {conc#1014.itm} 3 {conc#1014.itm(0)} {conc#1014.itm(1)} {conc#1014.itm(2)} -attr xrf 63763 -attr oid 953 -attr vt d -attr @path {/sobel/sobel:core/conc#1014.itm}
+load net {ACC1-1:not#291.itm(0)} -attr vt d
+load net {ACC1-1:not#291.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#291.itm} 2 {ACC1-1:not#291.itm(0)} {ACC1-1:not#291.itm(1)} -attr xrf 63764 -attr oid 954 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#291.itm}
+load net {slc(ACC1:acc#219.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#219.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#219.psp#1.sva).itm} 2 {slc(ACC1:acc#219.psp#1.sva).itm(0)} {slc(ACC1:acc#219.psp#1.sva).itm(1)} -attr xrf 63765 -attr oid 955 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#1.sva).itm}
+load net {conc#1015.itm(0)} -attr vt d
+load net {conc#1015.itm(1)} -attr vt d
+load netBundle {conc#1015.itm} 2 {conc#1015.itm(0)} {conc#1015.itm(1)} -attr xrf 63766 -attr oid 956 -attr vt d -attr @path {/sobel/sobel:core/conc#1015.itm}
+load net {ACC1:slc#41.itm(0)} -attr vt d
+load net {ACC1:slc#41.itm(1)} -attr vt d
+load net {ACC1:slc#41.itm(2)} -attr vt d
+load net {ACC1:slc#41.itm(3)} -attr vt d
+load netBundle {ACC1:slc#41.itm} 4 {ACC1:slc#41.itm(0)} {ACC1:slc#41.itm(1)} {ACC1:slc#41.itm(2)} {ACC1:slc#41.itm(3)} -attr xrf 63767 -attr oid 957 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
+load net {ACC1:acc#365.itm(0)} -attr vt d
+load net {ACC1:acc#365.itm(1)} -attr vt d
+load net {ACC1:acc#365.itm(2)} -attr vt d
+load net {ACC1:acc#365.itm(3)} -attr vt d
+load net {ACC1:acc#365.itm(4)} -attr vt d
+load netBundle {ACC1:acc#365.itm} 5 {ACC1:acc#365.itm(0)} {ACC1:acc#365.itm(1)} {ACC1:acc#365.itm(2)} {ACC1:acc#365.itm(3)} {ACC1:acc#365.itm(4)} -attr xrf 63768 -attr oid 958 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365.itm}
+load net {conc#1016.itm(0)} -attr vt d
+load net {conc#1016.itm(1)} -attr vt d
+load net {conc#1016.itm(2)} -attr vt d
+load net {conc#1016.itm(3)} -attr vt d
+load netBundle {conc#1016.itm} 4 {conc#1016.itm(0)} {conc#1016.itm(1)} {conc#1016.itm(2)} {conc#1016.itm(3)} -attr xrf 63769 -attr oid 959 -attr vt d -attr @path {/sobel/sobel:core/conc#1016.itm}
+load net {ACC1:slc#39.itm(0)} -attr vt d
+load net {ACC1:slc#39.itm(1)} -attr vt d
+load net {ACC1:slc#39.itm(2)} -attr vt d
+load netBundle {ACC1:slc#39.itm} 3 {ACC1:slc#39.itm(0)} {ACC1:slc#39.itm(1)} {ACC1:slc#39.itm(2)} -attr xrf 63770 -attr oid 960 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#39.itm}
+load net {ACC1:acc#363.itm(0)} -attr vt d
+load net {ACC1:acc#363.itm(1)} -attr vt d
+load net {ACC1:acc#363.itm(2)} -attr vt d
+load net {ACC1:acc#363.itm(3)} -attr vt d
+load netBundle {ACC1:acc#363.itm} 4 {ACC1:acc#363.itm(0)} {ACC1:acc#363.itm(1)} {ACC1:acc#363.itm(2)} {ACC1:acc#363.itm(3)} -attr xrf 63771 -attr oid 961 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#363.itm}
+load net {conc#1017.itm(0)} -attr vt d
+load net {conc#1017.itm(1)} -attr vt d
+load netBundle {conc#1017.itm} 2 {conc#1017.itm(0)} {conc#1017.itm(1)} -attr xrf 63772 -attr oid 962 -attr vt d -attr @path {/sobel/sobel:core/conc#1017.itm}
+load net {ACC1:conc#1187.itm(0)} -attr vt d
+load net {ACC1:conc#1187.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1187.itm} 2 {ACC1:conc#1187.itm(0)} {ACC1:conc#1187.itm(1)} -attr xrf 63773 -attr oid 963 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1187.itm}
+load net {conc#1018.itm(0)} -attr vt d
+load net {conc#1018.itm(1)} -attr vt d
+load net {conc#1018.itm(2)} -attr vt d
+load net {conc#1018.itm(3)} -attr vt d
+load netBundle {conc#1018.itm} 4 {conc#1018.itm(0)} {conc#1018.itm(1)} {conc#1018.itm(2)} {conc#1018.itm(3)} -attr xrf 63774 -attr oid 964 -attr vt d -attr @path {/sobel/sobel:core/conc#1018.itm}
+load net {ACC1:slc#40.itm(0)} -attr vt d
+load net {ACC1:slc#40.itm(1)} -attr vt d
+load net {ACC1:slc#40.itm(2)} -attr vt d
+load netBundle {ACC1:slc#40.itm} 3 {ACC1:slc#40.itm(0)} {ACC1:slc#40.itm(1)} {ACC1:slc#40.itm(2)} -attr xrf 63775 -attr oid 965 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
+load net {ACC1:acc#364.itm(0)} -attr vt d
+load net {ACC1:acc#364.itm(1)} -attr vt d
+load net {ACC1:acc#364.itm(2)} -attr vt d
+load net {ACC1:acc#364.itm(3)} -attr vt d
+load netBundle {ACC1:acc#364.itm} 4 {ACC1:acc#364.itm(0)} {ACC1:acc#364.itm(1)} {ACC1:acc#364.itm(2)} {ACC1:acc#364.itm(3)} -attr xrf 63776 -attr oid 966 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#364.itm}
+load net {conc#1019.itm(0)} -attr vt d
+load net {conc#1019.itm(1)} -attr vt d
+load net {conc#1019.itm(2)} -attr vt d
+load netBundle {conc#1019.itm} 3 {conc#1019.itm(0)} {conc#1019.itm(1)} {conc#1019.itm(2)} -attr xrf 63777 -attr oid 967 -attr vt d -attr @path {/sobel/sobel:core/conc#1019.itm}
+load net {ACC1:slc#38.itm(0)} -attr vt d
+load net {ACC1:slc#38.itm(1)} -attr vt d
+load netBundle {ACC1:slc#38.itm} 2 {ACC1:slc#38.itm(0)} {ACC1:slc#38.itm(1)} -attr xrf 63778 -attr oid 968 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#38.itm}
+load net {ACC1:acc#362.itm(0)} -attr vt d
+load net {ACC1:acc#362.itm(1)} -attr vt d
+load net {ACC1:acc#362.itm(2)} -attr vt d
+load netBundle {ACC1:acc#362.itm} 3 {ACC1:acc#362.itm(0)} {ACC1:acc#362.itm(1)} {ACC1:acc#362.itm(2)} -attr xrf 63779 -attr oid 969 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#362.itm}
+load net {conc#1020.itm(0)} -attr vt d
+load net {conc#1020.itm(1)} -attr vt d
+load netBundle {conc#1020.itm} 2 {conc#1020.itm(0)} {conc#1020.itm(1)} -attr xrf 63780 -attr oid 970 -attr vt d -attr @path {/sobel/sobel:core/conc#1020.itm}
+load net {ACC1:conc#1185.itm(0)} -attr vt d
+load net {ACC1:conc#1185.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1185.itm} 2 {ACC1:conc#1185.itm(0)} {ACC1:conc#1185.itm(1)} -attr xrf 63781 -attr oid 971 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1185.itm}
+load net {ACC1:conc#1189.itm(0)} -attr vt d
+load net {ACC1:conc#1189.itm(1)} -attr vt d
+load net {ACC1:conc#1189.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1189.itm} 3 {ACC1:conc#1189.itm(0)} {ACC1:conc#1189.itm(1)} {ACC1:conc#1189.itm(2)} -attr xrf 63782 -attr oid 972 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1189.itm}
+load net {ACC1:slc#37.itm(0)} -attr vt d
+load net {ACC1:slc#37.itm(1)} -attr vt d
+load netBundle {ACC1:slc#37.itm} 2 {ACC1:slc#37.itm(0)} {ACC1:slc#37.itm(1)} -attr xrf 63783 -attr oid 973 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#37.itm}
+load net {ACC1:acc#361.itm(0)} -attr vt d
+load net {ACC1:acc#361.itm(1)} -attr vt d
+load net {ACC1:acc#361.itm(2)} -attr vt d
+load netBundle {ACC1:acc#361.itm} 3 {ACC1:acc#361.itm(0)} {ACC1:acc#361.itm(1)} {ACC1:acc#361.itm(2)} -attr xrf 63784 -attr oid 974 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#361.itm}
+load net {conc#1021.itm(0)} -attr vt d
+load net {conc#1021.itm(1)} -attr vt d
+load netBundle {conc#1021.itm} 2 {conc#1021.itm(0)} {conc#1021.itm(1)} -attr xrf 63785 -attr oid 975 -attr vt d -attr @path {/sobel/sobel:core/conc#1021.itm}
+load net {ACC1:conc#1183.itm(0)} -attr vt d
+load net {ACC1:conc#1183.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1183.itm} 2 {ACC1:conc#1183.itm(0)} {ACC1:conc#1183.itm(1)} -attr xrf 63786 -attr oid 976 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1183.itm}
+load net {ACC1:acc#349.itm(0)} -attr vt d
+load net {ACC1:acc#349.itm(1)} -attr vt d
+load net {ACC1:acc#349.itm(2)} -attr vt d
+load netBundle {ACC1:acc#349.itm} 3 {ACC1:acc#349.itm(0)} {ACC1:acc#349.itm(1)} {ACC1:acc#349.itm(2)} -attr xrf 63787 -attr oid 977 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#349.itm}
+load net {conc#1022.itm(0)} -attr vt d
+load net {conc#1022.itm(1)} -attr vt d
+load net {conc#1022.itm(2)} -attr vt d
+load netBundle {conc#1022.itm} 3 {conc#1022.itm(0)} {conc#1022.itm(1)} {conc#1022.itm(2)} -attr xrf 63788 -attr oid 978 -attr vt d -attr @path {/sobel/sobel:core/conc#1022.itm}
+load net {ACC1:conc#1162.itm(0)} -attr vt d
+load net {ACC1:conc#1162.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1162.itm} 2 {ACC1:conc#1162.itm(0)} {ACC1:conc#1162.itm(1)} -attr xrf 63789 -attr oid 979 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1162.itm}
+load net {ACC1:acc#348.itm(0)} -attr vt d
+load net {ACC1:acc#348.itm(1)} -attr vt d
+load net {ACC1:acc#348.itm(2)} -attr vt d
+load net {ACC1:acc#348.itm(3)} -attr vt d
+load netBundle {ACC1:acc#348.itm} 4 {ACC1:acc#348.itm(0)} {ACC1:acc#348.itm(1)} {ACC1:acc#348.itm(2)} {ACC1:acc#348.itm(3)} -attr xrf 63790 -attr oid 980 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {conc#1023.itm(0)} -attr vt d
+load net {conc#1023.itm(1)} -attr vt d
+load net {conc#1023.itm(2)} -attr vt d
+load netBundle {conc#1023.itm} 3 {conc#1023.itm(0)} {conc#1023.itm(1)} {conc#1023.itm(2)} -attr xrf 63791 -attr oid 981 -attr vt d -attr @path {/sobel/sobel:core/conc#1023.itm}
+load net {ACC1-1:not#297.itm(0)} -attr vt d
+load net {ACC1-1:not#297.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#297.itm} 2 {ACC1-1:not#297.itm(0)} {ACC1-1:not#297.itm(1)} -attr xrf 63792 -attr oid 982 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#297.itm}
+load net {slc(ACC1:acc#222.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#222.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#222.psp#1.sva).itm} 2 {slc(ACC1:acc#222.psp#1.sva).itm(0)} {slc(ACC1:acc#222.psp#1.sva).itm(1)} -attr xrf 63793 -attr oid 983 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp#1.sva).itm}
+load net {conc#1024.itm(0)} -attr vt d
+load net {conc#1024.itm(1)} -attr vt d
+load netBundle {conc#1024.itm} 2 {conc#1024.itm(0)} {conc#1024.itm(1)} -attr xrf 63794 -attr oid 984 -attr vt d -attr @path {/sobel/sobel:core/conc#1024.itm}
+load net {ACC1:acc#407.itm(0)} -attr vt d
+load net {ACC1:acc#407.itm(1)} -attr vt d
+load net {ACC1:acc#407.itm(2)} -attr vt d
+load net {ACC1:acc#407.itm(3)} -attr vt d
+load net {ACC1:acc#407.itm(4)} -attr vt d
+load net {ACC1:acc#407.itm(5)} -attr vt d
+load net {ACC1:acc#407.itm(6)} -attr vt d
+load net {ACC1:acc#407.itm(7)} -attr vt d
+load net {ACC1:acc#407.itm(8)} -attr vt d
+load net {ACC1:acc#407.itm(9)} -attr vt d
+load net {ACC1:acc#407.itm(10)} -attr vt d
+load netBundle {ACC1:acc#407.itm} 11 {ACC1:acc#407.itm(0)} {ACC1:acc#407.itm(1)} {ACC1:acc#407.itm(2)} {ACC1:acc#407.itm(3)} {ACC1:acc#407.itm(4)} {ACC1:acc#407.itm(5)} {ACC1:acc#407.itm(6)} {ACC1:acc#407.itm(7)} {ACC1:acc#407.itm(8)} {ACC1:acc#407.itm(9)} {ACC1:acc#407.itm(10)} -attr xrf 63795 -attr oid 985 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1-2:exs#1051.itm(0)} -attr vt d
+load net {ACC1-2:exs#1051.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1051.itm} 2 {ACC1-2:exs#1051.itm(0)} {ACC1-2:exs#1051.itm(1)} -attr xrf 63796 -attr oid 986 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1051.itm}
+load net {ACC1-2:exs#1043.itm(0)} -attr vt d
+load net {ACC1-2:exs#1043.itm(1)} -attr vt d
+load netBundle {ACC1-2:exs#1043.itm} 2 {ACC1-2:exs#1043.itm(0)} {ACC1-2:exs#1043.itm(1)} -attr xrf 63797 -attr oid 987 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1043.itm}
+load net {ACC1:acc#412.itm(0)} -attr vt d
+load net {ACC1:acc#412.itm(1)} -attr vt d
+load net {ACC1:acc#412.itm(2)} -attr vt d
+load net {ACC1:acc#412.itm(3)} -attr vt d
+load net {ACC1:acc#412.itm(4)} -attr vt d
+load netBundle {ACC1:acc#412.itm} 5 {ACC1:acc#412.itm(0)} {ACC1:acc#412.itm(1)} {ACC1:acc#412.itm(2)} {ACC1:acc#412.itm(3)} {ACC1:acc#412.itm(4)} -attr xrf 63798 -attr oid 988 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412.itm}
+load net {conc#1025.itm(0)} -attr vt d
+load net {conc#1025.itm(1)} -attr vt d
+load net {conc#1025.itm(2)} -attr vt d
+load net {conc#1025.itm(3)} -attr vt d
+load netBundle {conc#1025.itm} 4 {conc#1025.itm(0)} {conc#1025.itm(1)} {conc#1025.itm(2)} {conc#1025.itm(3)} -attr xrf 63799 -attr oid 989 -attr vt d -attr @path {/sobel/sobel:core/conc#1025.itm}
+load net {ACC1:slc#80.itm(0)} -attr vt d
+load net {ACC1:slc#80.itm(1)} -attr vt d
+load net {ACC1:slc#80.itm(2)} -attr vt d
+load netBundle {ACC1:slc#80.itm} 3 {ACC1:slc#80.itm(0)} {ACC1:slc#80.itm(1)} {ACC1:slc#80.itm(2)} -attr xrf 63800 -attr oid 990 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#80.itm}
+load net {ACC1:acc#411.itm(0)} -attr vt d
+load net {ACC1:acc#411.itm(1)} -attr vt d
+load net {ACC1:acc#411.itm(2)} -attr vt d
+load net {ACC1:acc#411.itm(3)} -attr vt d
+load netBundle {ACC1:acc#411.itm} 4 {ACC1:acc#411.itm(0)} {ACC1:acc#411.itm(1)} {ACC1:acc#411.itm(2)} {ACC1:acc#411.itm(3)} -attr xrf 63801 -attr oid 991 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#411.itm}
+load net {conc#1026.itm(0)} -attr vt d
+load net {conc#1026.itm(1)} -attr vt d
+load net {conc#1026.itm(2)} -attr vt d
+load net {conc#1026.itm(3)} -attr vt d
+load netBundle {conc#1026.itm} 4 {conc#1026.itm(0)} {conc#1026.itm(1)} {conc#1026.itm(2)} {conc#1026.itm(3)} -attr xrf 63802 -attr oid 992 -attr vt d -attr @path {/sobel/sobel:core/conc#1026.itm}
+load net {ACC1:conc#1279.itm(0)} -attr vt d
+load net {ACC1:conc#1279.itm(1)} -attr vt d
+load net {ACC1:conc#1279.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1279.itm} 3 {ACC1:conc#1279.itm(0)} {ACC1:conc#1279.itm(1)} {ACC1:conc#1279.itm(2)} -attr xrf 63803 -attr oid 993 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1279.itm}
+load net {ACC1:slc#78.itm(0)} -attr vt d
+load net {ACC1:slc#78.itm(1)} -attr vt d
+load netBundle {ACC1:slc#78.itm} 2 {ACC1:slc#78.itm(0)} {ACC1:slc#78.itm(1)} -attr xrf 63804 -attr oid 994 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#78.itm}
+load net {ACC1:acc#409.itm(0)} -attr vt d
+load net {ACC1:acc#409.itm(1)} -attr vt d
+load net {ACC1:acc#409.itm(2)} -attr vt d
+load netBundle {ACC1:acc#409.itm} 3 {ACC1:acc#409.itm(0)} {ACC1:acc#409.itm(1)} {ACC1:acc#409.itm(2)} -attr xrf 63805 -attr oid 995 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#409.itm}
+load net {conc#1027.itm(0)} -attr vt d
+load net {conc#1027.itm(1)} -attr vt d
+load netBundle {conc#1027.itm} 2 {conc#1027.itm(0)} {conc#1027.itm(1)} -attr xrf 63806 -attr oid 996 -attr vt d -attr @path {/sobel/sobel:core/conc#1027.itm}
+load net {ACC1:conc#1275.itm(0)} -attr vt d
+load net {ACC1:conc#1275.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1275.itm} 2 {ACC1:conc#1275.itm(0)} {ACC1:conc#1275.itm(1)} -attr xrf 63807 -attr oid 997 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1275.itm}
+load net {ACC1:conc#1281.itm(0)} -attr vt d
+load net {ACC1:conc#1281.itm(1)} -attr vt d
+load net {ACC1:conc#1281.itm(2)} -attr vt d
+load net {ACC1:conc#1281.itm(3)} -attr vt d
+load net {ACC1:conc#1281.itm(4)} -attr vt d
+load netBundle {ACC1:conc#1281.itm} 5 {ACC1:conc#1281.itm(0)} {ACC1:conc#1281.itm(1)} {ACC1:conc#1281.itm(2)} {ACC1:conc#1281.itm(3)} {ACC1:conc#1281.itm(4)} -attr xrf 63808 -attr oid 998 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1281.itm}
+load net {ACC1:slc#79.itm(0)} -attr vt d
+load net {ACC1:slc#79.itm(1)} -attr vt d
+load net {ACC1:slc#79.itm(2)} -attr vt d
+load net {ACC1:slc#79.itm(3)} -attr vt d
+load netBundle {ACC1:slc#79.itm} 4 {ACC1:slc#79.itm(0)} {ACC1:slc#79.itm(1)} {ACC1:slc#79.itm(2)} {ACC1:slc#79.itm(3)} -attr xrf 63809 -attr oid 999 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#79.itm}
+load net {ACC1:acc#410.itm(0)} -attr vt d
+load net {ACC1:acc#410.itm(1)} -attr vt d
+load net {ACC1:acc#410.itm(2)} -attr vt d
+load net {ACC1:acc#410.itm(3)} -attr vt d
+load net {ACC1:acc#410.itm(4)} -attr vt d
+load netBundle {ACC1:acc#410.itm} 5 {ACC1:acc#410.itm(0)} {ACC1:acc#410.itm(1)} {ACC1:acc#410.itm(2)} {ACC1:acc#410.itm(3)} {ACC1:acc#410.itm(4)} -attr xrf 63810 -attr oid 1000 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410.itm}
+load net {conc#1028.itm(0)} -attr vt d
+load net {conc#1028.itm(1)} -attr vt d
+load net {conc#1028.itm(2)} -attr vt d
+load netBundle {conc#1028.itm} 3 {conc#1028.itm(0)} {conc#1028.itm(1)} {conc#1028.itm(2)} -attr xrf 63811 -attr oid 1001 -attr vt d -attr @path {/sobel/sobel:core/conc#1028.itm}
+load net {ACC1:slc#77.itm(0)} -attr vt d
+load net {ACC1:slc#77.itm(1)} -attr vt d
+load netBundle {ACC1:slc#77.itm} 2 {ACC1:slc#77.itm(0)} {ACC1:slc#77.itm(1)} -attr xrf 63812 -attr oid 1002 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#77.itm}
+load net {ACC1:acc#408.itm(0)} -attr vt d
+load net {ACC1:acc#408.itm(1)} -attr vt d
+load net {ACC1:acc#408.itm(2)} -attr vt d
+load netBundle {ACC1:acc#408.itm} 3 {ACC1:acc#408.itm(0)} {ACC1:acc#408.itm(1)} {ACC1:acc#408.itm(2)} -attr xrf 63813 -attr oid 1003 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#408.itm}
+load net {conc#1029.itm(0)} -attr vt d
+load net {conc#1029.itm(1)} -attr vt d
+load netBundle {conc#1029.itm} 2 {conc#1029.itm(0)} {conc#1029.itm(1)} -attr xrf 63814 -attr oid 1004 -attr vt d -attr @path {/sobel/sobel:core/conc#1029.itm}
+load net {ACC1:conc#1273.itm(0)} -attr vt d
+load net {ACC1:conc#1273.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1273.itm} 2 {ACC1:conc#1273.itm(0)} {ACC1:conc#1273.itm(1)} -attr xrf 63815 -attr oid 1005 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1273.itm}
+load net {ACC1:conc#1277.itm(0)} -attr vt d
+load net {ACC1:conc#1277.itm(1)} -attr vt d
+load net {ACC1:conc#1277.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1277.itm} 3 {ACC1:conc#1277.itm(0)} {ACC1:conc#1277.itm(1)} {ACC1:conc#1277.itm(2)} -attr xrf 63816 -attr oid 1006 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1277.itm}
+load net {ACC1-3:not#252.itm(0)} -attr vt d
+load net {ACC1-3:not#252.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#252.itm} 2 {ACC1-3:not#252.itm(0)} {ACC1-3:not#252.itm(1)} -attr xrf 63817 -attr oid 1007 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#252.itm}
+load net {slc(ACC1:acc#224.psp.sva)#14.itm(0)} -attr vt d
+load net {slc(ACC1:acc#224.psp.sva)#14.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#224.psp.sva)#14.itm} 2 {slc(ACC1:acc#224.psp.sva)#14.itm(0)} {slc(ACC1:acc#224.psp.sva)#14.itm(1)} -attr xrf 63818 -attr oid 1008 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#14.itm}
+load net {ACC1:acc#423.itm(0)} -attr vt d
+load net {ACC1:acc#423.itm(1)} -attr vt d
+load net {ACC1:acc#423.itm(2)} -attr vt d
+load net {ACC1:acc#423.itm(3)} -attr vt d
+load netBundle {ACC1:acc#423.itm} 4 {ACC1:acc#423.itm(0)} {ACC1:acc#423.itm(1)} {ACC1:acc#423.itm(2)} {ACC1:acc#423.itm(3)} -attr xrf 63819 -attr oid 1009 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#423.itm}
+load net {conc#1030.itm(0)} -attr vt d
+load net {conc#1030.itm(1)} -attr vt d
+load net {conc#1030.itm(2)} -attr vt d
+load netBundle {conc#1030.itm} 3 {conc#1030.itm(0)} {conc#1030.itm(1)} {conc#1030.itm(2)} -attr xrf 63820 -attr oid 1010 -attr vt d -attr @path {/sobel/sobel:core/conc#1030.itm}
+load net {ACC1-3:not#299.itm(0)} -attr vt d
+load net {ACC1-3:not#299.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#299.itm} 2 {ACC1-3:not#299.itm(0)} {ACC1-3:not#299.itm(1)} -attr xrf 63821 -attr oid 1011 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#299.itm}
+load net {slc(ACC1:acc#223.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#223.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#223.psp.sva).itm} 2 {slc(ACC1:acc#223.psp.sva).itm(0)} {slc(ACC1:acc#223.psp.sva).itm(1)} -attr xrf 63822 -attr oid 1012 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp.sva).itm}
+load net {conc#1031.itm(0)} -attr vt d
+load net {conc#1031.itm(1)} -attr vt d
+load netBundle {conc#1031.itm} 2 {conc#1031.itm(0)} {conc#1031.itm(1)} -attr xrf 63823 -attr oid 1013 -attr vt d -attr @path {/sobel/sobel:core/conc#1031.itm}
+load net {ACC1:acc#375.itm(0)} -attr vt d
+load net {ACC1:acc#375.itm(1)} -attr vt d
+load net {ACC1:acc#375.itm(2)} -attr vt d
+load net {ACC1:acc#375.itm(3)} -attr vt d
+load net {ACC1:acc#375.itm(4)} -attr vt d
+load netBundle {ACC1:acc#375.itm} 5 {ACC1:acc#375.itm(0)} {ACC1:acc#375.itm(1)} {ACC1:acc#375.itm(2)} {ACC1:acc#375.itm(3)} {ACC1:acc#375.itm(4)} -attr xrf 63824 -attr oid 1014 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375.itm}
+load net {conc#1032.itm(0)} -attr vt d
+load net {conc#1032.itm(1)} -attr vt d
+load net {conc#1032.itm(2)} -attr vt d
+load net {conc#1032.itm(3)} -attr vt d
+load netBundle {conc#1032.itm} 4 {conc#1032.itm(0)} {conc#1032.itm(1)} {conc#1032.itm(2)} {conc#1032.itm(3)} -attr xrf 63825 -attr oid 1015 -attr vt d -attr @path {/sobel/sobel:core/conc#1032.itm}
+load net {ACC1:slc#48.itm(0)} -attr vt d
+load net {ACC1:slc#48.itm(1)} -attr vt d
+load net {ACC1:slc#48.itm(2)} -attr vt d
+load netBundle {ACC1:slc#48.itm} 3 {ACC1:slc#48.itm(0)} {ACC1:slc#48.itm(1)} {ACC1:slc#48.itm(2)} -attr xrf 63826 -attr oid 1016 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#48.itm}
+load net {ACC1:acc#374.itm(0)} -attr vt d
+load net {ACC1:acc#374.itm(1)} -attr vt d
+load net {ACC1:acc#374.itm(2)} -attr vt d
+load net {ACC1:acc#374.itm(3)} -attr vt d
+load netBundle {ACC1:acc#374.itm} 4 {ACC1:acc#374.itm(0)} {ACC1:acc#374.itm(1)} {ACC1:acc#374.itm(2)} {ACC1:acc#374.itm(3)} -attr xrf 63827 -attr oid 1017 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#374.itm}
+load net {conc#1033.itm(0)} -attr vt d
+load net {conc#1033.itm(1)} -attr vt d
+load net {conc#1033.itm(2)} -attr vt d
+load net {conc#1033.itm(3)} -attr vt d
+load netBundle {conc#1033.itm} 4 {conc#1033.itm(0)} {conc#1033.itm(1)} {conc#1033.itm(2)} {conc#1033.itm(3)} -attr xrf 63828 -attr oid 1018 -attr vt d -attr @path {/sobel/sobel:core/conc#1033.itm}
+load net {ACC1:conc#1207.itm(0)} -attr vt d
+load net {ACC1:conc#1207.itm(1)} -attr vt d
+load net {ACC1:conc#1207.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1207.itm} 3 {ACC1:conc#1207.itm(0)} {ACC1:conc#1207.itm(1)} {ACC1:conc#1207.itm(2)} -attr xrf 63829 -attr oid 1019 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1207.itm}
+load net {ACC1:slc#46.itm(0)} -attr vt d
+load net {ACC1:slc#46.itm(1)} -attr vt d
+load netBundle {ACC1:slc#46.itm} 2 {ACC1:slc#46.itm(0)} {ACC1:slc#46.itm(1)} -attr xrf 63830 -attr oid 1020 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#46.itm}
+load net {ACC1:acc#372.itm(0)} -attr vt d
+load net {ACC1:acc#372.itm(1)} -attr vt d
+load net {ACC1:acc#372.itm(2)} -attr vt d
+load netBundle {ACC1:acc#372.itm} 3 {ACC1:acc#372.itm(0)} {ACC1:acc#372.itm(1)} {ACC1:acc#372.itm(2)} -attr xrf 63831 -attr oid 1021 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#372.itm}
+load net {conc#1034.itm(0)} -attr vt d
+load net {conc#1034.itm(1)} -attr vt d
+load netBundle {conc#1034.itm} 2 {conc#1034.itm(0)} {conc#1034.itm(1)} -attr xrf 63832 -attr oid 1022 -attr vt d -attr @path {/sobel/sobel:core/conc#1034.itm}
+load net {ACC1:conc#1203.itm(0)} -attr vt d
+load net {ACC1:conc#1203.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1203.itm} 2 {ACC1:conc#1203.itm(0)} {ACC1:conc#1203.itm(1)} -attr xrf 63833 -attr oid 1023 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1203.itm}
+load net {ACC1:conc#1209.itm(0)} -attr vt d
+load net {ACC1:conc#1209.itm(1)} -attr vt d
+load net {ACC1:conc#1209.itm(2)} -attr vt d
+load net {ACC1:conc#1209.itm(3)} -attr vt d
+load net {ACC1:conc#1209.itm(4)} -attr vt d
+load netBundle {ACC1:conc#1209.itm} 5 {ACC1:conc#1209.itm(0)} {ACC1:conc#1209.itm(1)} {ACC1:conc#1209.itm(2)} {ACC1:conc#1209.itm(3)} {ACC1:conc#1209.itm(4)} -attr xrf 63834 -attr oid 1024 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1209.itm}
+load net {ACC1:slc#47.itm(0)} -attr vt d
+load net {ACC1:slc#47.itm(1)} -attr vt d
+load net {ACC1:slc#47.itm(2)} -attr vt d
+load net {ACC1:slc#47.itm(3)} -attr vt d
+load netBundle {ACC1:slc#47.itm} 4 {ACC1:slc#47.itm(0)} {ACC1:slc#47.itm(1)} {ACC1:slc#47.itm(2)} {ACC1:slc#47.itm(3)} -attr xrf 63835 -attr oid 1025 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#47.itm}
+load net {ACC1:acc#373.itm(0)} -attr vt d
+load net {ACC1:acc#373.itm(1)} -attr vt d
+load net {ACC1:acc#373.itm(2)} -attr vt d
+load net {ACC1:acc#373.itm(3)} -attr vt d
+load net {ACC1:acc#373.itm(4)} -attr vt d
+load netBundle {ACC1:acc#373.itm} 5 {ACC1:acc#373.itm(0)} {ACC1:acc#373.itm(1)} {ACC1:acc#373.itm(2)} {ACC1:acc#373.itm(3)} {ACC1:acc#373.itm(4)} -attr xrf 63836 -attr oid 1026 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373.itm}
+load net {conc#1035.itm(0)} -attr vt d
+load net {conc#1035.itm(1)} -attr vt d
+load net {conc#1035.itm(2)} -attr vt d
+load netBundle {conc#1035.itm} 3 {conc#1035.itm(0)} {conc#1035.itm(1)} {conc#1035.itm(2)} -attr xrf 63837 -attr oid 1027 -attr vt d -attr @path {/sobel/sobel:core/conc#1035.itm}
+load net {ACC1:slc#45.itm(0)} -attr vt d
+load net {ACC1:slc#45.itm(1)} -attr vt d
+load netBundle {ACC1:slc#45.itm} 2 {ACC1:slc#45.itm(0)} {ACC1:slc#45.itm(1)} -attr xrf 63838 -attr oid 1028 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#45.itm}
+load net {ACC1:acc#371.itm(0)} -attr vt d
+load net {ACC1:acc#371.itm(1)} -attr vt d
+load net {ACC1:acc#371.itm(2)} -attr vt d
+load netBundle {ACC1:acc#371.itm} 3 {ACC1:acc#371.itm(0)} {ACC1:acc#371.itm(1)} {ACC1:acc#371.itm(2)} -attr xrf 63839 -attr oid 1029 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#371.itm}
+load net {conc#1036.itm(0)} -attr vt d
+load net {conc#1036.itm(1)} -attr vt d
+load netBundle {conc#1036.itm} 2 {conc#1036.itm(0)} {conc#1036.itm(1)} -attr xrf 63840 -attr oid 1030 -attr vt d -attr @path {/sobel/sobel:core/conc#1036.itm}
+load net {ACC1:conc#1201.itm(0)} -attr vt d
+load net {ACC1:conc#1201.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1201.itm} 2 {ACC1:conc#1201.itm(0)} {ACC1:conc#1201.itm(1)} -attr xrf 63841 -attr oid 1031 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1201.itm}
+load net {ACC1:conc#1205.itm(0)} -attr vt d
+load net {ACC1:conc#1205.itm(1)} -attr vt d
+load net {ACC1:conc#1205.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1205.itm} 3 {ACC1:conc#1205.itm(0)} {ACC1:conc#1205.itm(1)} {ACC1:conc#1205.itm(2)} -attr xrf 63842 -attr oid 1032 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1205.itm}
+load net {ACC1-2:not#243.itm(0)} -attr vt d
+load net {ACC1-2:not#243.itm(1)} -attr vt d
+load netBundle {ACC1-2:not#243.itm} 2 {ACC1-2:not#243.itm(0)} {ACC1-2:not#243.itm(1)} -attr xrf 63843 -attr oid 1033 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#243.itm}
+load net {slc(ACC1:acc#228.psp.sva)#12.itm(0)} -attr vt d
+load net {slc(ACC1:acc#228.psp.sva)#12.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#228.psp.sva)#12.itm} 2 {slc(ACC1:acc#228.psp.sva)#12.itm(0)} {slc(ACC1:acc#228.psp.sva)#12.itm(1)} -attr xrf 63844 -attr oid 1034 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#12.itm}
+load net {ACC1:acc#395.itm(0)} -attr vt d
+load net {ACC1:acc#395.itm(1)} -attr vt d
+load net {ACC1:acc#395.itm(2)} -attr vt d
+load net {ACC1:acc#395.itm(3)} -attr vt d
+load netBundle {ACC1:acc#395.itm} 4 {ACC1:acc#395.itm(0)} {ACC1:acc#395.itm(1)} {ACC1:acc#395.itm(2)} {ACC1:acc#395.itm(3)} -attr xrf 63845 -attr oid 1035 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#395.itm}
+load net {conc#1037.itm(0)} -attr vt d
+load net {conc#1037.itm(1)} -attr vt d
+load net {conc#1037.itm(2)} -attr vt d
+load netBundle {conc#1037.itm} 3 {conc#1037.itm(0)} {conc#1037.itm(1)} {conc#1037.itm(2)} -attr xrf 63846 -attr oid 1036 -attr vt d -attr @path {/sobel/sobel:core/conc#1037.itm}
+load net {ACC1-3:not#293.itm(0)} -attr vt d
+load net {ACC1-3:not#293.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#293.itm} 2 {ACC1-3:not#293.itm(0)} {ACC1-3:not#293.itm(1)} -attr xrf 63847 -attr oid 1037 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#293.itm}
+load net {slc(ACC1:acc#220.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#220.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#220.psp.sva).itm} 2 {slc(ACC1:acc#220.psp.sva).itm(0)} {slc(ACC1:acc#220.psp.sva).itm(1)} -attr xrf 63848 -attr oid 1038 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp.sva).itm}
+load net {conc#1038.itm(0)} -attr vt d
+load net {conc#1038.itm(1)} -attr vt d
+load netBundle {conc#1038.itm} 2 {conc#1038.itm(0)} {conc#1038.itm(1)} -attr xrf 63849 -attr oid 1039 -attr vt d -attr @path {/sobel/sobel:core/conc#1038.itm}
+load net {ACC1:acc#384.itm(0)} -attr vt d
+load net {ACC1:acc#384.itm(1)} -attr vt d
+load net {ACC1:acc#384.itm(2)} -attr vt d
+load net {ACC1:acc#384.itm(3)} -attr vt d
+load net {ACC1:acc#384.itm(4)} -attr vt d
+load netBundle {ACC1:acc#384.itm} 5 {ACC1:acc#384.itm(0)} {ACC1:acc#384.itm(1)} {ACC1:acc#384.itm(2)} {ACC1:acc#384.itm(3)} {ACC1:acc#384.itm(4)} -attr xrf 63850 -attr oid 1040 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384.itm}
+load net {conc#1039.itm(0)} -attr vt d
+load net {conc#1039.itm(1)} -attr vt d
+load net {conc#1039.itm(2)} -attr vt d
+load net {conc#1039.itm(3)} -attr vt d
+load netBundle {conc#1039.itm} 4 {conc#1039.itm(0)} {conc#1039.itm(1)} {conc#1039.itm(2)} {conc#1039.itm(3)} -attr xrf 63851 -attr oid 1041 -attr vt d -attr @path {/sobel/sobel:core/conc#1039.itm}
+load net {ACC1:slc#56.itm(0)} -attr vt d
+load net {ACC1:slc#56.itm(1)} -attr vt d
+load net {ACC1:slc#56.itm(2)} -attr vt d
+load netBundle {ACC1:slc#56.itm} 3 {ACC1:slc#56.itm(0)} {ACC1:slc#56.itm(1)} {ACC1:slc#56.itm(2)} -attr xrf 63852 -attr oid 1042 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#56.itm}
+load net {ACC1:acc#383.itm(0)} -attr vt d
+load net {ACC1:acc#383.itm(1)} -attr vt d
+load net {ACC1:acc#383.itm(2)} -attr vt d
+load net {ACC1:acc#383.itm(3)} -attr vt d
+load netBundle {ACC1:acc#383.itm} 4 {ACC1:acc#383.itm(0)} {ACC1:acc#383.itm(1)} {ACC1:acc#383.itm(2)} {ACC1:acc#383.itm(3)} -attr xrf 63853 -attr oid 1043 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#383.itm}
+load net {conc#1040.itm(0)} -attr vt d
+load net {conc#1040.itm(1)} -attr vt d
+load net {conc#1040.itm(2)} -attr vt d
+load net {conc#1040.itm(3)} -attr vt d
+load netBundle {conc#1040.itm} 4 {conc#1040.itm(0)} {conc#1040.itm(1)} {conc#1040.itm(2)} {conc#1040.itm(3)} -attr xrf 63854 -attr oid 1044 -attr vt d -attr @path {/sobel/sobel:core/conc#1040.itm}
+load net {ACC1:conc#1225.itm(0)} -attr vt d
+load net {ACC1:conc#1225.itm(1)} -attr vt d
+load net {ACC1:conc#1225.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1225.itm} 3 {ACC1:conc#1225.itm(0)} {ACC1:conc#1225.itm(1)} {ACC1:conc#1225.itm(2)} -attr xrf 63855 -attr oid 1045 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1225.itm}
+load net {ACC1:slc#54.itm(0)} -attr vt d
+load net {ACC1:slc#54.itm(1)} -attr vt d
+load netBundle {ACC1:slc#54.itm} 2 {ACC1:slc#54.itm(0)} {ACC1:slc#54.itm(1)} -attr xrf 63856 -attr oid 1046 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#54.itm}
+load net {ACC1:acc#381.itm(0)} -attr vt d
+load net {ACC1:acc#381.itm(1)} -attr vt d
+load net {ACC1:acc#381.itm(2)} -attr vt d
+load netBundle {ACC1:acc#381.itm} 3 {ACC1:acc#381.itm(0)} {ACC1:acc#381.itm(1)} {ACC1:acc#381.itm(2)} -attr xrf 63857 -attr oid 1047 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#381.itm}
+load net {conc#1041.itm(0)} -attr vt d
+load net {conc#1041.itm(1)} -attr vt d
+load netBundle {conc#1041.itm} 2 {conc#1041.itm(0)} {conc#1041.itm(1)} -attr xrf 63858 -attr oid 1048 -attr vt d -attr @path {/sobel/sobel:core/conc#1041.itm}
+load net {ACC1:conc#1221.itm(0)} -attr vt d
+load net {ACC1:conc#1221.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1221.itm} 2 {ACC1:conc#1221.itm(0)} {ACC1:conc#1221.itm(1)} -attr xrf 63859 -attr oid 1049 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1221.itm}
+load net {ACC1:conc#1227.itm(0)} -attr vt d
+load net {ACC1:conc#1227.itm(1)} -attr vt d
+load net {ACC1:conc#1227.itm(2)} -attr vt d
+load net {ACC1:conc#1227.itm(3)} -attr vt d
+load net {ACC1:conc#1227.itm(4)} -attr vt d
+load netBundle {ACC1:conc#1227.itm} 5 {ACC1:conc#1227.itm(0)} {ACC1:conc#1227.itm(1)} {ACC1:conc#1227.itm(2)} {ACC1:conc#1227.itm(3)} {ACC1:conc#1227.itm(4)} -attr xrf 63860 -attr oid 1050 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1227.itm}
+load net {ACC1:slc#55.itm(0)} -attr vt d
+load net {ACC1:slc#55.itm(1)} -attr vt d
+load net {ACC1:slc#55.itm(2)} -attr vt d
+load net {ACC1:slc#55.itm(3)} -attr vt d
+load netBundle {ACC1:slc#55.itm} 4 {ACC1:slc#55.itm(0)} {ACC1:slc#55.itm(1)} {ACC1:slc#55.itm(2)} {ACC1:slc#55.itm(3)} -attr xrf 63861 -attr oid 1051 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
+load net {ACC1:acc#382.itm(0)} -attr vt d
+load net {ACC1:acc#382.itm(1)} -attr vt d
+load net {ACC1:acc#382.itm(2)} -attr vt d
+load net {ACC1:acc#382.itm(3)} -attr vt d
+load net {ACC1:acc#382.itm(4)} -attr vt d
+load netBundle {ACC1:acc#382.itm} 5 {ACC1:acc#382.itm(0)} {ACC1:acc#382.itm(1)} {ACC1:acc#382.itm(2)} {ACC1:acc#382.itm(3)} {ACC1:acc#382.itm(4)} -attr xrf 63862 -attr oid 1052 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382.itm}
+load net {conc#1042.itm(0)} -attr vt d
+load net {conc#1042.itm(1)} -attr vt d
+load net {conc#1042.itm(2)} -attr vt d
+load netBundle {conc#1042.itm} 3 {conc#1042.itm(0)} {conc#1042.itm(1)} {conc#1042.itm(2)} -attr xrf 63863 -attr oid 1053 -attr vt d -attr @path {/sobel/sobel:core/conc#1042.itm}
+load net {ACC1:slc#53.itm(0)} -attr vt d
+load net {ACC1:slc#53.itm(1)} -attr vt d
+load netBundle {ACC1:slc#53.itm} 2 {ACC1:slc#53.itm(0)} {ACC1:slc#53.itm(1)} -attr xrf 63864 -attr oid 1054 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#53.itm}
+load net {ACC1:acc#380.itm(0)} -attr vt d
+load net {ACC1:acc#380.itm(1)} -attr vt d
+load net {ACC1:acc#380.itm(2)} -attr vt d
+load netBundle {ACC1:acc#380.itm} 3 {ACC1:acc#380.itm(0)} {ACC1:acc#380.itm(1)} {ACC1:acc#380.itm(2)} -attr xrf 63865 -attr oid 1055 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#380.itm}
+load net {conc#1043.itm(0)} -attr vt d
+load net {conc#1043.itm(1)} -attr vt d
+load netBundle {conc#1043.itm} 2 {conc#1043.itm(0)} {conc#1043.itm(1)} -attr xrf 63866 -attr oid 1056 -attr vt d -attr @path {/sobel/sobel:core/conc#1043.itm}
+load net {ACC1:conc#1219.itm(0)} -attr vt d
+load net {ACC1:conc#1219.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1219.itm} 2 {ACC1:conc#1219.itm(0)} {ACC1:conc#1219.itm(1)} -attr xrf 63867 -attr oid 1057 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1219.itm}
+load net {ACC1:conc#1223.itm(0)} -attr vt d
+load net {ACC1:conc#1223.itm(1)} -attr vt d
+load net {ACC1:conc#1223.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1223.itm} 3 {ACC1:conc#1223.itm(0)} {ACC1:conc#1223.itm(1)} {ACC1:conc#1223.itm(2)} -attr xrf 63868 -attr oid 1058 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1223.itm}
+load net {ACC1-2:not#225.itm(0)} -attr vt d
+load net {ACC1-2:not#225.itm(1)} -attr vt d
+load netBundle {ACC1-2:not#225.itm} 2 {ACC1-2:not#225.itm(0)} {ACC1-2:not#225.itm(1)} -attr xrf 63869 -attr oid 1059 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#225.itm}
+load net {slc(ACC1:acc#226.psp.sva)#12.itm(0)} -attr vt d
+load net {slc(ACC1:acc#226.psp.sva)#12.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#226.psp.sva)#12.itm} 2 {slc(ACC1:acc#226.psp.sva)#12.itm(0)} {slc(ACC1:acc#226.psp.sva)#12.itm(1)} -attr xrf 63870 -attr oid 1060 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#12.itm}
+load net {ACC1:slc#73.itm(0)} -attr vt d
+load net {ACC1:slc#73.itm(1)} -attr vt d
+load net {ACC1:slc#73.itm(2)} -attr vt d
+load net {ACC1:slc#73.itm(3)} -attr vt d
+load netBundle {ACC1:slc#73.itm} 4 {ACC1:slc#73.itm(0)} {ACC1:slc#73.itm(1)} {ACC1:slc#73.itm(2)} {ACC1:slc#73.itm(3)} -attr xrf 63871 -attr oid 1061 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#403.itm(0)} -attr vt d
+load net {ACC1:acc#403.itm(1)} -attr vt d
+load net {ACC1:acc#403.itm(2)} -attr vt d
+load net {ACC1:acc#403.itm(3)} -attr vt d
+load net {ACC1:acc#403.itm(4)} -attr vt d
+load netBundle {ACC1:acc#403.itm} 5 {ACC1:acc#403.itm(0)} {ACC1:acc#403.itm(1)} {ACC1:acc#403.itm(2)} {ACC1:acc#403.itm(3)} {ACC1:acc#403.itm(4)} -attr xrf 63872 -attr oid 1062 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403.itm}
+load net {conc#1044.itm(0)} -attr vt d
+load net {conc#1044.itm(1)} -attr vt d
+load net {conc#1044.itm(2)} -attr vt d
+load net {conc#1044.itm(3)} -attr vt d
+load netBundle {conc#1044.itm} 4 {conc#1044.itm(0)} {conc#1044.itm(1)} {conc#1044.itm(2)} {conc#1044.itm(3)} -attr xrf 63873 -attr oid 1063 -attr vt d -attr @path {/sobel/sobel:core/conc#1044.itm}
+load net {ACC1:slc#71.itm(0)} -attr vt d
+load net {ACC1:slc#71.itm(1)} -attr vt d
+load net {ACC1:slc#71.itm(2)} -attr vt d
+load netBundle {ACC1:slc#71.itm} 3 {ACC1:slc#71.itm(0)} {ACC1:slc#71.itm(1)} {ACC1:slc#71.itm(2)} -attr xrf 63874 -attr oid 1064 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#71.itm}
+load net {ACC1:acc#401.itm(0)} -attr vt d
+load net {ACC1:acc#401.itm(1)} -attr vt d
+load net {ACC1:acc#401.itm(2)} -attr vt d
+load net {ACC1:acc#401.itm(3)} -attr vt d
+load netBundle {ACC1:acc#401.itm} 4 {ACC1:acc#401.itm(0)} {ACC1:acc#401.itm(1)} {ACC1:acc#401.itm(2)} {ACC1:acc#401.itm(3)} -attr xrf 63875 -attr oid 1065 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#401.itm}
+load net {conc#1045.itm(0)} -attr vt d
+load net {conc#1045.itm(1)} -attr vt d
+load netBundle {conc#1045.itm} 2 {conc#1045.itm(0)} {conc#1045.itm(1)} -attr xrf 63876 -attr oid 1066 -attr vt d -attr @path {/sobel/sobel:core/conc#1045.itm}
+load net {ACC1:conc#1259.itm(0)} -attr vt d
+load net {ACC1:conc#1259.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1259.itm} 2 {ACC1:conc#1259.itm(0)} {ACC1:conc#1259.itm(1)} -attr xrf 63877 -attr oid 1067 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1259.itm}
+load net {conc#1046.itm(0)} -attr vt d
+load net {conc#1046.itm(1)} -attr vt d
+load net {conc#1046.itm(2)} -attr vt d
+load net {conc#1046.itm(3)} -attr vt d
+load netBundle {conc#1046.itm} 4 {conc#1046.itm(0)} {conc#1046.itm(1)} {conc#1046.itm(2)} {conc#1046.itm(3)} -attr xrf 63878 -attr oid 1068 -attr vt d -attr @path {/sobel/sobel:core/conc#1046.itm}
+load net {ACC1:slc#72.itm(0)} -attr vt d
+load net {ACC1:slc#72.itm(1)} -attr vt d
+load net {ACC1:slc#72.itm(2)} -attr vt d
+load netBundle {ACC1:slc#72.itm} 3 {ACC1:slc#72.itm(0)} {ACC1:slc#72.itm(1)} {ACC1:slc#72.itm(2)} -attr xrf 63879 -attr oid 1069 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#402.itm(0)} -attr vt d
+load net {ACC1:acc#402.itm(1)} -attr vt d
+load net {ACC1:acc#402.itm(2)} -attr vt d
+load net {ACC1:acc#402.itm(3)} -attr vt d
+load netBundle {ACC1:acc#402.itm} 4 {ACC1:acc#402.itm(0)} {ACC1:acc#402.itm(1)} {ACC1:acc#402.itm(2)} {ACC1:acc#402.itm(3)} -attr xrf 63880 -attr oid 1070 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#402.itm}
+load net {conc#1047.itm(0)} -attr vt d
+load net {conc#1047.itm(1)} -attr vt d
+load net {conc#1047.itm(2)} -attr vt d
+load netBundle {conc#1047.itm} 3 {conc#1047.itm(0)} {conc#1047.itm(1)} {conc#1047.itm(2)} -attr xrf 63881 -attr oid 1071 -attr vt d -attr @path {/sobel/sobel:core/conc#1047.itm}
+load net {ACC1:slc#70.itm(0)} -attr vt d
+load net {ACC1:slc#70.itm(1)} -attr vt d
+load netBundle {ACC1:slc#70.itm} 2 {ACC1:slc#70.itm(0)} {ACC1:slc#70.itm(1)} -attr xrf 63882 -attr oid 1072 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#70.itm}
+load net {ACC1:acc#400.itm(0)} -attr vt d
+load net {ACC1:acc#400.itm(1)} -attr vt d
+load net {ACC1:acc#400.itm(2)} -attr vt d
+load netBundle {ACC1:acc#400.itm} 3 {ACC1:acc#400.itm(0)} {ACC1:acc#400.itm(1)} {ACC1:acc#400.itm(2)} -attr xrf 63883 -attr oid 1073 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#400.itm}
+load net {conc#1048.itm(0)} -attr vt d
+load net {conc#1048.itm(1)} -attr vt d
+load netBundle {conc#1048.itm} 2 {conc#1048.itm(0)} {conc#1048.itm(1)} -attr xrf 63884 -attr oid 1074 -attr vt d -attr @path {/sobel/sobel:core/conc#1048.itm}
+load net {ACC1:conc#1257.itm(0)} -attr vt d
+load net {ACC1:conc#1257.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1257.itm} 2 {ACC1:conc#1257.itm(0)} {ACC1:conc#1257.itm(1)} -attr xrf 63885 -attr oid 1075 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1257.itm}
+load net {ACC1:conc#1261.itm(0)} -attr vt d
+load net {ACC1:conc#1261.itm(1)} -attr vt d
+load net {ACC1:conc#1261.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1261.itm} 3 {ACC1:conc#1261.itm(0)} {ACC1:conc#1261.itm(1)} {ACC1:conc#1261.itm(2)} -attr xrf 63886 -attr oid 1076 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1261.itm}
+load net {ACC1:slc#69.itm(0)} -attr vt d
+load net {ACC1:slc#69.itm(1)} -attr vt d
+load netBundle {ACC1:slc#69.itm} 2 {ACC1:slc#69.itm(0)} {ACC1:slc#69.itm(1)} -attr xrf 63887 -attr oid 1077 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#69.itm}
+load net {ACC1:acc#399.itm(0)} -attr vt d
+load net {ACC1:acc#399.itm(1)} -attr vt d
+load net {ACC1:acc#399.itm(2)} -attr vt d
+load netBundle {ACC1:acc#399.itm} 3 {ACC1:acc#399.itm(0)} {ACC1:acc#399.itm(1)} {ACC1:acc#399.itm(2)} -attr xrf 63888 -attr oid 1078 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#399.itm}
+load net {conc#1049.itm(0)} -attr vt d
+load net {conc#1049.itm(1)} -attr vt d
+load netBundle {conc#1049.itm} 2 {conc#1049.itm(0)} {conc#1049.itm(1)} -attr xrf 63889 -attr oid 1079 -attr vt d -attr @path {/sobel/sobel:core/conc#1049.itm}
+load net {ACC1:conc#1255.itm(0)} -attr vt d
+load net {ACC1:conc#1255.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1255.itm} 2 {ACC1:conc#1255.itm(0)} {ACC1:conc#1255.itm(1)} -attr xrf 63890 -attr oid 1080 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1255.itm}
+load net {ACC1:acc#414.itm(0)} -attr vt d
+load net {ACC1:acc#414.itm(1)} -attr vt d
+load net {ACC1:acc#414.itm(2)} -attr vt d
+load net {ACC1:acc#414.itm(3)} -attr vt d
+load netBundle {ACC1:acc#414.itm} 4 {ACC1:acc#414.itm(0)} {ACC1:acc#414.itm(1)} {ACC1:acc#414.itm(2)} {ACC1:acc#414.itm(3)} -attr xrf 63891 -attr oid 1081 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#414.itm}
+load net {conc#1050.itm(0)} -attr vt d
+load net {conc#1050.itm(1)} -attr vt d
+load net {conc#1050.itm(2)} -attr vt d
+load netBundle {conc#1050.itm} 3 {conc#1050.itm(0)} {conc#1050.itm(1)} {conc#1050.itm(2)} -attr xrf 63892 -attr oid 1082 -attr vt d -attr @path {/sobel/sobel:core/conc#1050.itm}
+load net {ACC1-3:not#297.itm(0)} -attr vt d
+load net {ACC1-3:not#297.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#297.itm} 2 {ACC1-3:not#297.itm(0)} {ACC1-3:not#297.itm(1)} -attr xrf 63893 -attr oid 1083 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#297.itm}
+load net {slc(ACC1:acc#222.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#222.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#222.psp.sva).itm} 2 {slc(ACC1:acc#222.psp.sva).itm(0)} {slc(ACC1:acc#222.psp.sva).itm(1)} -attr xrf 63894 -attr oid 1084 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp.sva).itm}
+load net {conc#1051.itm(0)} -attr vt d
+load net {conc#1051.itm(1)} -attr vt d
+load netBundle {conc#1051.itm} 2 {conc#1051.itm(0)} {conc#1051.itm(1)} -attr xrf 63895 -attr oid 1085 -attr vt d -attr @path {/sobel/sobel:core/conc#1051.itm}
+load net {ACC1:acc#377.itm(0)} -attr vt d
+load net {ACC1:acc#377.itm(1)} -attr vt d
+load net {ACC1:acc#377.itm(2)} -attr vt d
+load net {ACC1:acc#377.itm(3)} -attr vt d
+load netBundle {ACC1:acc#377.itm} 4 {ACC1:acc#377.itm(0)} {ACC1:acc#377.itm(1)} {ACC1:acc#377.itm(2)} {ACC1:acc#377.itm(3)} -attr xrf 63896 -attr oid 1086 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#377.itm}
+load net {conc#1052.itm(0)} -attr vt d
+load net {conc#1052.itm(1)} -attr vt d
+load net {conc#1052.itm(2)} -attr vt d
+load netBundle {conc#1052.itm} 3 {conc#1052.itm(0)} {conc#1052.itm(1)} {conc#1052.itm(2)} -attr xrf 63897 -attr oid 1087 -attr vt d -attr @path {/sobel/sobel:core/conc#1052.itm}
+load net {ACC1-2:not#295.itm(0)} -attr vt d
+load net {ACC1-2:not#295.itm(1)} -attr vt d
+load netBundle {ACC1-2:not#295.itm} 2 {ACC1-2:not#295.itm(0)} {ACC1-2:not#295.itm(1)} -attr xrf 63898 -attr oid 1088 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#295.itm}
+load net {slc(ACC1:acc#221.psp#2.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#221.psp#2.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#221.psp#2.sva).itm} 2 {slc(ACC1:acc#221.psp#2.sva).itm(0)} {slc(ACC1:acc#221.psp#2.sva).itm(1)} -attr xrf 63899 -attr oid 1089 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp#2.sva).itm}
+load net {conc#1053.itm(0)} -attr vt d
+load net {conc#1053.itm(1)} -attr vt d
+load netBundle {conc#1053.itm} 2 {conc#1053.itm(0)} {conc#1053.itm(1)} -attr xrf 63900 -attr oid 1090 -attr vt d -attr @path {/sobel/sobel:core/conc#1053.itm}
+load net {ACC1:acc#346.itm(0)} -attr vt d
+load net {ACC1:acc#346.itm(1)} -attr vt d
+load net {ACC1:acc#346.itm(2)} -attr vt d
+load net {ACC1:acc#346.itm(3)} -attr vt d
+load net {ACC1:acc#346.itm(4)} -attr vt d
+load netBundle {ACC1:acc#346.itm} 5 {ACC1:acc#346.itm(0)} {ACC1:acc#346.itm(1)} {ACC1:acc#346.itm(2)} {ACC1:acc#346.itm(3)} {ACC1:acc#346.itm(4)} -attr xrf 63901 -attr oid 1091 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {conc#1054.itm(0)} -attr vt d
+load net {conc#1054.itm(1)} -attr vt d
+load net {conc#1054.itm(2)} -attr vt d
+load net {conc#1054.itm(3)} -attr vt d
+load netBundle {conc#1054.itm} 4 {conc#1054.itm(0)} {conc#1054.itm(1)} {conc#1054.itm(2)} {conc#1054.itm(3)} -attr xrf 63902 -attr oid 1092 -attr vt d -attr @path {/sobel/sobel:core/conc#1054.itm}
+load net {ACC1:slc#24.itm(0)} -attr vt d
+load net {ACC1:slc#24.itm(1)} -attr vt d
+load net {ACC1:slc#24.itm(2)} -attr vt d
+load netBundle {ACC1:slc#24.itm} 3 {ACC1:slc#24.itm(0)} {ACC1:slc#24.itm(1)} {ACC1:slc#24.itm(2)} -attr xrf 63903 -attr oid 1093 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#24.itm}
+load net {ACC1:acc#345.itm(0)} -attr vt d
+load net {ACC1:acc#345.itm(1)} -attr vt d
+load net {ACC1:acc#345.itm(2)} -attr vt d
+load net {ACC1:acc#345.itm(3)} -attr vt d
+load netBundle {ACC1:acc#345.itm} 4 {ACC1:acc#345.itm(0)} {ACC1:acc#345.itm(1)} {ACC1:acc#345.itm(2)} {ACC1:acc#345.itm(3)} -attr xrf 63904 -attr oid 1094 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#345.itm}
+load net {conc#1055.itm(0)} -attr vt d
+load net {conc#1055.itm(1)} -attr vt d
+load net {conc#1055.itm(2)} -attr vt d
+load net {conc#1055.itm(3)} -attr vt d
+load netBundle {conc#1055.itm} 4 {conc#1055.itm(0)} {conc#1055.itm(1)} {conc#1055.itm(2)} {conc#1055.itm(3)} -attr xrf 63905 -attr oid 1095 -attr vt d -attr @path {/sobel/sobel:core/conc#1055.itm}
+load net {ACC1:conc#1153.itm(0)} -attr vt d
+load net {ACC1:conc#1153.itm(1)} -attr vt d
+load net {ACC1:conc#1153.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1153.itm} 3 {ACC1:conc#1153.itm(0)} {ACC1:conc#1153.itm(1)} {ACC1:conc#1153.itm(2)} -attr xrf 63906 -attr oid 1096 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1153.itm}
+load net {ACC1:slc#22.itm(0)} -attr vt d
+load net {ACC1:slc#22.itm(1)} -attr vt d
+load netBundle {ACC1:slc#22.itm} 2 {ACC1:slc#22.itm(0)} {ACC1:slc#22.itm(1)} -attr xrf 63907 -attr oid 1097 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#22.itm}
+load net {ACC1:acc#343.itm(0)} -attr vt d
+load net {ACC1:acc#343.itm(1)} -attr vt d
+load net {ACC1:acc#343.itm(2)} -attr vt d
+load netBundle {ACC1:acc#343.itm} 3 {ACC1:acc#343.itm(0)} {ACC1:acc#343.itm(1)} {ACC1:acc#343.itm(2)} -attr xrf 63908 -attr oid 1098 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#343.itm}
+load net {conc#1056.itm(0)} -attr vt d
+load net {conc#1056.itm(1)} -attr vt d
+load netBundle {conc#1056.itm} 2 {conc#1056.itm(0)} {conc#1056.itm(1)} -attr xrf 63909 -attr oid 1099 -attr vt d -attr @path {/sobel/sobel:core/conc#1056.itm}
+load net {ACC1:conc#1149.itm(0)} -attr vt d
+load net {ACC1:conc#1149.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1149.itm} 2 {ACC1:conc#1149.itm(0)} {ACC1:conc#1149.itm(1)} -attr xrf 63910 -attr oid 1100 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1149.itm}
+load net {ACC1:conc#1155.itm(0)} -attr vt d
+load net {ACC1:conc#1155.itm(1)} -attr vt d
+load net {ACC1:conc#1155.itm(2)} -attr vt d
+load net {ACC1:conc#1155.itm(3)} -attr vt d
+load net {ACC1:conc#1155.itm(4)} -attr vt d
+load netBundle {ACC1:conc#1155.itm} 5 {ACC1:conc#1155.itm(0)} {ACC1:conc#1155.itm(1)} {ACC1:conc#1155.itm(2)} {ACC1:conc#1155.itm(3)} {ACC1:conc#1155.itm(4)} -attr xrf 63911 -attr oid 1101 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1155.itm}
+load net {ACC1:slc#23.itm(0)} -attr vt d
+load net {ACC1:slc#23.itm(1)} -attr vt d
+load net {ACC1:slc#23.itm(2)} -attr vt d
+load net {ACC1:slc#23.itm(3)} -attr vt d
+load netBundle {ACC1:slc#23.itm} 4 {ACC1:slc#23.itm(0)} {ACC1:slc#23.itm(1)} {ACC1:slc#23.itm(2)} {ACC1:slc#23.itm(3)} -attr xrf 63912 -attr oid 1102 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#23.itm}
+load net {ACC1:acc#344.itm(0)} -attr vt d
+load net {ACC1:acc#344.itm(1)} -attr vt d
+load net {ACC1:acc#344.itm(2)} -attr vt d
+load net {ACC1:acc#344.itm(3)} -attr vt d
+load net {ACC1:acc#344.itm(4)} -attr vt d
+load netBundle {ACC1:acc#344.itm} 5 {ACC1:acc#344.itm(0)} {ACC1:acc#344.itm(1)} {ACC1:acc#344.itm(2)} {ACC1:acc#344.itm(3)} {ACC1:acc#344.itm(4)} -attr xrf 63913 -attr oid 1103 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {conc#1057.itm(0)} -attr vt d
+load net {conc#1057.itm(1)} -attr vt d
+load net {conc#1057.itm(2)} -attr vt d
+load netBundle {conc#1057.itm} 3 {conc#1057.itm(0)} {conc#1057.itm(1)} {conc#1057.itm(2)} -attr xrf 63914 -attr oid 1104 -attr vt d -attr @path {/sobel/sobel:core/conc#1057.itm}
+load net {ACC1:slc#21.itm(0)} -attr vt d
+load net {ACC1:slc#21.itm(1)} -attr vt d
+load netBundle {ACC1:slc#21.itm} 2 {ACC1:slc#21.itm(0)} {ACC1:slc#21.itm(1)} -attr xrf 63915 -attr oid 1105 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#21.itm}
+load net {ACC1:acc#342.itm(0)} -attr vt d
+load net {ACC1:acc#342.itm(1)} -attr vt d
+load net {ACC1:acc#342.itm(2)} -attr vt d
+load netBundle {ACC1:acc#342.itm} 3 {ACC1:acc#342.itm(0)} {ACC1:acc#342.itm(1)} {ACC1:acc#342.itm(2)} -attr xrf 63916 -attr oid 1106 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {conc#1058.itm(0)} -attr vt d
+load net {conc#1058.itm(1)} -attr vt d
+load netBundle {conc#1058.itm} 2 {conc#1058.itm(0)} {conc#1058.itm(1)} -attr xrf 63917 -attr oid 1107 -attr vt d -attr @path {/sobel/sobel:core/conc#1058.itm}
+load net {ACC1:conc#1147.itm(0)} -attr vt d
+load net {ACC1:conc#1147.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1147.itm} 2 {ACC1:conc#1147.itm(0)} {ACC1:conc#1147.itm(1)} -attr xrf 63918 -attr oid 1108 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1147.itm}
+load net {ACC1:conc#1151.itm(0)} -attr vt d
+load net {ACC1:conc#1151.itm(1)} -attr vt d
+load net {ACC1:conc#1151.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1151.itm} 3 {ACC1:conc#1151.itm(0)} {ACC1:conc#1151.itm(1)} {ACC1:conc#1151.itm(2)} -attr xrf 63919 -attr oid 1109 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1151.itm}
+load net {ACC1-1:not#252.itm(0)} -attr vt d
+load net {ACC1-1:not#252.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#252.itm} 2 {ACC1-1:not#252.itm(0)} {ACC1-1:not#252.itm(1)} -attr xrf 63920 -attr oid 1110 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#252.itm}
+load net {slc(ACC1:acc#224.psp#1.sva)#12.itm(0)} -attr vt d
+load net {slc(ACC1:acc#224.psp#1.sva)#12.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#224.psp#1.sva)#12.itm} 2 {slc(ACC1:acc#224.psp#1.sva)#12.itm(0)} {slc(ACC1:acc#224.psp#1.sva)#12.itm(1)} -attr xrf 63921 -attr oid 1111 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#12.itm}
+load net {ACC1:acc#386.itm(0)} -attr vt d
+load net {ACC1:acc#386.itm(1)} -attr vt d
+load net {ACC1:acc#386.itm(2)} -attr vt d
+load net {ACC1:acc#386.itm(3)} -attr vt d
+load netBundle {ACC1:acc#386.itm} 4 {ACC1:acc#386.itm(0)} {ACC1:acc#386.itm(1)} {ACC1:acc#386.itm(2)} {ACC1:acc#386.itm(3)} -attr xrf 63922 -attr oid 1112 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#386.itm}
+load net {conc#1059.itm(0)} -attr vt d
+load net {conc#1059.itm(1)} -attr vt d
+load net {conc#1059.itm(2)} -attr vt d
+load netBundle {conc#1059.itm} 3 {conc#1059.itm(0)} {conc#1059.itm(1)} {conc#1059.itm(2)} -attr xrf 63923 -attr oid 1113 -attr vt d -attr @path {/sobel/sobel:core/conc#1059.itm}
+load net {ACC1-2:not#291.itm(0)} -attr vt d
+load net {ACC1-2:not#291.itm(1)} -attr vt d
+load netBundle {ACC1-2:not#291.itm} 2 {ACC1-2:not#291.itm(0)} {ACC1-2:not#291.itm(1)} -attr xrf 63924 -attr oid 1114 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#291.itm}
+load net {slc(ACC1:acc#219.psp#2.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#219.psp#2.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#219.psp#2.sva).itm} 2 {slc(ACC1:acc#219.psp#2.sva).itm(0)} {slc(ACC1:acc#219.psp#2.sva).itm(1)} -attr xrf 63925 -attr oid 1115 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#2.sva).itm}
+load net {conc#1060.itm(0)} -attr vt d
+load net {conc#1060.itm(1)} -attr vt d
+load netBundle {conc#1060.itm} 2 {conc#1060.itm(0)} {conc#1060.itm(1)} -attr xrf 63926 -attr oid 1116 -attr vt d -attr @path {/sobel/sobel:core/conc#1060.itm}
+load net {ACC1:acc#405.itm(0)} -attr vt d
+load net {ACC1:acc#405.itm(1)} -attr vt d
+load net {ACC1:acc#405.itm(2)} -attr vt d
+load net {ACC1:acc#405.itm(3)} -attr vt d
+load netBundle {ACC1:acc#405.itm} 4 {ACC1:acc#405.itm(0)} {ACC1:acc#405.itm(1)} {ACC1:acc#405.itm(2)} {ACC1:acc#405.itm(3)} -attr xrf 63927 -attr oid 1117 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#405.itm}
+load net {conc#1061.itm(0)} -attr vt d
+load net {conc#1061.itm(1)} -attr vt d
+load net {conc#1061.itm(2)} -attr vt d
+load netBundle {conc#1061.itm} 3 {conc#1061.itm(0)} {conc#1061.itm(1)} {conc#1061.itm(2)} -attr xrf 63928 -attr oid 1118 -attr vt d -attr @path {/sobel/sobel:core/conc#1061.itm}
+load net {ACC1-3:not#295.itm(0)} -attr vt d
+load net {ACC1-3:not#295.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#295.itm} 2 {ACC1-3:not#295.itm(0)} {ACC1-3:not#295.itm(1)} -attr xrf 63929 -attr oid 1119 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#295.itm}
+load net {slc(ACC1:acc#221.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#221.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#221.psp.sva).itm} 2 {slc(ACC1:acc#221.psp.sva).itm(0)} {slc(ACC1:acc#221.psp.sva).itm(1)} -attr xrf 63930 -attr oid 1120 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp.sva).itm}
+load net {conc#1062.itm(0)} -attr vt d
+load net {conc#1062.itm(1)} -attr vt d
+load netBundle {conc#1062.itm} 2 {conc#1062.itm(0)} {conc#1062.itm(1)} -attr xrf 63931 -attr oid 1121 -attr vt d -attr @path {/sobel/sobel:core/conc#1062.itm}
+load net {ACC1:slc#74.itm(0)} -attr vt d
+load net {ACC1:slc#74.itm(1)} -attr vt d
+load netBundle {ACC1:slc#74.itm} 2 {ACC1:slc#74.itm(0)} {ACC1:slc#74.itm(1)} -attr xrf 63932 -attr oid 1122 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:acc#404.itm(0)} -attr vt d
+load net {ACC1:acc#404.itm(1)} -attr vt d
+load net {ACC1:acc#404.itm(2)} -attr vt d
+load netBundle {ACC1:acc#404.itm} 3 {ACC1:acc#404.itm(0)} {ACC1:acc#404.itm(1)} {ACC1:acc#404.itm(2)} -attr xrf 63933 -attr oid 1123 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#404.itm}
+load net {conc#1063.itm(0)} -attr vt d
+load net {conc#1063.itm(1)} -attr vt d
+load netBundle {conc#1063.itm} 2 {conc#1063.itm(0)} {conc#1063.itm(1)} -attr xrf 63934 -attr oid 1124 -attr vt d -attr @path {/sobel/sobel:core/conc#1063.itm}
+load net {ACC1:conc#1265.itm(0)} -attr vt d
+load net {ACC1:conc#1265.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1265.itm} 2 {ACC1:conc#1265.itm(0)} {ACC1:conc#1265.itm(1)} -attr xrf 63935 -attr oid 1125 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1265.itm}
+load net {ACC1:slc#50.itm(0)} -attr vt d
+load net {ACC1:slc#50.itm(1)} -attr vt d
+load netBundle {ACC1:slc#50.itm} 2 {ACC1:slc#50.itm(0)} {ACC1:slc#50.itm(1)} -attr xrf 63936 -attr oid 1126 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#376.itm(0)} -attr vt d
+load net {ACC1:acc#376.itm(1)} -attr vt d
+load net {ACC1:acc#376.itm(2)} -attr vt d
+load netBundle {ACC1:acc#376.itm} 3 {ACC1:acc#376.itm(0)} {ACC1:acc#376.itm(1)} {ACC1:acc#376.itm(2)} -attr xrf 63937 -attr oid 1127 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#376.itm}
+load net {conc#1064.itm(0)} -attr vt d
+load net {conc#1064.itm(1)} -attr vt d
+load netBundle {conc#1064.itm} 2 {conc#1064.itm(0)} {conc#1064.itm(1)} -attr xrf 63938 -attr oid 1128 -attr vt d -attr @path {/sobel/sobel:core/conc#1064.itm}
+load net {ACC1:conc#1211.itm(0)} -attr vt d
+load net {ACC1:conc#1211.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1211.itm} 2 {ACC1:conc#1211.itm(0)} {ACC1:conc#1211.itm(1)} -attr xrf 63939 -attr oid 1129 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1211.itm}
+load net {ACC1:slc#58.itm(0)} -attr vt d
+load net {ACC1:slc#58.itm(1)} -attr vt d
+load netBundle {ACC1:slc#58.itm} 2 {ACC1:slc#58.itm(0)} {ACC1:slc#58.itm(1)} -attr xrf 63940 -attr oid 1130 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:acc#385.itm(0)} -attr vt d
+load net {ACC1:acc#385.itm(1)} -attr vt d
+load net {ACC1:acc#385.itm(2)} -attr vt d
+load netBundle {ACC1:acc#385.itm} 3 {ACC1:acc#385.itm(0)} {ACC1:acc#385.itm(1)} {ACC1:acc#385.itm(2)} -attr xrf 63941 -attr oid 1131 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#385.itm}
+load net {conc#1065.itm(0)} -attr vt d
+load net {conc#1065.itm(1)} -attr vt d
+load netBundle {conc#1065.itm} 2 {conc#1065.itm(0)} {conc#1065.itm(1)} -attr xrf 63942 -attr oid 1132 -attr vt d -attr @path {/sobel/sobel:core/conc#1065.itm}
+load net {ACC1:conc#1229.itm(0)} -attr vt d
+load net {ACC1:conc#1229.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1229.itm} 2 {ACC1:conc#1229.itm(0)} {ACC1:conc#1229.itm(1)} -attr xrf 63943 -attr oid 1133 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1229.itm}
+load net {ACC1:slc#26.itm(0)} -attr vt d
+load net {ACC1:slc#26.itm(1)} -attr vt d
+load netBundle {ACC1:slc#26.itm} 2 {ACC1:slc#26.itm(0)} {ACC1:slc#26.itm(1)} -attr xrf 63944 -attr oid 1134 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#347.itm(0)} -attr vt d
+load net {ACC1:acc#347.itm(1)} -attr vt d
+load net {ACC1:acc#347.itm(2)} -attr vt d
+load netBundle {ACC1:acc#347.itm} 3 {ACC1:acc#347.itm(0)} {ACC1:acc#347.itm(1)} {ACC1:acc#347.itm(2)} -attr xrf 63945 -attr oid 1135 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load net {conc#1066.itm(0)} -attr vt d
+load net {conc#1066.itm(1)} -attr vt d
+load netBundle {conc#1066.itm} 2 {conc#1066.itm(0)} {conc#1066.itm(1)} -attr xrf 63946 -attr oid 1136 -attr vt d -attr @path {/sobel/sobel:core/conc#1066.itm}
+load net {ACC1:conc#1157.itm(0)} -attr vt d
+load net {ACC1:conc#1157.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1157.itm} 2 {ACC1:conc#1157.itm(0)} {ACC1:conc#1157.itm(1)} -attr xrf 63947 -attr oid 1137 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1157.itm}
+load net {ACC1:slc#42.itm(0)} -attr vt d
+load net {ACC1:slc#42.itm(1)} -attr vt d
+load netBundle {ACC1:slc#42.itm} 2 {ACC1:slc#42.itm(0)} {ACC1:slc#42.itm(1)} -attr xrf 63948 -attr oid 1138 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#42.itm}
+load net {ACC1:acc#366.itm(0)} -attr vt d
+load net {ACC1:acc#366.itm(1)} -attr vt d
+load net {ACC1:acc#366.itm(2)} -attr vt d
+load netBundle {ACC1:acc#366.itm} 3 {ACC1:acc#366.itm(0)} {ACC1:acc#366.itm(1)} {ACC1:acc#366.itm(2)} -attr xrf 63949 -attr oid 1139 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#366.itm}
+load net {conc#1067.itm(0)} -attr vt d
+load net {conc#1067.itm(1)} -attr vt d
+load netBundle {conc#1067.itm} 2 {conc#1067.itm(0)} {conc#1067.itm(1)} -attr xrf 63950 -attr oid 1140 -attr vt d -attr @path {/sobel/sobel:core/conc#1067.itm}
+load net {ACC1:conc#1193.itm(0)} -attr vt d
+load net {ACC1:conc#1193.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1193.itm} 2 {ACC1:conc#1193.itm(0)} {ACC1:conc#1193.itm(1)} -attr xrf 63951 -attr oid 1141 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1193.itm}
+load net {ACC1:acc#387.itm(0)} -attr vt d
+load net {ACC1:acc#387.itm(1)} -attr vt d
+load net {ACC1:acc#387.itm(2)} -attr vt d
+load netBundle {ACC1:acc#387.itm} 3 {ACC1:acc#387.itm(0)} {ACC1:acc#387.itm(1)} {ACC1:acc#387.itm(2)} -attr xrf 63952 -attr oid 1142 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#387.itm}
+load net {conc#1068.itm(0)} -attr vt d
+load net {conc#1068.itm(1)} -attr vt d
+load net {conc#1068.itm(2)} -attr vt d
+load netBundle {conc#1068.itm} 3 {conc#1068.itm(0)} {conc#1068.itm(1)} {conc#1068.itm(2)} -attr xrf 63953 -attr oid 1143 -attr vt d -attr @path {/sobel/sobel:core/conc#1068.itm}
+load net {ACC1:conc#1234.itm(0)} -attr vt d
+load net {ACC1:conc#1234.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1234.itm} 2 {ACC1:conc#1234.itm(0)} {ACC1:conc#1234.itm(1)} -attr xrf 63954 -attr oid 1144 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1234.itm}
+load net {ACC1:acc#378.itm(0)} -attr vt d
+load net {ACC1:acc#378.itm(1)} -attr vt d
+load net {ACC1:acc#378.itm(2)} -attr vt d
+load netBundle {ACC1:acc#378.itm} 3 {ACC1:acc#378.itm(0)} {ACC1:acc#378.itm(1)} {ACC1:acc#378.itm(2)} -attr xrf 63955 -attr oid 1145 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#378.itm}
+load net {conc#1069.itm(0)} -attr vt d
+load net {conc#1069.itm(1)} -attr vt d
+load net {conc#1069.itm(2)} -attr vt d
+load netBundle {conc#1069.itm} 3 {conc#1069.itm(0)} {conc#1069.itm(1)} {conc#1069.itm(2)} -attr xrf 63956 -attr oid 1146 -attr vt d -attr @path {/sobel/sobel:core/conc#1069.itm}
+load net {ACC1:conc#1216.itm(0)} -attr vt d
+load net {ACC1:conc#1216.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1216.itm} 2 {ACC1:conc#1216.itm(0)} {ACC1:conc#1216.itm(1)} -attr xrf 63957 -attr oid 1147 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1216.itm}
+load net {ACC1:acc#415.itm(0)} -attr vt d
+load net {ACC1:acc#415.itm(1)} -attr vt d
+load net {ACC1:acc#415.itm(2)} -attr vt d
+load netBundle {ACC1:acc#415.itm} 3 {ACC1:acc#415.itm(0)} {ACC1:acc#415.itm(1)} {ACC1:acc#415.itm(2)} -attr xrf 63958 -attr oid 1148 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#415.itm}
+load net {conc#1070.itm(0)} -attr vt d
+load net {conc#1070.itm(1)} -attr vt d
+load net {conc#1070.itm(2)} -attr vt d
+load netBundle {conc#1070.itm} 3 {conc#1070.itm(0)} {conc#1070.itm(1)} {conc#1070.itm(2)} -attr xrf 63959 -attr oid 1149 -attr vt d -attr @path {/sobel/sobel:core/conc#1070.itm}
+load net {ACC1:conc#1288.itm(0)} -attr vt d
+load net {ACC1:conc#1288.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1288.itm} 2 {ACC1:conc#1288.itm(0)} {ACC1:conc#1288.itm(1)} -attr xrf 63960 -attr oid 1150 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1288.itm}
+load net {ACC1:acc#396.itm(0)} -attr vt d
+load net {ACC1:acc#396.itm(1)} -attr vt d
+load net {ACC1:acc#396.itm(2)} -attr vt d
+load netBundle {ACC1:acc#396.itm} 3 {ACC1:acc#396.itm(0)} {ACC1:acc#396.itm(1)} {ACC1:acc#396.itm(2)} -attr xrf 63961 -attr oid 1151 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#396.itm}
+load net {conc#1071.itm(0)} -attr vt d
+load net {conc#1071.itm(1)} -attr vt d
+load net {conc#1071.itm(2)} -attr vt d
+load netBundle {conc#1071.itm} 3 {conc#1071.itm(0)} {conc#1071.itm(1)} {conc#1071.itm(2)} -attr xrf 63962 -attr oid 1152 -attr vt d -attr @path {/sobel/sobel:core/conc#1071.itm}
+load net {ACC1:conc#1252.itm(0)} -attr vt d
+load net {ACC1:conc#1252.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1252.itm} 2 {ACC1:conc#1252.itm(0)} {ACC1:conc#1252.itm(1)} -attr xrf 63963 -attr oid 1153 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1252.itm}
+load net {ACC1:slc#65.itm(0)} -attr vt d
+load net {ACC1:slc#65.itm(1)} -attr vt d
+load net {ACC1:slc#65.itm(2)} -attr vt d
+load net {ACC1:slc#65.itm(3)} -attr vt d
+load netBundle {ACC1:slc#65.itm} 4 {ACC1:slc#65.itm(0)} {ACC1:slc#65.itm(1)} {ACC1:slc#65.itm(2)} {ACC1:slc#65.itm(3)} -attr xrf 63964 -attr oid 1154 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#393.itm(0)} -attr vt d
+load net {ACC1:acc#393.itm(1)} -attr vt d
+load net {ACC1:acc#393.itm(2)} -attr vt d
+load net {ACC1:acc#393.itm(3)} -attr vt d
+load net {ACC1:acc#393.itm(4)} -attr vt d
+load netBundle {ACC1:acc#393.itm} 5 {ACC1:acc#393.itm(0)} {ACC1:acc#393.itm(1)} {ACC1:acc#393.itm(2)} {ACC1:acc#393.itm(3)} {ACC1:acc#393.itm(4)} -attr xrf 63965 -attr oid 1155 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393.itm}
+load net {conc#1072.itm(0)} -attr vt d
+load net {conc#1072.itm(1)} -attr vt d
+load net {conc#1072.itm(2)} -attr vt d
+load net {conc#1072.itm(3)} -attr vt d
+load netBundle {conc#1072.itm} 4 {conc#1072.itm(0)} {conc#1072.itm(1)} {conc#1072.itm(2)} {conc#1072.itm(3)} -attr xrf 63966 -attr oid 1156 -attr vt d -attr @path {/sobel/sobel:core/conc#1072.itm}
+load net {ACC1:slc#63.itm(0)} -attr vt d
+load net {ACC1:slc#63.itm(1)} -attr vt d
+load net {ACC1:slc#63.itm(2)} -attr vt d
+load netBundle {ACC1:slc#63.itm} 3 {ACC1:slc#63.itm(0)} {ACC1:slc#63.itm(1)} {ACC1:slc#63.itm(2)} -attr xrf 63967 -attr oid 1157 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#63.itm}
+load net {ACC1:acc#391.itm(0)} -attr vt d
+load net {ACC1:acc#391.itm(1)} -attr vt d
+load net {ACC1:acc#391.itm(2)} -attr vt d
+load net {ACC1:acc#391.itm(3)} -attr vt d
+load netBundle {ACC1:acc#391.itm} 4 {ACC1:acc#391.itm(0)} {ACC1:acc#391.itm(1)} {ACC1:acc#391.itm(2)} {ACC1:acc#391.itm(3)} -attr xrf 63968 -attr oid 1158 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#391.itm}
+load net {conc#1073.itm(0)} -attr vt d
+load net {conc#1073.itm(1)} -attr vt d
+load netBundle {conc#1073.itm} 2 {conc#1073.itm(0)} {conc#1073.itm(1)} -attr xrf 63969 -attr oid 1159 -attr vt d -attr @path {/sobel/sobel:core/conc#1073.itm}
+load net {ACC1:conc#1241.itm(0)} -attr vt d
+load net {ACC1:conc#1241.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1241.itm} 2 {ACC1:conc#1241.itm(0)} {ACC1:conc#1241.itm(1)} -attr xrf 63970 -attr oid 1160 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1241.itm}
+load net {conc#1074.itm(0)} -attr vt d
+load net {conc#1074.itm(1)} -attr vt d
+load net {conc#1074.itm(2)} -attr vt d
+load net {conc#1074.itm(3)} -attr vt d
+load netBundle {conc#1074.itm} 4 {conc#1074.itm(0)} {conc#1074.itm(1)} {conc#1074.itm(2)} {conc#1074.itm(3)} -attr xrf 63971 -attr oid 1161 -attr vt d -attr @path {/sobel/sobel:core/conc#1074.itm}
+load net {ACC1:slc#64.itm(0)} -attr vt d
+load net {ACC1:slc#64.itm(1)} -attr vt d
+load net {ACC1:slc#64.itm(2)} -attr vt d
+load netBundle {ACC1:slc#64.itm} 3 {ACC1:slc#64.itm(0)} {ACC1:slc#64.itm(1)} {ACC1:slc#64.itm(2)} -attr xrf 63972 -attr oid 1162 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#392.itm(0)} -attr vt d
+load net {ACC1:acc#392.itm(1)} -attr vt d
+load net {ACC1:acc#392.itm(2)} -attr vt d
+load net {ACC1:acc#392.itm(3)} -attr vt d
+load netBundle {ACC1:acc#392.itm} 4 {ACC1:acc#392.itm(0)} {ACC1:acc#392.itm(1)} {ACC1:acc#392.itm(2)} {ACC1:acc#392.itm(3)} -attr xrf 63973 -attr oid 1163 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#392.itm}
+load net {conc#1075.itm(0)} -attr vt d
+load net {conc#1075.itm(1)} -attr vt d
+load net {conc#1075.itm(2)} -attr vt d
+load netBundle {conc#1075.itm} 3 {conc#1075.itm(0)} {conc#1075.itm(1)} {conc#1075.itm(2)} -attr xrf 63974 -attr oid 1164 -attr vt d -attr @path {/sobel/sobel:core/conc#1075.itm}
+load net {ACC1:slc#62.itm(0)} -attr vt d
+load net {ACC1:slc#62.itm(1)} -attr vt d
+load netBundle {ACC1:slc#62.itm} 2 {ACC1:slc#62.itm(0)} {ACC1:slc#62.itm(1)} -attr xrf 63975 -attr oid 1165 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#62.itm}
+load net {ACC1:acc#390.itm(0)} -attr vt d
+load net {ACC1:acc#390.itm(1)} -attr vt d
+load net {ACC1:acc#390.itm(2)} -attr vt d
+load netBundle {ACC1:acc#390.itm} 3 {ACC1:acc#390.itm(0)} {ACC1:acc#390.itm(1)} {ACC1:acc#390.itm(2)} -attr xrf 63976 -attr oid 1166 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#390.itm}
+load net {conc#1076.itm(0)} -attr vt d
+load net {conc#1076.itm(1)} -attr vt d
+load netBundle {conc#1076.itm} 2 {conc#1076.itm(0)} {conc#1076.itm(1)} -attr xrf 63977 -attr oid 1167 -attr vt d -attr @path {/sobel/sobel:core/conc#1076.itm}
+load net {ACC1:conc#1239.itm(0)} -attr vt d
+load net {ACC1:conc#1239.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1239.itm} 2 {ACC1:conc#1239.itm(0)} {ACC1:conc#1239.itm(1)} -attr xrf 63978 -attr oid 1168 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1239.itm}
+load net {ACC1:conc#1243.itm(0)} -attr vt d
+load net {ACC1:conc#1243.itm(1)} -attr vt d
+load net {ACC1:conc#1243.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1243.itm} 3 {ACC1:conc#1243.itm(0)} {ACC1:conc#1243.itm(1)} {ACC1:conc#1243.itm(2)} -attr xrf 63979 -attr oid 1169 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1243.itm}
+load net {ACC1:slc#61.itm(0)} -attr vt d
+load net {ACC1:slc#61.itm(1)} -attr vt d
+load netBundle {ACC1:slc#61.itm} 2 {ACC1:slc#61.itm(0)} {ACC1:slc#61.itm(1)} -attr xrf 63980 -attr oid 1170 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#61.itm}
+load net {ACC1:acc#389.itm(0)} -attr vt d
+load net {ACC1:acc#389.itm(1)} -attr vt d
+load net {ACC1:acc#389.itm(2)} -attr vt d
+load netBundle {ACC1:acc#389.itm} 3 {ACC1:acc#389.itm(0)} {ACC1:acc#389.itm(1)} {ACC1:acc#389.itm(2)} -attr xrf 63981 -attr oid 1171 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#389.itm}
+load net {conc#1077.itm(0)} -attr vt d
+load net {conc#1077.itm(1)} -attr vt d
+load netBundle {conc#1077.itm} 2 {conc#1077.itm(0)} {conc#1077.itm(1)} -attr xrf 63982 -attr oid 1172 -attr vt d -attr @path {/sobel/sobel:core/conc#1077.itm}
+load net {ACC1:conc#1237.itm(0)} -attr vt d
+load net {ACC1:conc#1237.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1237.itm} 2 {ACC1:conc#1237.itm(0)} {ACC1:conc#1237.itm(1)} -attr xrf 63983 -attr oid 1173 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1237.itm}
+load net {ACC1:slc#89.itm(0)} -attr vt d
+load net {ACC1:slc#89.itm(1)} -attr vt d
+load net {ACC1:slc#89.itm(2)} -attr vt d
+load net {ACC1:slc#89.itm(3)} -attr vt d
+load netBundle {ACC1:slc#89.itm} 4 {ACC1:slc#89.itm(0)} {ACC1:slc#89.itm(1)} {ACC1:slc#89.itm(2)} {ACC1:slc#89.itm(3)} -attr xrf 63984 -attr oid 1174 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#421.itm(0)} -attr vt d
+load net {ACC1:acc#421.itm(1)} -attr vt d
+load net {ACC1:acc#421.itm(2)} -attr vt d
+load net {ACC1:acc#421.itm(3)} -attr vt d
+load net {ACC1:acc#421.itm(4)} -attr vt d
+load netBundle {ACC1:acc#421.itm} 5 {ACC1:acc#421.itm(0)} {ACC1:acc#421.itm(1)} {ACC1:acc#421.itm(2)} {ACC1:acc#421.itm(3)} {ACC1:acc#421.itm(4)} -attr xrf 63985 -attr oid 1175 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421.itm}
+load net {conc#1078.itm(0)} -attr vt d
+load net {conc#1078.itm(1)} -attr vt d
+load net {conc#1078.itm(2)} -attr vt d
+load net {conc#1078.itm(3)} -attr vt d
+load netBundle {conc#1078.itm} 4 {conc#1078.itm(0)} {conc#1078.itm(1)} {conc#1078.itm(2)} {conc#1078.itm(3)} -attr xrf 63986 -attr oid 1176 -attr vt d -attr @path {/sobel/sobel:core/conc#1078.itm}
+load net {ACC1:slc#87.itm(0)} -attr vt d
+load net {ACC1:slc#87.itm(1)} -attr vt d
+load net {ACC1:slc#87.itm(2)} -attr vt d
+load netBundle {ACC1:slc#87.itm} 3 {ACC1:slc#87.itm(0)} {ACC1:slc#87.itm(1)} {ACC1:slc#87.itm(2)} -attr xrf 63987 -attr oid 1177 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#87.itm}
+load net {ACC1:acc#419.itm(0)} -attr vt d
+load net {ACC1:acc#419.itm(1)} -attr vt d
+load net {ACC1:acc#419.itm(2)} -attr vt d
+load net {ACC1:acc#419.itm(3)} -attr vt d
+load netBundle {ACC1:acc#419.itm} 4 {ACC1:acc#419.itm(0)} {ACC1:acc#419.itm(1)} {ACC1:acc#419.itm(2)} {ACC1:acc#419.itm(3)} -attr xrf 63988 -attr oid 1178 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#419.itm}
+load net {conc#1079.itm(0)} -attr vt d
+load net {conc#1079.itm(1)} -attr vt d
+load netBundle {conc#1079.itm} 2 {conc#1079.itm(0)} {conc#1079.itm(1)} -attr xrf 63989 -attr oid 1179 -attr vt d -attr @path {/sobel/sobel:core/conc#1079.itm}
+load net {ACC1:conc#1295.itm(0)} -attr vt d
+load net {ACC1:conc#1295.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1295.itm} 2 {ACC1:conc#1295.itm(0)} {ACC1:conc#1295.itm(1)} -attr xrf 63990 -attr oid 1180 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1295.itm}
+load net {conc#1080.itm(0)} -attr vt d
+load net {conc#1080.itm(1)} -attr vt d
+load net {conc#1080.itm(2)} -attr vt d
+load net {conc#1080.itm(3)} -attr vt d
+load netBundle {conc#1080.itm} 4 {conc#1080.itm(0)} {conc#1080.itm(1)} {conc#1080.itm(2)} {conc#1080.itm(3)} -attr xrf 63991 -attr oid 1181 -attr vt d -attr @path {/sobel/sobel:core/conc#1080.itm}
+load net {ACC1:slc#88.itm(0)} -attr vt d
+load net {ACC1:slc#88.itm(1)} -attr vt d
+load net {ACC1:slc#88.itm(2)} -attr vt d
+load netBundle {ACC1:slc#88.itm} 3 {ACC1:slc#88.itm(0)} {ACC1:slc#88.itm(1)} {ACC1:slc#88.itm(2)} -attr xrf 63992 -attr oid 1182 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#420.itm(0)} -attr vt d
+load net {ACC1:acc#420.itm(1)} -attr vt d
+load net {ACC1:acc#420.itm(2)} -attr vt d
+load net {ACC1:acc#420.itm(3)} -attr vt d
+load netBundle {ACC1:acc#420.itm} 4 {ACC1:acc#420.itm(0)} {ACC1:acc#420.itm(1)} {ACC1:acc#420.itm(2)} {ACC1:acc#420.itm(3)} -attr xrf 63993 -attr oid 1183 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#420.itm}
+load net {conc#1081.itm(0)} -attr vt d
+load net {conc#1081.itm(1)} -attr vt d
+load net {conc#1081.itm(2)} -attr vt d
+load netBundle {conc#1081.itm} 3 {conc#1081.itm(0)} {conc#1081.itm(1)} {conc#1081.itm(2)} -attr xrf 63994 -attr oid 1184 -attr vt d -attr @path {/sobel/sobel:core/conc#1081.itm}
+load net {ACC1:slc#86.itm(0)} -attr vt d
+load net {ACC1:slc#86.itm(1)} -attr vt d
+load netBundle {ACC1:slc#86.itm} 2 {ACC1:slc#86.itm(0)} {ACC1:slc#86.itm(1)} -attr xrf 63995 -attr oid 1185 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#86.itm}
+load net {ACC1:acc#418.itm(0)} -attr vt d
+load net {ACC1:acc#418.itm(1)} -attr vt d
+load net {ACC1:acc#418.itm(2)} -attr vt d
+load netBundle {ACC1:acc#418.itm} 3 {ACC1:acc#418.itm(0)} {ACC1:acc#418.itm(1)} {ACC1:acc#418.itm(2)} -attr xrf 63996 -attr oid 1186 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#418.itm}
+load net {conc#1082.itm(0)} -attr vt d
+load net {conc#1082.itm(1)} -attr vt d
+load netBundle {conc#1082.itm} 2 {conc#1082.itm(0)} {conc#1082.itm(1)} -attr xrf 63997 -attr oid 1187 -attr vt d -attr @path {/sobel/sobel:core/conc#1082.itm}
+load net {ACC1:conc#1293.itm(0)} -attr vt d
+load net {ACC1:conc#1293.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1293.itm} 2 {ACC1:conc#1293.itm(0)} {ACC1:conc#1293.itm(1)} -attr xrf 63998 -attr oid 1188 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1293.itm}
+load net {ACC1:conc#1297.itm(0)} -attr vt d
+load net {ACC1:conc#1297.itm(1)} -attr vt d
+load net {ACC1:conc#1297.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1297.itm} 3 {ACC1:conc#1297.itm(0)} {ACC1:conc#1297.itm(1)} {ACC1:conc#1297.itm(2)} -attr xrf 63999 -attr oid 1189 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1297.itm}
+load net {ACC1:slc#85.itm(0)} -attr vt d
+load net {ACC1:slc#85.itm(1)} -attr vt d
+load netBundle {ACC1:slc#85.itm} 2 {ACC1:slc#85.itm(0)} {ACC1:slc#85.itm(1)} -attr xrf 64000 -attr oid 1190 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#85.itm}
+load net {ACC1:acc#417.itm(0)} -attr vt d
+load net {ACC1:acc#417.itm(1)} -attr vt d
+load net {ACC1:acc#417.itm(2)} -attr vt d
+load netBundle {ACC1:acc#417.itm} 3 {ACC1:acc#417.itm(0)} {ACC1:acc#417.itm(1)} {ACC1:acc#417.itm(2)} -attr xrf 64001 -attr oid 1191 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#417.itm}
+load net {conc#1083.itm(0)} -attr vt d
+load net {conc#1083.itm(1)} -attr vt d
+load netBundle {conc#1083.itm} 2 {conc#1083.itm(0)} {conc#1083.itm(1)} -attr xrf 64002 -attr oid 1192 -attr vt d -attr @path {/sobel/sobel:core/conc#1083.itm}
+load net {ACC1:conc#1291.itm(0)} -attr vt d
+load net {ACC1:conc#1291.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1291.itm} 2 {ACC1:conc#1291.itm(0)} {ACC1:conc#1291.itm(1)} -attr xrf 64003 -attr oid 1193 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1291.itm}
+load net {ACC1:acc#424.itm(0)} -attr vt d
+load net {ACC1:acc#424.itm(1)} -attr vt d
+load net {ACC1:acc#424.itm(2)} -attr vt d
+load netBundle {ACC1:acc#424.itm} 3 {ACC1:acc#424.itm(0)} {ACC1:acc#424.itm(1)} {ACC1:acc#424.itm(2)} -attr xrf 64004 -attr oid 1194 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#424.itm}
+load net {conc#1084.itm(0)} -attr vt d
+load net {conc#1084.itm(1)} -attr vt d
+load net {conc#1084.itm(2)} -attr vt d
+load netBundle {conc#1084.itm} 3 {conc#1084.itm(0)} {conc#1084.itm(1)} {conc#1084.itm(2)} -attr xrf 64005 -attr oid 1195 -attr vt d -attr @path {/sobel/sobel:core/conc#1084.itm}
+load net {ACC1:conc#1306.itm(0)} -attr vt d
+load net {ACC1:conc#1306.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1306.itm} 2 {ACC1:conc#1306.itm(0)} {ACC1:conc#1306.itm(1)} -attr xrf 64006 -attr oid 1196 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1306.itm}
+load net {ACC1:exs#1650.itm(0)} -attr vt d
+load net {ACC1:exs#1650.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1650.itm} 2 {ACC1:exs#1650.itm(0)} {ACC1:exs#1650.itm(1)} -attr xrf 64007 -attr oid 1197 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1650.itm}
+load net {ACC1:exs#1607.itm(0)} -attr vt d
+load net {ACC1:exs#1607.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1607.itm} 2 {ACC1:exs#1607.itm(0)} {ACC1:exs#1607.itm(1)} -attr xrf 64008 -attr oid 1198 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1607.itm}
+load net {ACC1:acc#318.itm(0)} -attr vt d
+load net {ACC1:acc#318.itm(1)} -attr vt d
+load netBundle {ACC1:acc#318.itm} 2 {ACC1:acc#318.itm(0)} {ACC1:acc#318.itm(1)} -attr xrf 64009 -attr oid 1199 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#319.itm(0)} -attr vt d
+load net {ACC1:acc#319.itm(1)} -attr vt d
+load netBundle {ACC1:acc#319.itm} 2 {ACC1:acc#319.itm(0)} {ACC1:acc#319.itm(1)} -attr xrf 64010 -attr oid 1200 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:slc#90.itm(0)} -attr vt d
+load net {ACC1:slc#90.itm(1)} -attr vt d
+load netBundle {ACC1:slc#90.itm} 2 {ACC1:slc#90.itm(0)} {ACC1:slc#90.itm(1)} -attr xrf 64011 -attr oid 1201 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1:acc#422.itm(0)} -attr vt d
+load net {ACC1:acc#422.itm(1)} -attr vt d
+load net {ACC1:acc#422.itm(2)} -attr vt d
+load netBundle {ACC1:acc#422.itm} 3 {ACC1:acc#422.itm(0)} {ACC1:acc#422.itm(1)} {ACC1:acc#422.itm(2)} -attr xrf 64012 -attr oid 1202 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#422.itm}
+load net {conc#1085.itm(0)} -attr vt d
+load net {conc#1085.itm(1)} -attr vt d
+load netBundle {conc#1085.itm} 2 {conc#1085.itm(0)} {conc#1085.itm(1)} -attr xrf 64013 -attr oid 1203 -attr vt d -attr @path {/sobel/sobel:core/conc#1085.itm}
+load net {ACC1:conc#1301.itm(0)} -attr vt d
+load net {ACC1:conc#1301.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1301.itm} 2 {ACC1:conc#1301.itm(0)} {ACC1:conc#1301.itm(1)} -attr xrf 64014 -attr oid 1204 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1301.itm}
+load net {ACC1:slc#18.itm(0)} -attr vt d
+load net {ACC1:slc#18.itm(1)} -attr vt d
+load netBundle {ACC1:slc#18.itm} 2 {ACC1:slc#18.itm(0)} {ACC1:slc#18.itm(1)} -attr xrf 64015 -attr oid 1205 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#18.itm}
+load net {ACC1:acc#337.itm(0)} -attr vt d
+load net {ACC1:acc#337.itm(1)} -attr vt d
+load net {ACC1:acc#337.itm(2)} -attr vt d
+load netBundle {ACC1:acc#337.itm} 3 {ACC1:acc#337.itm(0)} {ACC1:acc#337.itm(1)} {ACC1:acc#337.itm(2)} -attr xrf 64016 -attr oid 1206 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {conc#1086.itm(0)} -attr vt d
+load net {conc#1086.itm(1)} -attr vt d
+load netBundle {conc#1086.itm} 2 {conc#1086.itm(0)} {conc#1086.itm(1)} -attr xrf 64017 -attr oid 1207 -attr vt d -attr @path {/sobel/sobel:core/conc#1086.itm}
+load net {ACC1:conc#1139.itm(0)} -attr vt d
+load net {ACC1:conc#1139.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1139.itm} 2 {ACC1:conc#1139.itm(0)} {ACC1:conc#1139.itm(1)} -attr xrf 64018 -attr oid 1208 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1139.itm}
+load net {ACC1:slc#66.itm(0)} -attr vt d
+load net {ACC1:slc#66.itm(1)} -attr vt d
+load netBundle {ACC1:slc#66.itm} 2 {ACC1:slc#66.itm(0)} {ACC1:slc#66.itm(1)} -attr xrf 64019 -attr oid 1209 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1:acc#394.itm(0)} -attr vt d
+load net {ACC1:acc#394.itm(1)} -attr vt d
+load net {ACC1:acc#394.itm(2)} -attr vt d
+load netBundle {ACC1:acc#394.itm} 3 {ACC1:acc#394.itm(0)} {ACC1:acc#394.itm(1)} {ACC1:acc#394.itm(2)} -attr xrf 64020 -attr oid 1210 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#394.itm}
+load net {conc#1087.itm(0)} -attr vt d
+load net {conc#1087.itm(1)} -attr vt d
+load netBundle {conc#1087.itm} 2 {conc#1087.itm(0)} {conc#1087.itm(1)} -attr xrf 64021 -attr oid 1211 -attr vt d -attr @path {/sobel/sobel:core/conc#1087.itm}
+load net {ACC1:conc#1247.itm(0)} -attr vt d
+load net {ACC1:conc#1247.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1247.itm} 2 {ACC1:conc#1247.itm(0)} {ACC1:conc#1247.itm(1)} -attr xrf 64022 -attr oid 1212 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1247.itm}
+load net {ACC1:slc#82.itm(0)} -attr vt d
+load net {ACC1:slc#82.itm(1)} -attr vt d
+load netBundle {ACC1:slc#82.itm} 2 {ACC1:slc#82.itm(0)} {ACC1:slc#82.itm(1)} -attr xrf 64023 -attr oid 1213 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#82.itm}
+load net {ACC1:acc#413.itm(0)} -attr vt d
+load net {ACC1:acc#413.itm(1)} -attr vt d
+load net {ACC1:acc#413.itm(2)} -attr vt d
+load netBundle {ACC1:acc#413.itm} 3 {ACC1:acc#413.itm(0)} {ACC1:acc#413.itm(1)} {ACC1:acc#413.itm(2)} -attr xrf 64024 -attr oid 1214 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#413.itm}
+load net {conc#1088.itm(0)} -attr vt d
+load net {conc#1088.itm(1)} -attr vt d
+load netBundle {conc#1088.itm} 2 {conc#1088.itm(0)} {conc#1088.itm(1)} -attr xrf 64025 -attr oid 1215 -attr vt d -attr @path {/sobel/sobel:core/conc#1088.itm}
+load net {ACC1:conc#1283.itm(0)} -attr vt d
+load net {ACC1:conc#1283.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1283.itm} 2 {ACC1:conc#1283.itm(0)} {ACC1:conc#1283.itm(1)} -attr xrf 64026 -attr oid 1216 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1283.itm}
+load net {ACC1:exs#1641.itm(0)} -attr vt d
+load net {ACC1:exs#1641.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1641.itm} 2 {ACC1:exs#1641.itm(0)} {ACC1:exs#1641.itm(1)} -attr xrf 64027 -attr oid 1217 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1641.itm}
+load net {ACC1:exs#1599.itm(0)} -attr vt d
+load net {ACC1:exs#1599.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1599.itm} 2 {ACC1:exs#1599.itm(0)} {ACC1:exs#1599.itm(1)} -attr xrf 64028 -attr oid 1218 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1599.itm}
+load net {ACC1:acc#351.itm(0)} -attr vt d
+load net {ACC1:acc#351.itm(1)} -attr vt d
+load net {ACC1:acc#351.itm(2)} -attr vt d
+load net {ACC1:acc#351.itm(3)} -attr vt d
+load net {ACC1:acc#351.itm(4)} -attr vt d
+load net {ACC1:acc#351.itm(5)} -attr vt d
+load net {ACC1:acc#351.itm(6)} -attr vt d
+load net {ACC1:acc#351.itm(7)} -attr vt d
+load net {ACC1:acc#351.itm(8)} -attr vt d
+load net {ACC1:acc#351.itm(9)} -attr vt d
+load net {ACC1:acc#351.itm(10)} -attr vt d
+load netBundle {ACC1:acc#351.itm} 11 {ACC1:acc#351.itm(0)} {ACC1:acc#351.itm(1)} {ACC1:acc#351.itm(2)} {ACC1:acc#351.itm(3)} {ACC1:acc#351.itm(4)} {ACC1:acc#351.itm(5)} {ACC1:acc#351.itm(6)} {ACC1:acc#351.itm(7)} {ACC1:acc#351.itm(8)} {ACC1:acc#351.itm(9)} {ACC1:acc#351.itm(10)} -attr xrf 64029 -attr oid 1219 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {regs.operator[]#12:not.itm(0)} -attr vt d
+load net {regs.operator[]#12:not.itm(1)} -attr vt d
+load net {regs.operator[]#12:not.itm(2)} -attr vt d
+load net {regs.operator[]#12:not.itm(3)} -attr vt d
+load net {regs.operator[]#12:not.itm(4)} -attr vt d
+load net {regs.operator[]#12:not.itm(5)} -attr vt d
+load net {regs.operator[]#12:not.itm(6)} -attr vt d
+load net {regs.operator[]#12:not.itm(7)} -attr vt d
+load net {regs.operator[]#12:not.itm(8)} -attr vt d
+load net {regs.operator[]#12:not.itm(9)} -attr vt d
+load netBundle {regs.operator[]#12:not.itm} 10 {regs.operator[]#12:not.itm(0)} {regs.operator[]#12:not.itm(1)} {regs.operator[]#12:not.itm(2)} {regs.operator[]#12:not.itm(3)} {regs.operator[]#12:not.itm(4)} {regs.operator[]#12:not.itm(5)} {regs.operator[]#12:not.itm(6)} {regs.operator[]#12:not.itm(7)} {regs.operator[]#12:not.itm(8)} {regs.operator[]#12:not.itm(9)} -attr xrf 64030 -attr oid 1220 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {slc(regs.regs(0).sva#3).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#3).itm} 10 {slc(regs.regs(0).sva#3).itm(0)} {slc(regs.regs(0).sva#3).itm(1)} {slc(regs.regs(0).sva#3).itm(2)} {slc(regs.regs(0).sva#3).itm(3)} {slc(regs.regs(0).sva#3).itm(4)} {slc(regs.regs(0).sva#3).itm(5)} {slc(regs.regs(0).sva#3).itm(6)} {slc(regs.regs(0).sva#3).itm(7)} {slc(regs.regs(0).sva#3).itm(8)} {slc(regs.regs(0).sva#3).itm(9)} -attr xrf 64031 -attr oid 1221 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {regs.operator[]#13:not.itm(0)} -attr vt d
+load net {regs.operator[]#13:not.itm(1)} -attr vt d
+load net {regs.operator[]#13:not.itm(2)} -attr vt d
+load net {regs.operator[]#13:not.itm(3)} -attr vt d
+load net {regs.operator[]#13:not.itm(4)} -attr vt d
+load net {regs.operator[]#13:not.itm(5)} -attr vt d
+load net {regs.operator[]#13:not.itm(6)} -attr vt d
+load net {regs.operator[]#13:not.itm(7)} -attr vt d
+load net {regs.operator[]#13:not.itm(8)} -attr vt d
+load net {regs.operator[]#13:not.itm(9)} -attr vt d
+load netBundle {regs.operator[]#13:not.itm} 10 {regs.operator[]#13:not.itm(0)} {regs.operator[]#13:not.itm(1)} {regs.operator[]#13:not.itm(2)} {regs.operator[]#13:not.itm(3)} {regs.operator[]#13:not.itm(4)} {regs.operator[]#13:not.itm(5)} {regs.operator[]#13:not.itm(6)} {regs.operator[]#13:not.itm(7)} {regs.operator[]#13:not.itm(8)} {regs.operator[]#13:not.itm(9)} -attr xrf 64032 -attr oid 1222 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {slc(regs.regs(0).sva#1).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#1).itm} 10 {slc(regs.regs(0).sva#1).itm(0)} {slc(regs.regs(0).sva#1).itm(1)} {slc(regs.regs(0).sva#1).itm(2)} {slc(regs.regs(0).sva#1).itm(3)} {slc(regs.regs(0).sva#1).itm(4)} {slc(regs.regs(0).sva#1).itm(5)} {slc(regs.regs(0).sva#1).itm(6)} {slc(regs.regs(0).sva#1).itm(7)} {slc(regs.regs(0).sva#1).itm(8)} {slc(regs.regs(0).sva#1).itm(9)} -attr xrf 64033 -attr oid 1223 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {ACC1:acc#350.itm(0)} -attr vt d
+load net {ACC1:acc#350.itm(1)} -attr vt d
+load net {ACC1:acc#350.itm(2)} -attr vt d
+load net {ACC1:acc#350.itm(3)} -attr vt d
+load net {ACC1:acc#350.itm(4)} -attr vt d
+load net {ACC1:acc#350.itm(5)} -attr vt d
+load net {ACC1:acc#350.itm(6)} -attr vt d
+load net {ACC1:acc#350.itm(7)} -attr vt d
+load net {ACC1:acc#350.itm(8)} -attr vt d
+load net {ACC1:acc#350.itm(9)} -attr vt d
+load net {ACC1:acc#350.itm(10)} -attr vt d
+load netBundle {ACC1:acc#350.itm} 11 {ACC1:acc#350.itm(0)} {ACC1:acc#350.itm(1)} {ACC1:acc#350.itm(2)} {ACC1:acc#350.itm(3)} {ACC1:acc#350.itm(4)} {ACC1:acc#350.itm(5)} {ACC1:acc#350.itm(6)} {ACC1:acc#350.itm(7)} {ACC1:acc#350.itm(8)} {ACC1:acc#350.itm(9)} {ACC1:acc#350.itm(10)} -attr xrf 64034 -attr oid 1224 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {regs.operator[]#14:not.itm(0)} -attr vt d
+load net {regs.operator[]#14:not.itm(1)} -attr vt d
+load net {regs.operator[]#14:not.itm(2)} -attr vt d
+load net {regs.operator[]#14:not.itm(3)} -attr vt d
+load net {regs.operator[]#14:not.itm(4)} -attr vt d
+load net {regs.operator[]#14:not.itm(5)} -attr vt d
+load net {regs.operator[]#14:not.itm(6)} -attr vt d
+load net {regs.operator[]#14:not.itm(7)} -attr vt d
+load net {regs.operator[]#14:not.itm(8)} -attr vt d
+load net {regs.operator[]#14:not.itm(9)} -attr vt d
+load netBundle {regs.operator[]#14:not.itm} 10 {regs.operator[]#14:not.itm(0)} {regs.operator[]#14:not.itm(1)} {regs.operator[]#14:not.itm(2)} {regs.operator[]#14:not.itm(3)} {regs.operator[]#14:not.itm(4)} {regs.operator[]#14:not.itm(5)} {regs.operator[]#14:not.itm(6)} {regs.operator[]#14:not.itm(7)} {regs.operator[]#14:not.itm(8)} {regs.operator[]#14:not.itm(9)} -attr xrf 64035 -attr oid 1225 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {slc(regs.regs(0).sva#2).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#2).itm} 10 {slc(regs.regs(0).sva#2).itm(0)} {slc(regs.regs(0).sva#2).itm(1)} {slc(regs.regs(0).sva#2).itm(2)} {slc(regs.regs(0).sva#2).itm(3)} {slc(regs.regs(0).sva#2).itm(4)} {slc(regs.regs(0).sva#2).itm(5)} {slc(regs.regs(0).sva#2).itm(6)} {slc(regs.regs(0).sva#2).itm(7)} {slc(regs.regs(0).sva#2).itm(8)} {slc(regs.regs(0).sva#2).itm(9)} -attr xrf 64036 -attr oid 1226 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {ACC1:acc#359.itm(0)} -attr vt d
+load net {ACC1:acc#359.itm(1)} -attr vt d
+load net {ACC1:acc#359.itm(2)} -attr vt d
+load netBundle {ACC1:acc#359.itm} 3 {ACC1:acc#359.itm(0)} {ACC1:acc#359.itm(1)} {ACC1:acc#359.itm(2)} -attr xrf 64037 -attr oid 1227 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#359.itm}
+load net {conc#1089.itm(0)} -attr vt d
+load net {conc#1089.itm(1)} -attr vt d
+load net {conc#1089.itm(2)} -attr vt d
+load netBundle {conc#1089.itm} 3 {conc#1089.itm(0)} {conc#1089.itm(1)} {conc#1089.itm(2)} -attr xrf 64038 -attr oid 1228 -attr vt d -attr @path {/sobel/sobel:core/conc#1089.itm}
+load net {ACC1:conc#1180.itm(0)} -attr vt d
+load net {ACC1:conc#1180.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1180.itm} 2 {ACC1:conc#1180.itm(0)} {ACC1:conc#1180.itm(1)} -attr xrf 64039 -attr oid 1229 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1180.itm}
+load net {ACC1:acc#358.itm(0)} -attr vt d
+load net {ACC1:acc#358.itm(1)} -attr vt d
+load net {ACC1:acc#358.itm(2)} -attr vt d
+load net {ACC1:acc#358.itm(3)} -attr vt d
+load netBundle {ACC1:acc#358.itm} 4 {ACC1:acc#358.itm(0)} {ACC1:acc#358.itm(1)} {ACC1:acc#358.itm(2)} {ACC1:acc#358.itm(3)} -attr xrf 64040 -attr oid 1230 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#358.itm}
+load net {conc#1090.itm(0)} -attr vt d
+load net {conc#1090.itm(1)} -attr vt d
+load net {conc#1090.itm(2)} -attr vt d
+load netBundle {conc#1090.itm} 3 {conc#1090.itm(0)} {conc#1090.itm(1)} {conc#1090.itm(2)} -attr xrf 64041 -attr oid 1231 -attr vt d -attr @path {/sobel/sobel:core/conc#1090.itm}
+load net {ACC1-1:not#299.itm(0)} -attr vt d
+load net {ACC1-1:not#299.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#299.itm} 2 {ACC1-1:not#299.itm(0)} {ACC1-1:not#299.itm(1)} -attr xrf 64042 -attr oid 1232 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#299.itm}
+load net {slc(ACC1:acc#223.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#223.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#223.psp#1.sva).itm} 2 {slc(ACC1:acc#223.psp#1.sva).itm(0)} {slc(ACC1:acc#223.psp#1.sva).itm(1)} -attr xrf 64043 -attr oid 1233 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp#1.sva).itm}
+load net {conc#1091.itm(0)} -attr vt d
+load net {conc#1091.itm(1)} -attr vt d
+load netBundle {conc#1091.itm} 2 {conc#1091.itm(0)} {conc#1091.itm(1)} -attr xrf 64044 -attr oid 1234 -attr vt d -attr @path {/sobel/sobel:core/conc#1091.itm}
+load net {ACC1:slc#33.itm(0)} -attr vt d
+load net {ACC1:slc#33.itm(1)} -attr vt d
+load net {ACC1:slc#33.itm(2)} -attr vt d
+load net {ACC1:slc#33.itm(3)} -attr vt d
+load netBundle {ACC1:slc#33.itm} 4 {ACC1:slc#33.itm(0)} {ACC1:slc#33.itm(1)} {ACC1:slc#33.itm(2)} {ACC1:slc#33.itm(3)} -attr xrf 64045 -attr oid 1235 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#356.itm(0)} -attr vt d
+load net {ACC1:acc#356.itm(1)} -attr vt d
+load net {ACC1:acc#356.itm(2)} -attr vt d
+load net {ACC1:acc#356.itm(3)} -attr vt d
+load net {ACC1:acc#356.itm(4)} -attr vt d
+load netBundle {ACC1:acc#356.itm} 5 {ACC1:acc#356.itm(0)} {ACC1:acc#356.itm(1)} {ACC1:acc#356.itm(2)} {ACC1:acc#356.itm(3)} {ACC1:acc#356.itm(4)} -attr xrf 64046 -attr oid 1236 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356.itm}
+load net {conc#1092.itm(0)} -attr vt d
+load net {conc#1092.itm(1)} -attr vt d
+load net {conc#1092.itm(2)} -attr vt d
+load net {conc#1092.itm(3)} -attr vt d
+load netBundle {conc#1092.itm} 4 {conc#1092.itm(0)} {conc#1092.itm(1)} {conc#1092.itm(2)} {conc#1092.itm(3)} -attr xrf 64047 -attr oid 1237 -attr vt d -attr @path {/sobel/sobel:core/conc#1092.itm}
+load net {ACC1:slc#31.itm(0)} -attr vt d
+load net {ACC1:slc#31.itm(1)} -attr vt d
+load net {ACC1:slc#31.itm(2)} -attr vt d
+load netBundle {ACC1:slc#31.itm} 3 {ACC1:slc#31.itm(0)} {ACC1:slc#31.itm(1)} {ACC1:slc#31.itm(2)} -attr xrf 64048 -attr oid 1238 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#31.itm}
+load net {ACC1:acc#354.itm(0)} -attr vt d
+load net {ACC1:acc#354.itm(1)} -attr vt d
+load net {ACC1:acc#354.itm(2)} -attr vt d
+load net {ACC1:acc#354.itm(3)} -attr vt d
+load netBundle {ACC1:acc#354.itm} 4 {ACC1:acc#354.itm(0)} {ACC1:acc#354.itm(1)} {ACC1:acc#354.itm(2)} {ACC1:acc#354.itm(3)} -attr xrf 64049 -attr oid 1239 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#354.itm}
+load net {conc#1093.itm(0)} -attr vt d
+load net {conc#1093.itm(1)} -attr vt d
+load netBundle {conc#1093.itm} 2 {conc#1093.itm(0)} {conc#1093.itm(1)} -attr xrf 64050 -attr oid 1240 -attr vt d -attr @path {/sobel/sobel:core/conc#1093.itm}
+load net {ACC1:conc#1169.itm(0)} -attr vt d
+load net {ACC1:conc#1169.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1169.itm} 2 {ACC1:conc#1169.itm(0)} {ACC1:conc#1169.itm(1)} -attr xrf 64051 -attr oid 1241 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1169.itm}
+load net {conc#1094.itm(0)} -attr vt d
+load net {conc#1094.itm(1)} -attr vt d
+load net {conc#1094.itm(2)} -attr vt d
+load net {conc#1094.itm(3)} -attr vt d
+load netBundle {conc#1094.itm} 4 {conc#1094.itm(0)} {conc#1094.itm(1)} {conc#1094.itm(2)} {conc#1094.itm(3)} -attr xrf 64052 -attr oid 1242 -attr vt d -attr @path {/sobel/sobel:core/conc#1094.itm}
+load net {ACC1:slc#32.itm(0)} -attr vt d
+load net {ACC1:slc#32.itm(1)} -attr vt d
+load net {ACC1:slc#32.itm(2)} -attr vt d
+load netBundle {ACC1:slc#32.itm} 3 {ACC1:slc#32.itm(0)} {ACC1:slc#32.itm(1)} {ACC1:slc#32.itm(2)} -attr xrf 64053 -attr oid 1243 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#32.itm}
+load net {ACC1:acc#355.itm(0)} -attr vt d
+load net {ACC1:acc#355.itm(1)} -attr vt d
+load net {ACC1:acc#355.itm(2)} -attr vt d
+load net {ACC1:acc#355.itm(3)} -attr vt d
+load netBundle {ACC1:acc#355.itm} 4 {ACC1:acc#355.itm(0)} {ACC1:acc#355.itm(1)} {ACC1:acc#355.itm(2)} {ACC1:acc#355.itm(3)} -attr xrf 64054 -attr oid 1244 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#355.itm}
+load net {conc#1095.itm(0)} -attr vt d
+load net {conc#1095.itm(1)} -attr vt d
+load net {conc#1095.itm(2)} -attr vt d
+load netBundle {conc#1095.itm} 3 {conc#1095.itm(0)} {conc#1095.itm(1)} {conc#1095.itm(2)} -attr xrf 64055 -attr oid 1245 -attr vt d -attr @path {/sobel/sobel:core/conc#1095.itm}
+load net {ACC1:slc#30.itm(0)} -attr vt d
+load net {ACC1:slc#30.itm(1)} -attr vt d
+load netBundle {ACC1:slc#30.itm} 2 {ACC1:slc#30.itm(0)} {ACC1:slc#30.itm(1)} -attr xrf 64056 -attr oid 1246 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#30.itm}
+load net {ACC1:acc#353.itm(0)} -attr vt d
+load net {ACC1:acc#353.itm(1)} -attr vt d
+load net {ACC1:acc#353.itm(2)} -attr vt d
+load netBundle {ACC1:acc#353.itm} 3 {ACC1:acc#353.itm(0)} {ACC1:acc#353.itm(1)} {ACC1:acc#353.itm(2)} -attr xrf 64057 -attr oid 1247 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#353.itm}
+load net {conc#1096.itm(0)} -attr vt d
+load net {conc#1096.itm(1)} -attr vt d
+load netBundle {conc#1096.itm} 2 {conc#1096.itm(0)} {conc#1096.itm(1)} -attr xrf 64058 -attr oid 1248 -attr vt d -attr @path {/sobel/sobel:core/conc#1096.itm}
+load net {ACC1:conc#1167.itm(0)} -attr vt d
+load net {ACC1:conc#1167.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1167.itm} 2 {ACC1:conc#1167.itm(0)} {ACC1:conc#1167.itm(1)} -attr xrf 64059 -attr oid 1249 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1167.itm}
+load net {ACC1:conc#1171.itm(0)} -attr vt d
+load net {ACC1:conc#1171.itm(1)} -attr vt d
+load net {ACC1:conc#1171.itm(2)} -attr vt d
+load netBundle {ACC1:conc#1171.itm} 3 {ACC1:conc#1171.itm(0)} {ACC1:conc#1171.itm(1)} {ACC1:conc#1171.itm(2)} -attr xrf 64060 -attr oid 1250 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1171.itm}
+load net {ACC1:slc#29.itm(0)} -attr vt d
+load net {ACC1:slc#29.itm(1)} -attr vt d
+load netBundle {ACC1:slc#29.itm} 2 {ACC1:slc#29.itm(0)} {ACC1:slc#29.itm(1)} -attr xrf 64061 -attr oid 1251 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#29.itm}
+load net {ACC1:acc#352.itm(0)} -attr vt d
+load net {ACC1:acc#352.itm(1)} -attr vt d
+load net {ACC1:acc#352.itm(2)} -attr vt d
+load netBundle {ACC1:acc#352.itm} 3 {ACC1:acc#352.itm(0)} {ACC1:acc#352.itm(1)} {ACC1:acc#352.itm(2)} -attr xrf 64062 -attr oid 1252 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#352.itm}
+load net {conc#1097.itm(0)} -attr vt d
+load net {conc#1097.itm(1)} -attr vt d
+load netBundle {conc#1097.itm} 2 {conc#1097.itm(0)} {conc#1097.itm(1)} -attr xrf 64063 -attr oid 1253 -attr vt d -attr @path {/sobel/sobel:core/conc#1097.itm}
+load net {ACC1:conc#1165.itm(0)} -attr vt d
+load net {ACC1:conc#1165.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1165.itm} 2 {ACC1:conc#1165.itm(0)} {ACC1:conc#1165.itm(1)} -attr xrf 64064 -attr oid 1254 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1165.itm}
+load net {ACC1:slc#34.itm(0)} -attr vt d
+load net {ACC1:slc#34.itm(1)} -attr vt d
+load netBundle {ACC1:slc#34.itm} 2 {ACC1:slc#34.itm(0)} {ACC1:slc#34.itm(1)} -attr xrf 64065 -attr oid 1255 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#357.itm(0)} -attr vt d
+load net {ACC1:acc#357.itm(1)} -attr vt d
+load net {ACC1:acc#357.itm(2)} -attr vt d
+load netBundle {ACC1:acc#357.itm} 3 {ACC1:acc#357.itm(0)} {ACC1:acc#357.itm(1)} {ACC1:acc#357.itm(2)} -attr xrf 64066 -attr oid 1256 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#357.itm}
+load net {conc#1098.itm(0)} -attr vt d
+load net {conc#1098.itm(1)} -attr vt d
+load netBundle {conc#1098.itm} 2 {conc#1098.itm(0)} {conc#1098.itm(1)} -attr xrf 64067 -attr oid 1257 -attr vt d -attr @path {/sobel/sobel:core/conc#1098.itm}
+load net {ACC1:conc#1175.itm(0)} -attr vt d
+load net {ACC1:conc#1175.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1175.itm} 2 {ACC1:conc#1175.itm(0)} {ACC1:conc#1175.itm(1)} -attr xrf 64068 -attr oid 1258 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1175.itm}
+load net {ACC1:exs#1655.itm(0)} -attr vt d
+load net {ACC1:exs#1655.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1655.itm} 2 {ACC1:exs#1655.itm(0)} {ACC1:exs#1655.itm(1)} -attr xrf 64069 -attr oid 1259 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1655.itm}
+load net {ACC1:exs#1611.itm(0)} -attr vt d
+load net {ACC1:exs#1611.itm(1)} -attr vt d
+load netBundle {ACC1:exs#1611.itm} 2 {ACC1:exs#1611.itm(0)} {ACC1:exs#1611.itm(1)} -attr xrf 64070 -attr oid 1260 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1611.itm}
+load net {ACC1:acc#339.itm(0)} -attr vt d
+load net {ACC1:acc#339.itm(1)} -attr vt d
+load net {ACC1:acc#339.itm(2)} -attr vt d
+load netBundle {ACC1:acc#339.itm} 3 {ACC1:acc#339.itm(0)} {ACC1:acc#339.itm(1)} {ACC1:acc#339.itm(2)} -attr xrf 64071 -attr oid 1261 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {conc#1099.itm(0)} -attr vt d
+load net {conc#1099.itm(1)} -attr vt d
+load net {conc#1099.itm(2)} -attr vt d
+load netBundle {conc#1099.itm} 3 {conc#1099.itm(0)} {conc#1099.itm(1)} {conc#1099.itm(2)} -attr xrf 64072 -attr oid 1262 -attr vt d -attr @path {/sobel/sobel:core/conc#1099.itm}
+load net {ACC1:conc#1144.itm(0)} -attr vt d
+load net {ACC1:conc#1144.itm(1)} -attr vt d
+load netBundle {ACC1:conc#1144.itm} 2 {ACC1:conc#1144.itm(0)} {ACC1:conc#1144.itm(1)} -attr xrf 64073 -attr oid 1263 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1144.itm}
+load net {clk} -attr xrf 64074 -attr oid 1264
+load net {clk} -port {clk} -attr xrf 64075 -attr oid 1265
+load net {en} -attr xrf 64076 -attr oid 1266
+load net {en} -port {en} -attr xrf 64077 -attr oid 1267
+load net {arst_n} -attr xrf 64078 -attr oid 1268
+load net {arst_n} -port {arst_n} -attr xrf 64079 -attr oid 1269
+load net {vin:rsc:mgc_in_wire.d(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 64080 -attr oid 1270 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(0)} -port {vin:rsc:mgc_in_wire.d(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(1)} -port {vin:rsc:mgc_in_wire.d(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(2)} -port {vin:rsc:mgc_in_wire.d(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(3)} -port {vin:rsc:mgc_in_wire.d(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(4)} -port {vin:rsc:mgc_in_wire.d(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(5)} -port {vin:rsc:mgc_in_wire.d(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(6)} -port {vin:rsc:mgc_in_wire.d(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(7)} -port {vin:rsc:mgc_in_wire.d(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(8)} -port {vin:rsc:mgc_in_wire.d(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(9)} -port {vin:rsc:mgc_in_wire.d(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(10)} -port {vin:rsc:mgc_in_wire.d(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(11)} -port {vin:rsc:mgc_in_wire.d(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(12)} -port {vin:rsc:mgc_in_wire.d(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(13)} -port {vin:rsc:mgc_in_wire.d(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(14)} -port {vin:rsc:mgc_in_wire.d(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(15)} -port {vin:rsc:mgc_in_wire.d(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(16)} -port {vin:rsc:mgc_in_wire.d(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(17)} -port {vin:rsc:mgc_in_wire.d(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(18)} -port {vin:rsc:mgc_in_wire.d(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(19)} -port {vin:rsc:mgc_in_wire.d(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(20)} -port {vin:rsc:mgc_in_wire.d(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(21)} -port {vin:rsc:mgc_in_wire.d(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(22)} -port {vin:rsc:mgc_in_wire.d(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(23)} -port {vin:rsc:mgc_in_wire.d(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(24)} -port {vin:rsc:mgc_in_wire.d(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(25)} -port {vin:rsc:mgc_in_wire.d(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(26)} -port {vin:rsc:mgc_in_wire.d(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(27)} -port {vin:rsc:mgc_in_wire.d(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(28)} -port {vin:rsc:mgc_in_wire.d(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(29)} -port {vin:rsc:mgc_in_wire.d(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(30)} -port {vin:rsc:mgc_in_wire.d(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(31)} -port {vin:rsc:mgc_in_wire.d(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(32)} -port {vin:rsc:mgc_in_wire.d(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(33)} -port {vin:rsc:mgc_in_wire.d(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(34)} -port {vin:rsc:mgc_in_wire.d(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(35)} -port {vin:rsc:mgc_in_wire.d(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(36)} -port {vin:rsc:mgc_in_wire.d(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(37)} -port {vin:rsc:mgc_in_wire.d(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(38)} -port {vin:rsc:mgc_in_wire.d(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(39)} -port {vin:rsc:mgc_in_wire.d(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(40)} -port {vin:rsc:mgc_in_wire.d(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(41)} -port {vin:rsc:mgc_in_wire.d(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(42)} -port {vin:rsc:mgc_in_wire.d(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(43)} -port {vin:rsc:mgc_in_wire.d(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(44)} -port {vin:rsc:mgc_in_wire.d(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(45)} -port {vin:rsc:mgc_in_wire.d(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(46)} -port {vin:rsc:mgc_in_wire.d(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(47)} -port {vin:rsc:mgc_in_wire.d(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(48)} -port {vin:rsc:mgc_in_wire.d(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(49)} -port {vin:rsc:mgc_in_wire.d(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(50)} -port {vin:rsc:mgc_in_wire.d(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(51)} -port {vin:rsc:mgc_in_wire.d(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(52)} -port {vin:rsc:mgc_in_wire.d(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(53)} -port {vin:rsc:mgc_in_wire.d(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(54)} -port {vin:rsc:mgc_in_wire.d(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(55)} -port {vin:rsc:mgc_in_wire.d(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(56)} -port {vin:rsc:mgc_in_wire.d(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(57)} -port {vin:rsc:mgc_in_wire.d(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(58)} -port {vin:rsc:mgc_in_wire.d(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(59)} -port {vin:rsc:mgc_in_wire.d(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(60)} -port {vin:rsc:mgc_in_wire.d(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(61)} -port {vin:rsc:mgc_in_wire.d(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(62)} -port {vin:rsc:mgc_in_wire.d(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(63)} -port {vin:rsc:mgc_in_wire.d(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(64)} -port {vin:rsc:mgc_in_wire.d(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(65)} -port {vin:rsc:mgc_in_wire.d(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(66)} -port {vin:rsc:mgc_in_wire.d(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(67)} -port {vin:rsc:mgc_in_wire.d(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(68)} -port {vin:rsc:mgc_in_wire.d(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(69)} -port {vin:rsc:mgc_in_wire.d(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(70)} -port {vin:rsc:mgc_in_wire.d(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(71)} -port {vin:rsc:mgc_in_wire.d(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(72)} -port {vin:rsc:mgc_in_wire.d(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(73)} -port {vin:rsc:mgc_in_wire.d(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(74)} -port {vin:rsc:mgc_in_wire.d(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(75)} -port {vin:rsc:mgc_in_wire.d(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(76)} -port {vin:rsc:mgc_in_wire.d(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(77)} -port {vin:rsc:mgc_in_wire.d(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(78)} -port {vin:rsc:mgc_in_wire.d(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(79)} -port {vin:rsc:mgc_in_wire.d(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(80)} -port {vin:rsc:mgc_in_wire.d(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(81)} -port {vin:rsc:mgc_in_wire.d(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(82)} -port {vin:rsc:mgc_in_wire.d(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(83)} -port {vin:rsc:mgc_in_wire.d(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(84)} -port {vin:rsc:mgc_in_wire.d(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(85)} -port {vin:rsc:mgc_in_wire.d(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(86)} -port {vin:rsc:mgc_in_wire.d(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(87)} -port {vin:rsc:mgc_in_wire.d(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(88)} -port {vin:rsc:mgc_in_wire.d(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(89)} -port {vin:rsc:mgc_in_wire.d(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 64081 -attr oid 1271 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d
+load netBundle {vout:rsc:mgc_out_stdreg.d} 30 {vout:rsc:mgc_out_stdreg.d(0)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(29)} -attr xrf 64082 -attr oid 1272 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -port {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -port {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -port {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -port {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -port {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -port {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -port {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -port {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -port {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -port {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -port {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -port {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -port {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -port {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -port {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -port {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -port {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -port {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -port {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -port {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -port {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -port {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -port {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -port {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -port {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -port {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -port {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -port {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -port {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -port {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load inst "FRAME:or" "or(2,10)" "INTERFACE" -attr xrf 64083 -attr oid 1273 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or} -attr area 7.298324 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(10,2)"
+load net {FRAME:acc#2.psp.sva(0)} -pin "FRAME:or" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(1)} -pin "FRAME:or" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(2)} -pin "FRAME:or" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(3)} -pin "FRAME:or" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(4)} -pin "FRAME:or" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(5)} -pin "FRAME:or" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(6)} -pin "FRAME:or" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(7)} -pin "FRAME:or" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(8)} -pin "FRAME:or" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(9)} -pin "FRAME:or" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(10)} -pin "FRAME:or" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {FRAME:acc#2.psp.sva(11)} -pin "FRAME:or" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(2)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(3)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(4)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(5)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(6)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(7)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(8)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {GND} -pin "FRAME:or" {A1(9)} -attr @path {/sobel/sobel:core/conc#878.itm}
+load net {FRAME:or.itm(0)} -pin "FRAME:or" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(1)} -pin "FRAME:or" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(2)} -pin "FRAME:or" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(3)} -pin "FRAME:or" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(4)} -pin "FRAME:or" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(5)} -pin "FRAME:or" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(6)} -pin "FRAME:or" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(7)} -pin "FRAME:or" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(8)} -pin "FRAME:or" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(9)} -pin "FRAME:or" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load inst "FRAME:or#3" "or(2,6)" "INTERFACE" -attr xrf 64084 -attr oid 1274 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3} -attr area 4.378994 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(6,2)"
+load net {FRAME:acc#2.psp.sva(0)} -pin "FRAME:or#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(1)} -pin "FRAME:or#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(2)} -pin "FRAME:or#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(3)} -pin "FRAME:or#3" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(4)} -pin "FRAME:or#3" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(5)} -pin "FRAME:or#3" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(10)} -pin "FRAME:or#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {FRAME:acc#2.psp.sva(11)} -pin "FRAME:or#3" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {GND} -pin "FRAME:or#3" {A1(2)} -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {GND} -pin "FRAME:or#3" {A1(3)} -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {GND} -pin "FRAME:or#3" {A1(4)} -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {GND} -pin "FRAME:or#3" {A1(5)} -attr @path {/sobel/sobel:core/conc#879.itm}
+load net {FRAME:or#3.itm(0)} -pin "FRAME:or#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(1)} -pin "FRAME:or#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(2)} -pin "FRAME:or#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(3)} -pin "FRAME:or#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(4)} -pin "FRAME:or#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(5)} -pin "FRAME:or#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load inst "mux" "mux(2,30)" "INTERFACE" -attr xrf 64085 -attr oid 1275 -attr vt d -attr @path {/sobel/sobel:core/mux} -attr area 27.583690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(30,1,2)"
+load net {FRAME:acc#2.psp.sva(0)} -pin "mux" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(1)} -pin "mux" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(2)} -pin "mux" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(3)} -pin "mux" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(4)} -pin "mux" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(5)} -pin "mux" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(6)} -pin "mux" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(7)} -pin "mux" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(8)} -pin "mux" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(9)} -pin "mux" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(0)} -pin "mux" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(1)} -pin "mux" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(2)} -pin "mux" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(3)} -pin "mux" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(4)} -pin "mux" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(5)} -pin "mux" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(6)} -pin "mux" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(7)} -pin "mux" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(8)} -pin "mux" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(9)} -pin "mux" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(0)} -pin "mux" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(1)} -pin "mux" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(2)} -pin "mux" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(3)} -pin "mux" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(4)} -pin "mux" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(5)} -pin "mux" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(6)} -pin "mux" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(7)} -pin "mux" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(8)} -pin "mux" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(9)} -pin "mux" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "mux" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "mux" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "mux" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "mux" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "mux" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "mux" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "mux" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "mux" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "mux" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "mux" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "mux" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "mux" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "mux" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "mux" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "mux" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "mux" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "mux" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "mux" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "mux" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "mux" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {main.stage_0#2} -pin "mux" {S(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load net {mux.itm(0)} -pin "mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(1)} -pin "mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(2)} -pin "mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(3)} -pin "mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(4)} -pin "mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(5)} -pin "mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(6)} -pin "mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(7)} -pin "mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(8)} -pin "mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(9)} -pin "mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(10)} -pin "mux" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(11)} -pin "mux" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(12)} -pin "mux" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(13)} -pin "mux" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(14)} -pin "mux" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(15)} -pin "mux" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(16)} -pin "mux" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(17)} -pin "mux" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(18)} -pin "mux" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(19)} -pin "mux" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(20)} -pin "mux" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(21)} -pin "mux" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(22)} -pin "mux" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(23)} -pin "mux" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(24)} -pin "mux" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(25)} -pin "mux" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(26)} -pin "mux" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(27)} -pin "mux" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(28)} -pin "mux" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(29)} -pin "mux" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load inst "reg(vout:rsc:mgc_out_stdreg.d)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 64086 -attr oid 1276 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)}
+load net {mux.itm(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
+load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {clk} -attr xrf 64087 -attr oid 1277 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load inst "ACC1:acc#326" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64088 -attr oid 1278 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#227.psp.sva(9)} -pin "ACC1:acc#326" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#13.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#326" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#14.itm}
+load net {ACC1:acc#326.itm(0)} -pin "ACC1:acc#326" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(1)} -pin "ACC1:acc#326" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load inst "ACC1:acc#325" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64089 -attr oid 1279 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#326.itm(0)} -pin "ACC1:acc#325" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(1)} -pin "ACC1:acc#325" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#224.psp.sva(8)} -pin "ACC1:acc#325" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#8.itm}
+load net {ACC1:acc#325.itm(0)} -pin "ACC1:acc#325" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(1)} -pin "ACC1:acc#325" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load inst "ACC1:acc#324" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 64090 -attr oid 1280 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#325.itm(0)} -pin "ACC1:acc#324" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(1)} -pin "ACC1:acc#324" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#228.psp.sva(8)} -pin "ACC1:acc#324" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#25.itm}
+load net {ACC1:acc#324.itm(0)} -pin "ACC1:acc#324" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(1)} -pin "ACC1:acc#324" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(2)} -pin "ACC1:acc#324" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load inst "ACC1:acc#323" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64091 -attr oid 1281 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#324.itm(0)} -pin "ACC1:acc#323" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(1)} -pin "ACC1:acc#323" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(2)} -pin "ACC1:acc#323" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#226.psp.sva(8)} -pin "ACC1:acc#323" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#20.itm}
+load net {ACC1:acc#323.itm(0)} -pin "ACC1:acc#323" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(1)} -pin "ACC1:acc#323" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(2)} -pin "ACC1:acc#323" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load inst "ACC1:acc#322" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64092 -attr oid 1282 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#323.itm(0)} -pin "ACC1:acc#322" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(1)} -pin "ACC1:acc#322" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(2)} -pin "ACC1:acc#322" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#224.psp#1.sva(8)} -pin "ACC1:acc#322" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#37.itm}
+load net {ACC1:acc#322.itm(0)} -pin "ACC1:acc#322" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(1)} -pin "ACC1:acc#322" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(2)} -pin "ACC1:acc#322" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load inst "ACC1:acc#321" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64093 -attr oid 1283 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#322.itm(0)} -pin "ACC1:acc#321" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(1)} -pin "ACC1:acc#321" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(2)} -pin "ACC1:acc#321" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1-1:acc#25.psp.sva(9)} -pin "ACC1:acc#321" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#14.itm}
+load net {ACC1:acc#321.itm(0)} -pin "ACC1:acc#321" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(1)} -pin "ACC1:acc#321" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(2)} -pin "ACC1:acc#321" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load inst "ACC1:acc#320" "add(3,0,1,0,4)" "INTERFACE" -attr xrf 64094 -attr oid 1284 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#321.itm(0)} -pin "ACC1:acc#320" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(1)} -pin "ACC1:acc#320" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(2)} -pin "ACC1:acc#320" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#320" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#14.itm}
+load net {ACC1:acc#320.itm(0)} -pin "ACC1:acc#320" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#320.itm(1)} -pin "ACC1:acc#320" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#320.itm(2)} -pin "ACC1:acc#320" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#320.itm(3)} -pin "ACC1:acc#320" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load inst "ACC1:mul#58" "mul(4,0,5,0,8)" "INTERFACE" -attr xrf 64095 -attr oid 1285 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(4,0,5,0,8)"
+load net {ACC1:acc#320.itm(0)} -pin "ACC1:mul#58" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#320.itm(1)} -pin "ACC1:mul#58" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#320.itm(2)} -pin "ACC1:mul#58" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {ACC1:acc#320.itm(3)} -pin "ACC1:mul#58" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#320.itm}
+load net {PWR} -pin "ACC1:mul#58" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul#58" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul#58" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul#58" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul#58" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
+load net {ACC1:mul#58.itm(0)} -pin "ACC1:mul#58" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(1)} -pin "ACC1:mul#58" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(2)} -pin "ACC1:mul#58" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(3)} -pin "ACC1:mul#58" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(4)} -pin "ACC1:mul#58" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(5)} -pin "ACC1:mul#58" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(6)} -pin "ACC1:mul#58" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load net {ACC1:mul#58.itm(7)} -pin "ACC1:mul#58" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#58.itm}
+load inst "ACC1:acc#654" "add(11,1,11,0,12)" "INTERFACE" -attr xrf 64096 -attr oid 1286 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,12)"
+load net {ACC1:acc#224.psp.sva(0)} -pin "ACC1:acc#654" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#654" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {GND} -pin "ACC1:acc#654" {A(2)} -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#654" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {GND} -pin "ACC1:acc#654" {A(4)} -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#654" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {GND} -pin "ACC1:acc#654" {A(6)} -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#654" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {GND} -pin "ACC1:acc#654" {A(8)} -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {GND} -pin "ACC1:acc#654" {A(9)} -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#654" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#880.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#654" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#654" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:acc#227.psp.sva(4)} -pin "ACC1:acc#654" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(0)} -pin "ACC1:acc#654" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(1)} -pin "ACC1:acc#654" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(2)} -pin "ACC1:acc#654" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(3)} -pin "ACC1:acc#654" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(4)} -pin "ACC1:acc#654" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(5)} -pin "ACC1:acc#654" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(6)} -pin "ACC1:acc#654" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:mul#58.itm(7)} -pin "ACC1:acc#654" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1105.itm}
+load net {ACC1:acc#654.itm(0)} -pin "ACC1:acc#654" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(1)} -pin "ACC1:acc#654" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(2)} -pin "ACC1:acc#654" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(3)} -pin "ACC1:acc#654" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(4)} -pin "ACC1:acc#654" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(5)} -pin "ACC1:acc#654" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(6)} -pin "ACC1:acc#654" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(7)} -pin "ACC1:acc#654" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(8)} -pin "ACC1:acc#654" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(9)} -pin "ACC1:acc#654" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(10)} -pin "ACC1:acc#654" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(11)} -pin "ACC1:acc#654" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load inst "ACC1:acc#670" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64097 -attr oid 1287 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#670} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#670" {A(0)} -attr @path {/sobel/sobel:core/exs.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#670" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#670" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {ACC1-1:nand#1.cse.sva} -pin "ACC1:acc#670" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:exs#1474.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#670" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:exs#1474.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#670" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:exs#1474.itm}
+load net {ACC1:acc#670.itm(0)} -pin "ACC1:acc#670" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#670.itm}
+load net {ACC1:acc#670.itm(1)} -pin "ACC1:acc#670" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#670.itm}
+load net {ACC1:acc#670.itm(2)} -pin "ACC1:acc#670" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#670.itm}
+load net {ACC1:acc#670.itm(3)} -pin "ACC1:acc#670" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#670.itm}
+load inst "ACC1-1:not#318" "not(1)" "INTERFACE" -attr xrf 64098 -attr oid 1288 -attr @path {/sobel/sobel:core/ACC1-1:not#318} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#338.itm(3)} -pin "ACC1-1:not#318" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#26.sva)#6.itm}
+load net {ACC1-1:not#318.itm} -pin "ACC1-1:not#318" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#318.itm}
+load inst "ACC1:acc#669" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64099 -attr oid 1289 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#669} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#669" {A(0)} -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#669" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#669" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {ACC1-1:not#318.itm} -pin "ACC1:acc#669" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1476.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#669" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1476.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#669" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1476.itm}
+load net {ACC1:acc#669.itm(0)} -pin "ACC1:acc#669" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#669.itm}
+load net {ACC1:acc#669.itm(1)} -pin "ACC1:acc#669" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#669.itm}
+load net {ACC1:acc#669.itm(2)} -pin "ACC1:acc#669" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#669.itm}
+load net {ACC1:acc#669.itm(3)} -pin "ACC1:acc#669" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#669.itm}
+load inst "ACC1:acc#676" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64100 -attr oid 1290 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#670.itm(1)} -pin "ACC1:acc#676" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#153.itm}
+load net {ACC1:acc#670.itm(2)} -pin "ACC1:acc#676" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#153.itm}
+load net {ACC1:acc#670.itm(3)} -pin "ACC1:acc#676" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#153.itm}
+load net {ACC1:acc#669.itm(1)} -pin "ACC1:acc#676" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#152.itm}
+load net {ACC1:acc#669.itm(2)} -pin "ACC1:acc#676" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#152.itm}
+load net {ACC1:acc#669.itm(3)} -pin "ACC1:acc#676" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#152.itm}
+load net {ACC1:acc#676.itm(0)} -pin "ACC1:acc#676" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#676.itm(1)} -pin "ACC1:acc#676" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#676.itm(2)} -pin "ACC1:acc#676" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#676.itm(3)} -pin "ACC1:acc#676" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load inst "ACC1:acc#668" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64101 -attr oid 1291 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#668} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#668" {A(0)} -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#668" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#668" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {ACC1:acc#338.itm(2)} -pin "ACC1:acc#668" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1478.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#668" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1478.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#668" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1478.itm}
+load net {ACC1:acc#668.itm(0)} -pin "ACC1:acc#668" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#668.itm}
+load net {ACC1:acc#668.itm(1)} -pin "ACC1:acc#668" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#668.itm}
+load net {ACC1:acc#668.itm(2)} -pin "ACC1:acc#668" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#668.itm}
+load net {ACC1:acc#668.itm(3)} -pin "ACC1:acc#668" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#668.itm}
+load inst "ACC1:acc#667" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64102 -attr oid 1292 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#667} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#667" {A(0)} -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#667" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#667" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {ACC1:acc#210.psp#2.sva(2)} -pin "ACC1:acc#667" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1480.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#667" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1480.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#667" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1480.itm}
+load net {ACC1:acc#667.itm(0)} -pin "ACC1:acc#667" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#667.itm}
+load net {ACC1:acc#667.itm(1)} -pin "ACC1:acc#667" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#667.itm}
+load net {ACC1:acc#667.itm(2)} -pin "ACC1:acc#667" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#667.itm}
+load net {ACC1:acc#667.itm(3)} -pin "ACC1:acc#667" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#667.itm}
+load inst "ACC1:acc#675" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64103 -attr oid 1293 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#668.itm(1)} -pin "ACC1:acc#675" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#151.itm}
+load net {ACC1:acc#668.itm(2)} -pin "ACC1:acc#675" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#151.itm}
+load net {ACC1:acc#668.itm(3)} -pin "ACC1:acc#675" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#151.itm}
+load net {ACC1:acc#667.itm(1)} -pin "ACC1:acc#675" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#150.itm}
+load net {ACC1:acc#667.itm(2)} -pin "ACC1:acc#675" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#150.itm}
+load net {ACC1:acc#667.itm(3)} -pin "ACC1:acc#675" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#150.itm}
+load net {ACC1:acc#675.itm(0)} -pin "ACC1:acc#675" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#675.itm(1)} -pin "ACC1:acc#675" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#675.itm(2)} -pin "ACC1:acc#675" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#675.itm(3)} -pin "ACC1:acc#675" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load inst "ACC1:acc#680" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64104 -attr oid 1294 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#676.itm(0)} -pin "ACC1:acc#680" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#676.itm(1)} -pin "ACC1:acc#680" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#676.itm(2)} -pin "ACC1:acc#680" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#676.itm(3)} -pin "ACC1:acc#680" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#676.itm}
+load net {ACC1:acc#675.itm(0)} -pin "ACC1:acc#680" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#675.itm(1)} -pin "ACC1:acc#680" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#675.itm(2)} -pin "ACC1:acc#680" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#675.itm(3)} -pin "ACC1:acc#680" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#675.itm}
+load net {ACC1:acc#680.itm(0)} -pin "ACC1:acc#680" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(1)} -pin "ACC1:acc#680" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(2)} -pin "ACC1:acc#680" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(3)} -pin "ACC1:acc#680" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(4)} -pin "ACC1:acc#680" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load inst "ACC1:acc#683" "add(6,0,5,0,7)" "INTERFACE" -attr xrf 64105 -attr oid 1295 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#683" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#683" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {GND} -pin "ACC1:acc#683" {A(2)} -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#683" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {GND} -pin "ACC1:acc#683" {A(4)} -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#683" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#883.itm}
+load net {ACC1:acc#680.itm(0)} -pin "ACC1:acc#683" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(1)} -pin "ACC1:acc#683" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(2)} -pin "ACC1:acc#683" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(3)} -pin "ACC1:acc#683" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#680.itm(4)} -pin "ACC1:acc#683" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#680.itm}
+load net {ACC1:acc#683.itm(0)} -pin "ACC1:acc#683" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(1)} -pin "ACC1:acc#683" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(2)} -pin "ACC1:acc#683" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(3)} -pin "ACC1:acc#683" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(4)} -pin "ACC1:acc#683" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(5)} -pin "ACC1:acc#683" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(6)} -pin "ACC1:acc#683" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load inst "ACC1:acc#686" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 64106 -attr oid 1296 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#686" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#686" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {GND} -pin "ACC1:acc#686" {A(2)} -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#686" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {GND} -pin "ACC1:acc#686" {A(4)} -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#686" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {GND} -pin "ACC1:acc#686" {A(6)} -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#686" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#882.itm}
+load net {ACC1:acc#683.itm(0)} -pin "ACC1:acc#686" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(1)} -pin "ACC1:acc#686" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(2)} -pin "ACC1:acc#686" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(3)} -pin "ACC1:acc#686" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(4)} -pin "ACC1:acc#686" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(5)} -pin "ACC1:acc#686" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#683.itm(6)} -pin "ACC1:acc#686" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#683.itm}
+load net {ACC1:acc#686.itm(0)} -pin "ACC1:acc#686" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(1)} -pin "ACC1:acc#686" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(2)} -pin "ACC1:acc#686" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(3)} -pin "ACC1:acc#686" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(4)} -pin "ACC1:acc#686" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(5)} -pin "ACC1:acc#686" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(6)} -pin "ACC1:acc#686" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(7)} -pin "ACC1:acc#686" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load inst "ACC1:acc#688" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 64107 -attr oid 1297 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,11)"
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#688" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {GND} -pin "ACC1:acc#688" {A(1)} -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#688" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {GND} -pin "ACC1:acc#688" {A(3)} -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#688" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {GND} -pin "ACC1:acc#688" {A(5)} -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#688" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {GND} -pin "ACC1:acc#688" {A(7)} -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#688" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#881.itm}
+load net {ACC1:acc#686.itm(0)} -pin "ACC1:acc#688" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(1)} -pin "ACC1:acc#688" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(2)} -pin "ACC1:acc#688" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(3)} -pin "ACC1:acc#688" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(4)} -pin "ACC1:acc#688" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(5)} -pin "ACC1:acc#688" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(6)} -pin "ACC1:acc#688" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#686.itm(7)} -pin "ACC1:acc#688" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#686.itm}
+load net {ACC1:acc#688.itm(0)} -pin "ACC1:acc#688" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(1)} -pin "ACC1:acc#688" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(2)} -pin "ACC1:acc#688" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(3)} -pin "ACC1:acc#688" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(4)} -pin "ACC1:acc#688" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(5)} -pin "ACC1:acc#688" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(6)} -pin "ACC1:acc#688" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(7)} -pin "ACC1:acc#688" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(8)} -pin "ACC1:acc#688" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(9)} -pin "ACC1:acc#688" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load inst "ACC1:acc#665" "add(3,1,2,1,4)" "INTERFACE" -attr xrf 64108 -attr oid 1298 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#665} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {PWR} -pin "ACC1:acc#665" {A(0)} -attr @path {/sobel/sobel:core/conc#888.itm}
+load net {acc.psp#2.sva(3)} -pin "ACC1:acc#665" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#888.itm}
+load net {PWR} -pin "ACC1:acc#665" {A(2)} -attr @path {/sobel/sobel:core/conc#888.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#665" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1420.itm}
+load net {ACC1:acc#210.psp#2.sva(3)} -pin "ACC1:acc#665" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1420.itm}
+load net {ACC1:acc#665.itm(0)} -pin "ACC1:acc#665" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#665.itm}
+load net {ACC1:acc#665.itm(1)} -pin "ACC1:acc#665" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#665.itm}
+load net {ACC1:acc#665.itm(2)} -pin "ACC1:acc#665" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#665.itm}
+load net {ACC1:acc#665.itm(3)} -pin "ACC1:acc#665" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#665.itm}
+load inst "ACC1:acc#674" "add(3,-1,2,1,3)" "INTERFACE" -attr xrf 64109 -attr oid 1299 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {ACC1:acc#665.itm(1)} -pin "ACC1:acc#674" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#148.itm}
+load net {ACC1:acc#665.itm(2)} -pin "ACC1:acc#674" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#148.itm}
+load net {ACC1:acc#665.itm(3)} -pin "ACC1:acc#674" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#148.itm}
+load net {ACC1:acc#220.psp#1.sva(1)} -pin "ACC1:acc#674" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva)#3.itm}
+load net {ACC1:acc#220.psp#1.sva(2)} -pin "ACC1:acc#674" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva)#3.itm}
+load net {ACC1:acc#674.itm(0)} -pin "ACC1:acc#674" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load net {ACC1:acc#674.itm(1)} -pin "ACC1:acc#674" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load net {ACC1:acc#674.itm(2)} -pin "ACC1:acc#674" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load inst "ACC1:acc#666" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64110 -attr oid 1300 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#666" {A(0)} -attr @path {/sobel/sobel:core/conc#889.itm}
+load net {acc.psp#2.sva(1)} -pin "ACC1:acc#666" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#889.itm}
+load net {acc.psp#2.sva(3)} -pin "ACC1:acc#666" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#889.itm}
+load net {ACC1:acc#210.psp#2.sva(1)} -pin "ACC1:acc#666" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1422.itm}
+load net {acc.psp#2.sva(2)} -pin "ACC1:acc#666" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1422.itm}
+load net {ACC1:acc#210.psp#2.sva(3)} -pin "ACC1:acc#666" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1422.itm}
+load net {ACC1:acc#666.itm(0)} -pin "ACC1:acc#666" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666.itm}
+load net {ACC1:acc#666.itm(1)} -pin "ACC1:acc#666" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666.itm}
+load net {ACC1:acc#666.itm(2)} -pin "ACC1:acc#666" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666.itm}
+load net {ACC1:acc#666.itm(3)} -pin "ACC1:acc#666" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666.itm}
+load net {ACC1:acc#666.itm(4)} -pin "ACC1:acc#666" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#666.itm}
+load inst "ACC1:acc#679" "add(3,1,4,-1,4)" "INTERFACE" -attr xrf 64111 -attr oid 1301 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#674.itm(0)} -pin "ACC1:acc#679" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load net {ACC1:acc#674.itm(1)} -pin "ACC1:acc#679" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load net {ACC1:acc#674.itm(2)} -pin "ACC1:acc#679" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#674.itm}
+load net {ACC1:acc#666.itm(1)} -pin "ACC1:acc#679" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#149.itm}
+load net {ACC1:acc#666.itm(2)} -pin "ACC1:acc#679" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#149.itm}
+load net {ACC1:acc#666.itm(3)} -pin "ACC1:acc#679" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#149.itm}
+load net {ACC1:acc#666.itm(4)} -pin "ACC1:acc#679" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#149.itm}
+load net {ACC1:acc#679.itm(0)} -pin "ACC1:acc#679" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#679.itm(1)} -pin "ACC1:acc#679" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#679.itm(2)} -pin "ACC1:acc#679" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#679.itm(3)} -pin "ACC1:acc#679" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load inst "ACC1:acc#678" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 64112 -attr oid 1302 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#678" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#558.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#678" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#558.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#678" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#558.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#678" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#558.itm}
+load net {ACC1:acc#673.cse(0)} -pin "ACC1:acc#678" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#673.cse(1)} -pin "ACC1:acc#678" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#673.cse(2)} -pin "ACC1:acc#678" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#678.itm(0)} -pin "ACC1:acc#678" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(1)} -pin "ACC1:acc#678" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(2)} -pin "ACC1:acc#678" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(3)} -pin "ACC1:acc#678" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(4)} -pin "ACC1:acc#678" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load inst "ACC1:acc#682" "add(4,1,5,0,6)" "INTERFACE" -attr xrf 64113 -attr oid 1303 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#679.itm(0)} -pin "ACC1:acc#682" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#679.itm(1)} -pin "ACC1:acc#682" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#679.itm(2)} -pin "ACC1:acc#682" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#679.itm(3)} -pin "ACC1:acc#682" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#679.itm}
+load net {ACC1:acc#678.itm(0)} -pin "ACC1:acc#682" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(1)} -pin "ACC1:acc#682" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(2)} -pin "ACC1:acc#682" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(3)} -pin "ACC1:acc#682" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#678.itm(4)} -pin "ACC1:acc#682" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#678.itm}
+load net {ACC1:acc#682.itm(0)} -pin "ACC1:acc#682" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(1)} -pin "ACC1:acc#682" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(2)} -pin "ACC1:acc#682" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(3)} -pin "ACC1:acc#682" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(4)} -pin "ACC1:acc#682" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(5)} -pin "ACC1:acc#682" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load inst "ACC1:acc#685" "add(6,1,7,0,8)" "INTERFACE" -attr xrf 64114 -attr oid 1304 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#682.itm(0)} -pin "ACC1:acc#685" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(1)} -pin "ACC1:acc#685" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(2)} -pin "ACC1:acc#685" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(3)} -pin "ACC1:acc#685" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(4)} -pin "ACC1:acc#685" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {ACC1:acc#682.itm(5)} -pin "ACC1:acc#685" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#682.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#685" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {GND} -pin "ACC1:acc#685" {B(1)} -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#685" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {GND} -pin "ACC1:acc#685" {B(3)} -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#685" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {GND} -pin "ACC1:acc#685" {B(5)} -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#685" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#890.itm}
+load net {ACC1:acc#685.itm(0)} -pin "ACC1:acc#685" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(1)} -pin "ACC1:acc#685" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(2)} -pin "ACC1:acc#685" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(3)} -pin "ACC1:acc#685" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(4)} -pin "ACC1:acc#685" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(5)} -pin "ACC1:acc#685" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(6)} -pin "ACC1:acc#685" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(7)} -pin "ACC1:acc#685" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load inst "ACC1:acc#671" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64115 -attr oid 1305 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#671} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#671" {A(0)} -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#671" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#671" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {ACC1-1:and#3.cse.sva} -pin "ACC1:acc#671" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1482.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#671" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1482.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#671" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1482.itm}
+load net {ACC1:acc#671.itm(0)} -pin "ACC1:acc#671" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#671.itm}
+load net {ACC1:acc#671.itm(1)} -pin "ACC1:acc#671" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#671.itm}
+load net {ACC1:acc#671.itm(2)} -pin "ACC1:acc#671" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#671.itm}
+load net {ACC1:acc#671.itm(3)} -pin "ACC1:acc#671" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#671.itm}
+load inst "ACC1:acc#677" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64116 -attr oid 1306 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#673.cse(0)} -pin "ACC1:acc#677" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#673.cse(1)} -pin "ACC1:acc#677" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#673.cse(2)} -pin "ACC1:acc#677" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#671.itm(1)} -pin "ACC1:acc#677" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#154.itm}
+load net {ACC1:acc#671.itm(2)} -pin "ACC1:acc#677" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#154.itm}
+load net {ACC1:acc#671.itm(3)} -pin "ACC1:acc#677" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#154.itm}
+load net {ACC1:acc#677.itm(0)} -pin "ACC1:acc#677" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#677.itm(1)} -pin "ACC1:acc#677" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#677.itm(2)} -pin "ACC1:acc#677" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#677.itm(3)} -pin "ACC1:acc#677" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load inst "ACC1:acc#681" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 64117 -attr oid 1307 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#681" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#891.itm}
+load net {GND} -pin "ACC1:acc#681" {A(1)} -attr @path {/sobel/sobel:core/conc#891.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#681" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#891.itm}
+load net {GND} -pin "ACC1:acc#681" {A(3)} -attr @path {/sobel/sobel:core/conc#891.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#681" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#891.itm}
+load net {ACC1:acc#677.itm(0)} -pin "ACC1:acc#681" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#677.itm(1)} -pin "ACC1:acc#681" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#677.itm(2)} -pin "ACC1:acc#681" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#677.itm(3)} -pin "ACC1:acc#681" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#677.itm}
+load net {ACC1:acc#681.itm(0)} -pin "ACC1:acc#681" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(1)} -pin "ACC1:acc#681" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(2)} -pin "ACC1:acc#681" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(3)} -pin "ACC1:acc#681" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(4)} -pin "ACC1:acc#681" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(5)} -pin "ACC1:acc#681" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load inst "ACC1:acc#684" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 64118 -attr oid 1308 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#684" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1045.itm}
+load net {ACC1:acc#681.itm(0)} -pin "ACC1:acc#684" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(1)} -pin "ACC1:acc#684" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(2)} -pin "ACC1:acc#684" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(3)} -pin "ACC1:acc#684" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(4)} -pin "ACC1:acc#684" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#681.itm(5)} -pin "ACC1:acc#684" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#681.itm}
+load net {ACC1:acc#684.itm(0)} -pin "ACC1:acc#684" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(1)} -pin "ACC1:acc#684" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(2)} -pin "ACC1:acc#684" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(3)} -pin "ACC1:acc#684" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(4)} -pin "ACC1:acc#684" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(5)} -pin "ACC1:acc#684" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(6)} -pin "ACC1:acc#684" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(7)} -pin "ACC1:acc#684" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load inst "ACC1:acc#687" "add(8,1,8,0,10)" "INTERFACE" -attr xrf 64119 -attr oid 1309 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#685.itm(0)} -pin "ACC1:acc#687" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(1)} -pin "ACC1:acc#687" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(2)} -pin "ACC1:acc#687" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(3)} -pin "ACC1:acc#687" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(4)} -pin "ACC1:acc#687" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(5)} -pin "ACC1:acc#687" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(6)} -pin "ACC1:acc#687" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#685.itm(7)} -pin "ACC1:acc#687" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#685.itm}
+load net {ACC1:acc#684.itm(0)} -pin "ACC1:acc#687" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(1)} -pin "ACC1:acc#687" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(2)} -pin "ACC1:acc#687" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(3)} -pin "ACC1:acc#687" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(4)} -pin "ACC1:acc#687" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(5)} -pin "ACC1:acc#687" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(6)} -pin "ACC1:acc#687" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#684.itm(7)} -pin "ACC1:acc#687" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#684.itm}
+load net {ACC1:acc#687.itm(0)} -pin "ACC1:acc#687" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(1)} -pin "ACC1:acc#687" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(2)} -pin "ACC1:acc#687" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(3)} -pin "ACC1:acc#687" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(4)} -pin "ACC1:acc#687" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(5)} -pin "ACC1:acc#687" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(6)} -pin "ACC1:acc#687" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(7)} -pin "ACC1:acc#687" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(8)} -pin "ACC1:acc#687" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(9)} -pin "ACC1:acc#687" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load inst "ACC1:acc#690" "add(10,0,10,1,11)" "INTERFACE" -attr xrf 64120 -attr oid 1310 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,12)"
+load net {ACC1:acc#688.itm(0)} -pin "ACC1:acc#690" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(1)} -pin "ACC1:acc#690" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(2)} -pin "ACC1:acc#690" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(3)} -pin "ACC1:acc#690" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(4)} -pin "ACC1:acc#690" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(5)} -pin "ACC1:acc#690" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(6)} -pin "ACC1:acc#690" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(7)} -pin "ACC1:acc#690" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(8)} -pin "ACC1:acc#690" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#688.itm(9)} -pin "ACC1:acc#690" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#688.itm}
+load net {ACC1:acc#687.itm(0)} -pin "ACC1:acc#690" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(1)} -pin "ACC1:acc#690" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(2)} -pin "ACC1:acc#690" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(3)} -pin "ACC1:acc#690" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(4)} -pin "ACC1:acc#690" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(5)} -pin "ACC1:acc#690" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(6)} -pin "ACC1:acc#690" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(7)} -pin "ACC1:acc#690" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(8)} -pin "ACC1:acc#690" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#687.itm(9)} -pin "ACC1:acc#690" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#687.itm}
+load net {ACC1:acc#690.itm(0)} -pin "ACC1:acc#690" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(1)} -pin "ACC1:acc#690" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(2)} -pin "ACC1:acc#690" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(3)} -pin "ACC1:acc#690" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(4)} -pin "ACC1:acc#690" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(5)} -pin "ACC1:acc#690" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(6)} -pin "ACC1:acc#690" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(7)} -pin "ACC1:acc#690" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(8)} -pin "ACC1:acc#690" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(9)} -pin "ACC1:acc#690" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(10)} -pin "ACC1:acc#690" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load inst "ACC1:acc#718" "add(1,0,2,0,3)" "INTERFACE" -attr xrf 64121 -attr oid 1311 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#718} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,3)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#718" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#10.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#718" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1640.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#718" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1640.itm}
+load net {ACC1:acc#718.itm(0)} -pin "ACC1:acc#718" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#718.itm}
+load net {ACC1:acc#718.itm(1)} -pin "ACC1:acc#718" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#718.itm}
+load net {ACC1:acc#718.itm(2)} -pin "ACC1:acc#718" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#718.itm}
+load inst "ACC1-1:acc#2" "add(11,-1,11,-1,11)" "INTERFACE" -attr xrf 64122 -attr oid 1312 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#690.itm(0)} -pin "ACC1-1:acc#2" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(1)} -pin "ACC1-1:acc#2" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(2)} -pin "ACC1-1:acc#2" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(3)} -pin "ACC1-1:acc#2" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(4)} -pin "ACC1-1:acc#2" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(5)} -pin "ACC1-1:acc#2" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(6)} -pin "ACC1-1:acc#2" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(7)} -pin "ACC1-1:acc#2" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(8)} -pin "ACC1-1:acc#2" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(9)} -pin "ACC1-1:acc#2" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#690.itm(10)} -pin "ACC1-1:acc#2" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#690.itm}
+load net {ACC1:acc#718.itm(0)} -pin "ACC1-1:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {ACC1:acc#718.itm(1)} -pin "ACC1-1:acc#2" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {ACC1:acc#718.itm(2)} -pin "ACC1-1:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {GND} -pin "ACC1-1:acc#2" {B(4)} -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#2" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {GND} -pin "ACC1-1:acc#2" {B(6)} -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#2" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {GND} -pin "ACC1-1:acc#2" {B(8)} -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {GND} -pin "ACC1-1:acc#2" {B(9)} -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#2" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#893.itm}
+load net {ACC1-1:acc#2.itm(0)} -pin "ACC1-1:acc#2" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(1)} -pin "ACC1-1:acc#2" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(2)} -pin "ACC1-1:acc#2" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(3)} -pin "ACC1-1:acc#2" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(4)} -pin "ACC1-1:acc#2" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(5)} -pin "ACC1-1:acc#2" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(6)} -pin "ACC1-1:acc#2" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(7)} -pin "ACC1-1:acc#2" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(8)} -pin "ACC1-1:acc#2" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(9)} -pin "ACC1-1:acc#2" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(10)} -pin "ACC1-1:acc#2" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load inst "ACC1-1:not#320" "not(1)" "INTERFACE" -attr xrf 64123 -attr oid 1313 -attr @path {/sobel/sobel:core/ACC1-1:not#320} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:not#320" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#49.itm}
+load net {ACC1-1:not#320.itm} -pin "ACC1-1:not#320" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#320.itm}
+load inst "ACC1-1:nand#4" "nand(2,1)" "INTERFACE" -attr xrf 64124 -attr oid 1314 -attr @path {/sobel/sobel:core/ACC1-1:nand#4} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#359.itm(2)} -pin "ACC1-1:nand#4" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#40.sva)#2.itm}
+load net {ACC1-1:not#320.itm} -pin "ACC1-1:nand#4" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#320.itm}
+load net {ACC1-1:nand#4.itm} -pin "ACC1-1:nand#4" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:nand#4.itm}
+load inst "ACC1:acc#696" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64125 -attr oid 1315 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#696} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#696" {A(0)} -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#696" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#696" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {ACC1-1:nand#4.itm} -pin "ACC1:acc#696" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1485.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#696" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1485.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#696" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1485.itm}
+load net {ACC1:acc#696.itm(0)} -pin "ACC1:acc#696" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#696.itm}
+load net {ACC1:acc#696.itm(1)} -pin "ACC1:acc#696" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#696.itm}
+load net {ACC1:acc#696.itm(2)} -pin "ACC1:acc#696" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#696.itm}
+load net {ACC1:acc#696.itm(3)} -pin "ACC1:acc#696" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#696.itm}
+load inst "ACC1-1:not#321" "not(1)" "INTERFACE" -attr xrf 64126 -attr oid 1316 -attr @path {/sobel/sobel:core/ACC1-1:not#321} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#358.itm(3)} -pin "ACC1-1:not#321" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#38.sva)#4.itm}
+load net {ACC1-1:not#321.itm} -pin "ACC1-1:not#321" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#321.itm}
+load inst "ACC1:acc#695" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64127 -attr oid 1317 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#695} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#695" {A(0)} -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#695" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#695" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {ACC1-1:not#321.itm} -pin "ACC1:acc#695" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1487.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#695" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1487.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#695" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1487.itm}
+load net {ACC1:acc#695.itm(0)} -pin "ACC1:acc#695" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#695.itm}
+load net {ACC1:acc#695.itm(1)} -pin "ACC1:acc#695" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#695.itm}
+load net {ACC1:acc#695.itm(2)} -pin "ACC1:acc#695" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#695.itm}
+load net {ACC1:acc#695.itm(3)} -pin "ACC1:acc#695" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#695.itm}
+load inst "ACC1:acc#702" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64128 -attr oid 1318 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#696.itm(1)} -pin "ACC1:acc#702" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#160.itm}
+load net {ACC1:acc#696.itm(2)} -pin "ACC1:acc#702" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#160.itm}
+load net {ACC1:acc#696.itm(3)} -pin "ACC1:acc#702" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#160.itm}
+load net {ACC1:acc#695.itm(1)} -pin "ACC1:acc#702" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#159.itm}
+load net {ACC1:acc#695.itm(2)} -pin "ACC1:acc#702" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#159.itm}
+load net {ACC1:acc#695.itm(3)} -pin "ACC1:acc#702" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#159.itm}
+load net {ACC1:acc#702.itm(0)} -pin "ACC1:acc#702" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#702.itm(1)} -pin "ACC1:acc#702" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#702.itm(2)} -pin "ACC1:acc#702" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#702.itm(3)} -pin "ACC1:acc#702" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load inst "ACC1:acc#694" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64129 -attr oid 1319 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#694} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#694" {A(0)} -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#694" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#694" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {ACC1:acc#358.itm(2)} -pin "ACC1:acc#694" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1489.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#694" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1489.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#694" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1489.itm}
+load net {ACC1:acc#694.itm(0)} -pin "ACC1:acc#694" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#694.itm}
+load net {ACC1:acc#694.itm(1)} -pin "ACC1:acc#694" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#694.itm}
+load net {ACC1:acc#694.itm(2)} -pin "ACC1:acc#694" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#694.itm}
+load net {ACC1:acc#694.itm(3)} -pin "ACC1:acc#694" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#694.itm}
+load inst "ACC1:acc#693" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64130 -attr oid 1320 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#693} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#693" {A(0)} -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#693" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#693" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {ACC1:acc#217.psp#2.sva(2)} -pin "ACC1:acc#693" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1491.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#693" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1491.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#693" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1491.itm}
+load net {ACC1:acc#693.itm(0)} -pin "ACC1:acc#693" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#693.itm}
+load net {ACC1:acc#693.itm(1)} -pin "ACC1:acc#693" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#693.itm}
+load net {ACC1:acc#693.itm(2)} -pin "ACC1:acc#693" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#693.itm}
+load net {ACC1:acc#693.itm(3)} -pin "ACC1:acc#693" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#693.itm}
+load inst "ACC1:acc#701" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64131 -attr oid 1321 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#694.itm(1)} -pin "ACC1:acc#701" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#158.itm}
+load net {ACC1:acc#694.itm(2)} -pin "ACC1:acc#701" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#158.itm}
+load net {ACC1:acc#694.itm(3)} -pin "ACC1:acc#701" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#158.itm}
+load net {ACC1:acc#693.itm(1)} -pin "ACC1:acc#701" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#157.itm}
+load net {ACC1:acc#693.itm(2)} -pin "ACC1:acc#701" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#157.itm}
+load net {ACC1:acc#693.itm(3)} -pin "ACC1:acc#701" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#157.itm}
+load net {ACC1:acc#701.itm(0)} -pin "ACC1:acc#701" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#701.itm(1)} -pin "ACC1:acc#701" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#701.itm(2)} -pin "ACC1:acc#701" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#701.itm(3)} -pin "ACC1:acc#701" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load inst "ACC1:acc#706" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64132 -attr oid 1322 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#702.itm(0)} -pin "ACC1:acc#706" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#702.itm(1)} -pin "ACC1:acc#706" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#702.itm(2)} -pin "ACC1:acc#706" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#702.itm(3)} -pin "ACC1:acc#706" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#702.itm}
+load net {ACC1:acc#701.itm(0)} -pin "ACC1:acc#706" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#701.itm(1)} -pin "ACC1:acc#706" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#701.itm(2)} -pin "ACC1:acc#706" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#701.itm(3)} -pin "ACC1:acc#706" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#701.itm}
+load net {ACC1:acc#706.itm(0)} -pin "ACC1:acc#706" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(1)} -pin "ACC1:acc#706" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(2)} -pin "ACC1:acc#706" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(3)} -pin "ACC1:acc#706" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(4)} -pin "ACC1:acc#706" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load inst "ACC1:acc#709" "add(6,0,5,0,7)" "INTERFACE" -attr xrf 64133 -attr oid 1323 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#709" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#709" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {GND} -pin "ACC1:acc#709" {A(2)} -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {acc#20.psp#2.sva(5)} -pin "ACC1:acc#709" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {GND} -pin "ACC1:acc#709" {A(4)} -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {acc#20.psp#2.sva(7)} -pin "ACC1:acc#709" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#896.itm}
+load net {ACC1:acc#706.itm(0)} -pin "ACC1:acc#709" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(1)} -pin "ACC1:acc#709" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(2)} -pin "ACC1:acc#709" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(3)} -pin "ACC1:acc#709" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#706.itm(4)} -pin "ACC1:acc#709" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#706.itm}
+load net {ACC1:acc#709.itm(0)} -pin "ACC1:acc#709" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(1)} -pin "ACC1:acc#709" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(2)} -pin "ACC1:acc#709" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(3)} -pin "ACC1:acc#709" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(4)} -pin "ACC1:acc#709" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(5)} -pin "ACC1:acc#709" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(6)} -pin "ACC1:acc#709" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load inst "ACC1:acc#712" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 64134 -attr oid 1324 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {acc#20.psp#2.sva(5)} -pin "ACC1:acc#712" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {acc#20.psp#2.sva(5)} -pin "ACC1:acc#712" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {GND} -pin "ACC1:acc#712" {A(2)} -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {acc#20.psp#2.sva(9)} -pin "ACC1:acc#712" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {GND} -pin "ACC1:acc#712" {A(4)} -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {acc#20.psp#2.sva(9)} -pin "ACC1:acc#712" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {GND} -pin "ACC1:acc#712" {A(6)} -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {acc#20.psp#2.sva(9)} -pin "ACC1:acc#712" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#895.itm}
+load net {ACC1:acc#709.itm(0)} -pin "ACC1:acc#712" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(1)} -pin "ACC1:acc#712" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(2)} -pin "ACC1:acc#712" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(3)} -pin "ACC1:acc#712" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(4)} -pin "ACC1:acc#712" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(5)} -pin "ACC1:acc#712" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#709.itm(6)} -pin "ACC1:acc#712" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#709.itm}
+load net {ACC1:acc#712.itm(0)} -pin "ACC1:acc#712" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(1)} -pin "ACC1:acc#712" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(2)} -pin "ACC1:acc#712" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(3)} -pin "ACC1:acc#712" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(4)} -pin "ACC1:acc#712" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(5)} -pin "ACC1:acc#712" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(6)} -pin "ACC1:acc#712" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(7)} -pin "ACC1:acc#712" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load inst "ACC1:acc#714" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 64135 -attr oid 1325 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,11)"
+load net {acc#20.psp#2.sva(10)} -pin "ACC1:acc#714" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {GND} -pin "ACC1:acc#714" {A(1)} -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {acc#20.psp#2.sva(10)} -pin "ACC1:acc#714" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {GND} -pin "ACC1:acc#714" {A(3)} -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {acc#20.psp#2.sva(10)} -pin "ACC1:acc#714" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {GND} -pin "ACC1:acc#714" {A(5)} -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {acc#20.psp#2.sva(10)} -pin "ACC1:acc#714" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {GND} -pin "ACC1:acc#714" {A(7)} -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {acc#20.psp#2.sva(10)} -pin "ACC1:acc#714" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#894.itm}
+load net {ACC1:acc#712.itm(0)} -pin "ACC1:acc#714" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(1)} -pin "ACC1:acc#714" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(2)} -pin "ACC1:acc#714" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(3)} -pin "ACC1:acc#714" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(4)} -pin "ACC1:acc#714" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(5)} -pin "ACC1:acc#714" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(6)} -pin "ACC1:acc#714" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#712.itm(7)} -pin "ACC1:acc#714" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#712.itm}
+load net {ACC1:acc#714.itm(0)} -pin "ACC1:acc#714" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(1)} -pin "ACC1:acc#714" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(2)} -pin "ACC1:acc#714" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(3)} -pin "ACC1:acc#714" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(4)} -pin "ACC1:acc#714" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(5)} -pin "ACC1:acc#714" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(6)} -pin "ACC1:acc#714" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(7)} -pin "ACC1:acc#714" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(8)} -pin "ACC1:acc#714" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(9)} -pin "ACC1:acc#714" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load inst "ACC1:acc#691" "add(3,1,2,1,4)" "INTERFACE" -attr xrf 64136 -attr oid 1326 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#691} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {PWR} -pin "ACC1:acc#691" {A(0)} -attr @path {/sobel/sobel:core/conc#901.itm}
+load net {acc#20.psp#2.sva(3)} -pin "ACC1:acc#691" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#901.itm}
+load net {PWR} -pin "ACC1:acc#691" {A(2)} -attr @path {/sobel/sobel:core/conc#901.itm}
+load net {acc#20.psp#2.sva(4)} -pin "ACC1:acc#691" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1435.itm}
+load net {ACC1:acc#217.psp#2.sva(3)} -pin "ACC1:acc#691" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1435.itm}
+load net {ACC1:acc#691.itm(0)} -pin "ACC1:acc#691" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#691.itm}
+load net {ACC1:acc#691.itm(1)} -pin "ACC1:acc#691" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#691.itm}
+load net {ACC1:acc#691.itm(2)} -pin "ACC1:acc#691" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#691.itm}
+load net {ACC1:acc#691.itm(3)} -pin "ACC1:acc#691" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#691.itm}
+load inst "ACC1:acc#700" "add(3,-1,2,1,3)" "INTERFACE" -attr xrf 64137 -attr oid 1327 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {ACC1:acc#691.itm(1)} -pin "ACC1:acc#700" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#155.itm}
+load net {ACC1:acc#691.itm(2)} -pin "ACC1:acc#700" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#155.itm}
+load net {ACC1:acc#691.itm(3)} -pin "ACC1:acc#700" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#155.itm}
+load net {ACC1:acc#223.psp#1.sva(1)} -pin "ACC1:acc#700" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp#1.sva)#2.itm}
+load net {ACC1:acc#223.psp#1.sva(2)} -pin "ACC1:acc#700" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp#1.sva)#2.itm}
+load net {ACC1:acc#700.itm(0)} -pin "ACC1:acc#700" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load net {ACC1:acc#700.itm(1)} -pin "ACC1:acc#700" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load net {ACC1:acc#700.itm(2)} -pin "ACC1:acc#700" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load inst "ACC1:acc#692" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64138 -attr oid 1328 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#692" {A(0)} -attr @path {/sobel/sobel:core/conc#902.itm}
+load net {acc#20.psp#2.sva(1)} -pin "ACC1:acc#692" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#902.itm}
+load net {acc#20.psp#2.sva(3)} -pin "ACC1:acc#692" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#902.itm}
+load net {ACC1:acc#217.psp#2.sva(1)} -pin "ACC1:acc#692" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1437.itm}
+load net {acc#20.psp#2.sva(2)} -pin "ACC1:acc#692" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1437.itm}
+load net {ACC1:acc#217.psp#2.sva(3)} -pin "ACC1:acc#692" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1437.itm}
+load net {ACC1:acc#692.itm(0)} -pin "ACC1:acc#692" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692.itm}
+load net {ACC1:acc#692.itm(1)} -pin "ACC1:acc#692" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692.itm}
+load net {ACC1:acc#692.itm(2)} -pin "ACC1:acc#692" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692.itm}
+load net {ACC1:acc#692.itm(3)} -pin "ACC1:acc#692" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692.itm}
+load net {ACC1:acc#692.itm(4)} -pin "ACC1:acc#692" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#692.itm}
+load inst "ACC1:acc#705" "add(3,1,4,-1,4)" "INTERFACE" -attr xrf 64139 -attr oid 1329 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#700.itm(0)} -pin "ACC1:acc#705" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load net {ACC1:acc#700.itm(1)} -pin "ACC1:acc#705" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load net {ACC1:acc#700.itm(2)} -pin "ACC1:acc#705" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#700.itm}
+load net {ACC1:acc#692.itm(1)} -pin "ACC1:acc#705" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#156.itm}
+load net {ACC1:acc#692.itm(2)} -pin "ACC1:acc#705" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#156.itm}
+load net {ACC1:acc#692.itm(3)} -pin "ACC1:acc#705" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#156.itm}
+load net {ACC1:acc#692.itm(4)} -pin "ACC1:acc#705" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#156.itm}
+load net {ACC1:acc#705.itm(0)} -pin "ACC1:acc#705" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#705.itm(1)} -pin "ACC1:acc#705" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#705.itm(2)} -pin "ACC1:acc#705" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#705.itm(3)} -pin "ACC1:acc#705" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load inst "ACC1:acc#704" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 64140 -attr oid 1330 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#704" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#594.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#704" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#594.itm}
+load net {acc#20.psp#2.sva(4)} -pin "ACC1:acc#704" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#594.itm}
+load net {acc#20.psp#2.sva(7)} -pin "ACC1:acc#704" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#594.itm}
+load net {ACC1:acc#699.cse(0)} -pin "ACC1:acc#704" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#699.cse(1)} -pin "ACC1:acc#704" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#699.cse(2)} -pin "ACC1:acc#704" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#704.itm(0)} -pin "ACC1:acc#704" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(1)} -pin "ACC1:acc#704" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(2)} -pin "ACC1:acc#704" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(3)} -pin "ACC1:acc#704" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(4)} -pin "ACC1:acc#704" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load inst "ACC1:acc#708" "add(4,1,5,0,6)" "INTERFACE" -attr xrf 64141 -attr oid 1331 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#705.itm(0)} -pin "ACC1:acc#708" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#705.itm(1)} -pin "ACC1:acc#708" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#705.itm(2)} -pin "ACC1:acc#708" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#705.itm(3)} -pin "ACC1:acc#708" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#705.itm}
+load net {ACC1:acc#704.itm(0)} -pin "ACC1:acc#708" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(1)} -pin "ACC1:acc#708" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(2)} -pin "ACC1:acc#708" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(3)} -pin "ACC1:acc#708" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#704.itm(4)} -pin "ACC1:acc#708" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#704.itm}
+load net {ACC1:acc#708.itm(0)} -pin "ACC1:acc#708" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(1)} -pin "ACC1:acc#708" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(2)} -pin "ACC1:acc#708" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(3)} -pin "ACC1:acc#708" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(4)} -pin "ACC1:acc#708" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(5)} -pin "ACC1:acc#708" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load inst "ACC1:acc#711" "add(6,1,7,0,8)" "INTERFACE" -attr xrf 64142 -attr oid 1332 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#708.itm(0)} -pin "ACC1:acc#711" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(1)} -pin "ACC1:acc#711" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(2)} -pin "ACC1:acc#711" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(3)} -pin "ACC1:acc#711" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(4)} -pin "ACC1:acc#711" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {ACC1:acc#708.itm(5)} -pin "ACC1:acc#711" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#708.itm}
+load net {acc#20.psp#2.sva(8)} -pin "ACC1:acc#711" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {GND} -pin "ACC1:acc#711" {B(1)} -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {acc#20.psp#2.sva(8)} -pin "ACC1:acc#711" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {GND} -pin "ACC1:acc#711" {B(3)} -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {acc#20.psp#2.sva(8)} -pin "ACC1:acc#711" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {GND} -pin "ACC1:acc#711" {B(5)} -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {acc#20.psp#2.sva(8)} -pin "ACC1:acc#711" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#903.itm}
+load net {ACC1:acc#711.itm(0)} -pin "ACC1:acc#711" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(1)} -pin "ACC1:acc#711" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(2)} -pin "ACC1:acc#711" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(3)} -pin "ACC1:acc#711" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(4)} -pin "ACC1:acc#711" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(5)} -pin "ACC1:acc#711" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(6)} -pin "ACC1:acc#711" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(7)} -pin "ACC1:acc#711" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load inst "ACC1-1:not#156" "not(1)" "INTERFACE" -attr xrf 64143 -attr oid 1333 -attr @path {/sobel/sobel:core/ACC1-1:not#156} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#359.itm(2)} -pin "ACC1-1:not#156" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#40.sva).itm}
+load net {ACC1-1:not#156.itm} -pin "ACC1-1:not#156" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#156.itm}
+load inst "ACC1-1:and#9" "and(3,1)" "INTERFACE" -attr xrf 64144 -attr oid 1334 -attr @path {/sobel/sobel:core/ACC1-1:and#9} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:and#9" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#37.itm}
+load net {ACC1-1:not#156.itm} -pin "ACC1-1:and#9" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#156.itm}
+load net {ACC1:acc#359.itm(1)} -pin "ACC1-1:and#9" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#40.sva)#1.itm}
+load net {ACC1-1:and#9.itm} -pin "ACC1-1:and#9" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#9.itm}
+load inst "ACC1:acc#697" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64145 -attr oid 1335 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#697} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#697" {A(0)} -attr @path {/sobel/sobel:core/exs#91.itm}
+load net {acc#20.psp#2.sva(7)} -pin "ACC1:acc#697" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#91.itm}
+load net {acc#20.psp#2.sva(7)} -pin "ACC1:acc#697" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#91.itm}
+load net {ACC1-1:and#9.itm} -pin "ACC1:acc#697" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1493.itm}
+load net {acc#20.psp#2.sva(9)} -pin "ACC1:acc#697" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1493.itm}
+load net {acc#20.psp#2.sva(9)} -pin "ACC1:acc#697" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1493.itm}
+load net {ACC1:acc#697.itm(0)} -pin "ACC1:acc#697" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#697.itm}
+load net {ACC1:acc#697.itm(1)} -pin "ACC1:acc#697" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#697.itm}
+load net {ACC1:acc#697.itm(2)} -pin "ACC1:acc#697" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#697.itm}
+load net {ACC1:acc#697.itm(3)} -pin "ACC1:acc#697" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#697.itm}
+load inst "ACC1:acc#703" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64146 -attr oid 1336 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#699.cse(0)} -pin "ACC1:acc#703" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#699.cse(1)} -pin "ACC1:acc#703" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#699.cse(2)} -pin "ACC1:acc#703" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#697.itm(1)} -pin "ACC1:acc#703" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#161.itm}
+load net {ACC1:acc#697.itm(2)} -pin "ACC1:acc#703" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#161.itm}
+load net {ACC1:acc#697.itm(3)} -pin "ACC1:acc#703" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#161.itm}
+load net {ACC1:acc#703.itm(0)} -pin "ACC1:acc#703" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#703.itm(1)} -pin "ACC1:acc#703" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#703.itm(2)} -pin "ACC1:acc#703" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#703.itm(3)} -pin "ACC1:acc#703" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load inst "ACC1:acc#707" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 64147 -attr oid 1337 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc#20.psp#2.sva(6)} -pin "ACC1:acc#707" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#904.itm}
+load net {GND} -pin "ACC1:acc#707" {A(1)} -attr @path {/sobel/sobel:core/conc#904.itm}
+load net {acc#20.psp#2.sva(6)} -pin "ACC1:acc#707" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#904.itm}
+load net {GND} -pin "ACC1:acc#707" {A(3)} -attr @path {/sobel/sobel:core/conc#904.itm}
+load net {acc#20.psp#2.sva(6)} -pin "ACC1:acc#707" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#904.itm}
+load net {ACC1:acc#703.itm(0)} -pin "ACC1:acc#707" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#703.itm(1)} -pin "ACC1:acc#707" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#703.itm(2)} -pin "ACC1:acc#707" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#703.itm(3)} -pin "ACC1:acc#707" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#703.itm}
+load net {ACC1:acc#707.itm(0)} -pin "ACC1:acc#707" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(1)} -pin "ACC1:acc#707" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(2)} -pin "ACC1:acc#707" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(3)} -pin "ACC1:acc#707" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(4)} -pin "ACC1:acc#707" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(5)} -pin "ACC1:acc#707" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load inst "ACC1:acc#710" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 64148 -attr oid 1338 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#710" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1040.itm}
+load net {ACC1:acc#707.itm(0)} -pin "ACC1:acc#710" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(1)} -pin "ACC1:acc#710" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(2)} -pin "ACC1:acc#710" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(3)} -pin "ACC1:acc#710" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(4)} -pin "ACC1:acc#710" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#707.itm(5)} -pin "ACC1:acc#710" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#707.itm}
+load net {ACC1:acc#710.itm(0)} -pin "ACC1:acc#710" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(1)} -pin "ACC1:acc#710" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(2)} -pin "ACC1:acc#710" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(3)} -pin "ACC1:acc#710" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(4)} -pin "ACC1:acc#710" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(5)} -pin "ACC1:acc#710" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(6)} -pin "ACC1:acc#710" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(7)} -pin "ACC1:acc#710" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load inst "ACC1:acc#713" "add(8,1,8,0,10)" "INTERFACE" -attr xrf 64149 -attr oid 1339 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#711.itm(0)} -pin "ACC1:acc#713" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(1)} -pin "ACC1:acc#713" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(2)} -pin "ACC1:acc#713" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(3)} -pin "ACC1:acc#713" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(4)} -pin "ACC1:acc#713" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(5)} -pin "ACC1:acc#713" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(6)} -pin "ACC1:acc#713" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#711.itm(7)} -pin "ACC1:acc#713" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#711.itm}
+load net {ACC1:acc#710.itm(0)} -pin "ACC1:acc#713" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(1)} -pin "ACC1:acc#713" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(2)} -pin "ACC1:acc#713" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(3)} -pin "ACC1:acc#713" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(4)} -pin "ACC1:acc#713" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(5)} -pin "ACC1:acc#713" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(6)} -pin "ACC1:acc#713" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#710.itm(7)} -pin "ACC1:acc#713" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#710.itm}
+load net {ACC1:acc#713.itm(0)} -pin "ACC1:acc#713" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(1)} -pin "ACC1:acc#713" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(2)} -pin "ACC1:acc#713" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(3)} -pin "ACC1:acc#713" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(4)} -pin "ACC1:acc#713" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(5)} -pin "ACC1:acc#713" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(6)} -pin "ACC1:acc#713" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(7)} -pin "ACC1:acc#713" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(8)} -pin "ACC1:acc#713" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(9)} -pin "ACC1:acc#713" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load inst "ACC1:acc#716" "add(10,0,10,1,11)" "INTERFACE" -attr xrf 64150 -attr oid 1340 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,12)"
+load net {ACC1:acc#714.itm(0)} -pin "ACC1:acc#716" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(1)} -pin "ACC1:acc#716" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(2)} -pin "ACC1:acc#716" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(3)} -pin "ACC1:acc#716" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(4)} -pin "ACC1:acc#716" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(5)} -pin "ACC1:acc#716" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(6)} -pin "ACC1:acc#716" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(7)} -pin "ACC1:acc#716" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(8)} -pin "ACC1:acc#716" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#714.itm(9)} -pin "ACC1:acc#716" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#714.itm}
+load net {ACC1:acc#713.itm(0)} -pin "ACC1:acc#716" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(1)} -pin "ACC1:acc#716" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(2)} -pin "ACC1:acc#716" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(3)} -pin "ACC1:acc#716" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(4)} -pin "ACC1:acc#716" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(5)} -pin "ACC1:acc#716" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(6)} -pin "ACC1:acc#716" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(7)} -pin "ACC1:acc#716" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(8)} -pin "ACC1:acc#716" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#713.itm(9)} -pin "ACC1:acc#716" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#713.itm}
+load net {ACC1:acc#716.itm(0)} -pin "ACC1:acc#716" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(1)} -pin "ACC1:acc#716" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(2)} -pin "ACC1:acc#716" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(3)} -pin "ACC1:acc#716" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(4)} -pin "ACC1:acc#716" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(5)} -pin "ACC1:acc#716" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(6)} -pin "ACC1:acc#716" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(7)} -pin "ACC1:acc#716" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(8)} -pin "ACC1:acc#716" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(9)} -pin "ACC1:acc#716" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(10)} -pin "ACC1:acc#716" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load inst "ACC1:acc#720" "add(1,0,2,0,3)" "INTERFACE" -attr xrf 64151 -attr oid 1341 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#720} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,3)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#720" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#13.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#720" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1654.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#720" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1654.itm}
+load net {ACC1:acc#720.itm(0)} -pin "ACC1:acc#720" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#720.itm}
+load net {ACC1:acc#720.itm(1)} -pin "ACC1:acc#720" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#720.itm}
+load net {ACC1:acc#720.itm(2)} -pin "ACC1:acc#720" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#720.itm}
+load inst "ACC1-1:acc#27" "add(11,-1,11,-1,11)" "INTERFACE" -attr xrf 64152 -attr oid 1342 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#716.itm(0)} -pin "ACC1-1:acc#27" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(1)} -pin "ACC1-1:acc#27" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(2)} -pin "ACC1-1:acc#27" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(3)} -pin "ACC1-1:acc#27" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(4)} -pin "ACC1-1:acc#27" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(5)} -pin "ACC1-1:acc#27" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(6)} -pin "ACC1-1:acc#27" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(7)} -pin "ACC1-1:acc#27" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(8)} -pin "ACC1-1:acc#27" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(9)} -pin "ACC1-1:acc#27" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#716.itm(10)} -pin "ACC1-1:acc#27" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#716.itm}
+load net {ACC1:acc#720.itm(0)} -pin "ACC1-1:acc#27" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {ACC1:acc#720.itm(1)} -pin "ACC1-1:acc#27" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {ACC1:acc#720.itm(2)} -pin "ACC1-1:acc#27" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:acc#27" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {GND} -pin "ACC1-1:acc#27" {B(4)} -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:acc#27" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {GND} -pin "ACC1-1:acc#27" {B(6)} -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:acc#27" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {GND} -pin "ACC1-1:acc#27" {B(8)} -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {GND} -pin "ACC1-1:acc#27" {B(9)} -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:acc#27" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#907.itm}
+load net {ACC1-1:acc#27.itm(0)} -pin "ACC1-1:acc#27" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(1)} -pin "ACC1-1:acc#27" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(2)} -pin "ACC1-1:acc#27" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(3)} -pin "ACC1-1:acc#27" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(4)} -pin "ACC1-1:acc#27" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(5)} -pin "ACC1-1:acc#27" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(6)} -pin "ACC1-1:acc#27" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(7)} -pin "ACC1-1:acc#27" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(8)} -pin "ACC1-1:acc#27" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(9)} -pin "ACC1-1:acc#27" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(10)} -pin "ACC1-1:acc#27" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load inst "ACC1:acc#653" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 64153 -attr oid 1343 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1-1:acc#2.itm(0)} -pin "ACC1:acc#653" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(1)} -pin "ACC1:acc#653" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(2)} -pin "ACC1:acc#653" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(3)} -pin "ACC1:acc#653" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(4)} -pin "ACC1:acc#653" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(5)} -pin "ACC1:acc#653" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(6)} -pin "ACC1:acc#653" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(7)} -pin "ACC1:acc#653" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(8)} -pin "ACC1:acc#653" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(9)} -pin "ACC1:acc#653" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#2.itm(10)} -pin "ACC1:acc#653" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#2.itm}
+load net {ACC1-1:acc#27.itm(0)} -pin "ACC1:acc#653" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(1)} -pin "ACC1:acc#653" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(2)} -pin "ACC1:acc#653" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(3)} -pin "ACC1:acc#653" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(4)} -pin "ACC1:acc#653" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(5)} -pin "ACC1:acc#653" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(6)} -pin "ACC1:acc#653" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(7)} -pin "ACC1:acc#653" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(8)} -pin "ACC1:acc#653" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(9)} -pin "ACC1:acc#653" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1-1:acc#27.itm(10)} -pin "ACC1:acc#653" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#27.itm}
+load net {ACC1:acc#653.itm(0)} -pin "ACC1:acc#653" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(1)} -pin "ACC1:acc#653" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(2)} -pin "ACC1:acc#653" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(3)} -pin "ACC1:acc#653" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(4)} -pin "ACC1:acc#653" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(5)} -pin "ACC1:acc#653" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(6)} -pin "ACC1:acc#653" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(7)} -pin "ACC1:acc#653" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(8)} -pin "ACC1:acc#653" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(9)} -pin "ACC1:acc#653" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(10)} -pin "ACC1:acc#653" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(11)} -pin "ACC1:acc#653" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load inst "ACC1:acc#659" "add(12,1,12,1,13)" "INTERFACE" -attr xrf 64154 -attr oid 1344 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {ACC1:acc#654.itm(0)} -pin "ACC1:acc#659" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(1)} -pin "ACC1:acc#659" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(2)} -pin "ACC1:acc#659" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(3)} -pin "ACC1:acc#659" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(4)} -pin "ACC1:acc#659" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(5)} -pin "ACC1:acc#659" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(6)} -pin "ACC1:acc#659" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(7)} -pin "ACC1:acc#659" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(8)} -pin "ACC1:acc#659" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(9)} -pin "ACC1:acc#659" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(10)} -pin "ACC1:acc#659" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#654.itm(11)} -pin "ACC1:acc#659" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#654.itm}
+load net {ACC1:acc#653.itm(0)} -pin "ACC1:acc#659" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(1)} -pin "ACC1:acc#659" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(2)} -pin "ACC1:acc#659" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(3)} -pin "ACC1:acc#659" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(4)} -pin "ACC1:acc#659" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(5)} -pin "ACC1:acc#659" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(6)} -pin "ACC1:acc#659" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(7)} -pin "ACC1:acc#659" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(8)} -pin "ACC1:acc#659" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(9)} -pin "ACC1:acc#659" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(10)} -pin "ACC1:acc#659" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#653.itm(11)} -pin "ACC1:acc#659" {B(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#653.itm}
+load net {ACC1:acc#659.itm(0)} -pin "ACC1:acc#659" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(1)} -pin "ACC1:acc#659" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(2)} -pin "ACC1:acc#659" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(3)} -pin "ACC1:acc#659" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(4)} -pin "ACC1:acc#659" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(5)} -pin "ACC1:acc#659" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(6)} -pin "ACC1:acc#659" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(7)} -pin "ACC1:acc#659" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(8)} -pin "ACC1:acc#659" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(9)} -pin "ACC1:acc#659" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(10)} -pin "ACC1:acc#659" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(11)} -pin "ACC1:acc#659" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(12)} -pin "ACC1:acc#659" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load inst "reg(ACC1:acc#659.itm#1)" "reg(13,1,1,-1,0)" "INTERFACE" -attr xrf 64155 -attr oid 1345 -attr vt dc -attr @path {/sobel/sobel:core/reg(ACC1:acc#659.itm#1)}
+load net {ACC1:acc#659.itm(0)} -pin "reg(ACC1:acc#659.itm#1)" {D(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(1)} -pin "reg(ACC1:acc#659.itm#1)" {D(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(2)} -pin "reg(ACC1:acc#659.itm#1)" {D(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(3)} -pin "reg(ACC1:acc#659.itm#1)" {D(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(4)} -pin "reg(ACC1:acc#659.itm#1)" {D(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(5)} -pin "reg(ACC1:acc#659.itm#1)" {D(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(6)} -pin "reg(ACC1:acc#659.itm#1)" {D(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(7)} -pin "reg(ACC1:acc#659.itm#1)" {D(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(8)} -pin "reg(ACC1:acc#659.itm#1)" {D(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(9)} -pin "reg(ACC1:acc#659.itm#1)" {D(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(10)} -pin "reg(ACC1:acc#659.itm#1)" {D(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(11)} -pin "reg(ACC1:acc#659.itm#1)" {D(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {ACC1:acc#659.itm(12)} -pin "reg(ACC1:acc#659.itm#1)" {D(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#659.itm}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#659.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_13}
+load net {clk} -pin "reg(ACC1:acc#659.itm#1)" {clk} -attr xrf 64156 -attr oid 1346 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#659.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#659.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#659.itm#1(0)} -pin "reg(ACC1:acc#659.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(1)} -pin "reg(ACC1:acc#659.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(2)} -pin "reg(ACC1:acc#659.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(3)} -pin "reg(ACC1:acc#659.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(4)} -pin "reg(ACC1:acc#659.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(5)} -pin "reg(ACC1:acc#659.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(6)} -pin "reg(ACC1:acc#659.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(7)} -pin "reg(ACC1:acc#659.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(8)} -pin "reg(ACC1:acc#659.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(9)} -pin "reg(ACC1:acc#659.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(10)} -pin "reg(ACC1:acc#659.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(11)} -pin "reg(ACC1:acc#659.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(12)} -pin "reg(ACC1:acc#659.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load inst "ACC1:acc#572" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64157 -attr oid 1347 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#572" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1108.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#572" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1108.itm}
+load net {ACC1:acc#228.psp.sva(3)} -pin "ACC1:acc#572" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1108.itm}
+load net {ACC1:acc#224.psp#1.sva(6)} -pin "ACC1:acc#572" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1108.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#572" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1109.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#572" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1109.itm}
+load net {ACC1:acc#226.psp.sva(3)} -pin "ACC1:acc#572" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1109.itm}
+load net {ACC1-1:acc#25.psp.sva(5)} -pin "ACC1:acc#572" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1109.itm}
+load net {ACC1:acc#572.itm(0)} -pin "ACC1:acc#572" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(1)} -pin "ACC1:acc#572" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(2)} -pin "ACC1:acc#572" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(3)} -pin "ACC1:acc#572" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(4)} -pin "ACC1:acc#572" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load inst "ACC1:acc#571" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64158 -attr oid 1348 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#571" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1110.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#571" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1110.itm}
+load net {ACC1:acc#224.psp#1.sva(3)} -pin "ACC1:acc#571" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1110.itm}
+load net {ACC1-1:acc#25.psp.sva(7)} -pin "ACC1:acc#571" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1110.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#571" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1111.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#571" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1111.itm}
+load net {ACC1-1:acc#25.psp.sva(4)} -pin "ACC1:acc#571" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1111.itm}
+load net {acc#20.psp#1.sva(4)} -pin "ACC1:acc#571" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1111.itm}
+load net {ACC1:acc#571.itm(0)} -pin "ACC1:acc#571" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(1)} -pin "ACC1:acc#571" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(2)} -pin "ACC1:acc#571" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(3)} -pin "ACC1:acc#571" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(4)} -pin "ACC1:acc#571" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load inst "ACC1:acc#601" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64159 -attr oid 1349 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#572.itm(0)} -pin "ACC1:acc#601" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(1)} -pin "ACC1:acc#601" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(2)} -pin "ACC1:acc#601" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(3)} -pin "ACC1:acc#601" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#572.itm(4)} -pin "ACC1:acc#601" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#572.itm}
+load net {ACC1:acc#571.itm(0)} -pin "ACC1:acc#601" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(1)} -pin "ACC1:acc#601" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(2)} -pin "ACC1:acc#601" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(3)} -pin "ACC1:acc#601" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#571.itm(4)} -pin "ACC1:acc#601" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#571.itm}
+load net {ACC1:acc#601.itm(0)} -pin "ACC1:acc#601" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(1)} -pin "ACC1:acc#601" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(2)} -pin "ACC1:acc#601" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(3)} -pin "ACC1:acc#601" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(4)} -pin "ACC1:acc#601" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(5)} -pin "ACC1:acc#601" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load inst "ACC1:not#383" "not(1)" "INTERFACE" -attr xrf 64160 -attr oid 1350 -attr @path {/sobel/sobel:core/ACC1:not#383} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#217.psp#1.sva(3)} -pin "ACC1:not#383" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#217.psp#1.sva)#8.itm}
+load net {ACC1:not#383.itm} -pin "ACC1:not#383" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#383.itm}
+load inst "ACC1:not#384" "not(1)" "INTERFACE" -attr xrf 64161 -attr oid 1351 -attr @path {/sobel/sobel:core/ACC1:not#384} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#210.psp#1.sva(3)} -pin "ACC1:not#384" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#210.psp#1.sva)#8.itm}
+load net {ACC1:not#384.itm} -pin "ACC1:not#384" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#384.itm}
+load inst "ACC1:not#388" "not(1)" "INTERFACE" -attr xrf 64162 -attr oid 1352 -attr @path {/sobel/sobel:core/ACC1:not#388} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#367.itm(3)} -pin "ACC1:not#388" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#42.sva)#4.itm}
+load net {ACC1:not#388.itm} -pin "ACC1:not#388" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#388.itm}
+load inst "ACC1:acc#570" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64163 -attr oid 1353 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:not#388.itm} -pin "ACC1:acc#570" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#908.itm}
+load net {PWR} -pin "ACC1:acc#570" {A(1)} -attr @path {/sobel/sobel:core/conc#908.itm}
+load net {ACC1:not#384.itm} -pin "ACC1:acc#570" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#908.itm}
+load net {ACC1:not#383.itm} -pin "ACC1:acc#570" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#908.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#570" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1113.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#570" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1113.itm}
+load net {acc#20.psp#1.sva(3)} -pin "ACC1:acc#570" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1113.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#570" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1113.itm}
+load net {ACC1:acc#570.itm(0)} -pin "ACC1:acc#570" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(1)} -pin "ACC1:acc#570" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(2)} -pin "ACC1:acc#570" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(3)} -pin "ACC1:acc#570" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(4)} -pin "ACC1:acc#570" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load inst "ACC1-3:not#156" "not(1)" "INTERFACE" -attr xrf 64164 -attr oid 1354 -attr @path {/sobel/sobel:core/ACC1-3:not#156} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#424.itm(2)} -pin "ACC1-3:not#156" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva).itm}
+load net {ACC1-3:not#156.itm} -pin "ACC1-3:not#156" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#156.itm}
+load inst "ACC1-3:and#9" "and(3,1)" "INTERFACE" -attr xrf 64165 -attr oid 1355 -attr @path {/sobel/sobel:core/ACC1-3:and#9} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc#20.psp#1.sva(11)} -pin "ACC1-3:and#9" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#1.itm}
+load net {ACC1-3:not#156.itm} -pin "ACC1-3:and#9" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#156.itm}
+load net {ACC1:acc#424.itm(1)} -pin "ACC1-3:and#9" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva)#1.itm}
+load net {ACC1-3:and#9.itm} -pin "ACC1-3:and#9" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#9.itm}
+load inst "ACC1:acc#425" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64166 -attr oid 1356 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#425} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#425" {A(0)} -attr @path {/sobel/sobel:core/conc#909.itm}
+load net {ACC1:acc#224.psp#1.sva(1)} -pin "ACC1:acc#425" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#909.itm}
+load net {ACC1-3:and#9.itm} -pin "ACC1:acc#425" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#909.itm}
+load net {ACC1-1:acc#25.psp.sva(2)} -pin "ACC1:acc#425" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1309.itm}
+load net {ACC1:acc#224.psp#1.sva(2)} -pin "ACC1:acc#425" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1309.itm}
+load net {acc.psp#2.sva(3)} -pin "ACC1:acc#425" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1309.itm}
+load net {ACC1:acc#425.itm(0)} -pin "ACC1:acc#425" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#425.itm}
+load net {ACC1:acc#425.itm(1)} -pin "ACC1:acc#425" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#425.itm}
+load net {ACC1:acc#425.itm(2)} -pin "ACC1:acc#425" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#425.itm}
+load net {ACC1:acc#425.itm(3)} -pin "ACC1:acc#425" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#425.itm}
+load inst "ACC1:acc#524" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64167 -attr oid 1357 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#425.itm(1)} -pin "ACC1:acc#524" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#425.itm(2)} -pin "ACC1:acc#524" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#425.itm(3)} -pin "ACC1:acc#524" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#224.psp#1.sva(3)} -pin "ACC1:acc#524" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1097.itm}
+load net {ACC1:acc#223.psp.sva(1)} -pin "ACC1:acc#524" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1097.itm}
+load net {ACC1:acc#223.psp.sva(2)} -pin "ACC1:acc#524" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1097.itm}
+load net {ACC1:acc#524.itm(0)} -pin "ACC1:acc#524" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(1)} -pin "ACC1:acc#524" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(2)} -pin "ACC1:acc#524" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(3)} -pin "ACC1:acc#524" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(4)} -pin "ACC1:acc#524" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load inst "ACC1:acc#600" "add(5,0,5,1,6)" "INTERFACE" -attr xrf 64168 -attr oid 1358 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#570.itm(0)} -pin "ACC1:acc#600" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(1)} -pin "ACC1:acc#600" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(2)} -pin "ACC1:acc#600" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(3)} -pin "ACC1:acc#600" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#570.itm(4)} -pin "ACC1:acc#600" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#570.itm}
+load net {ACC1:acc#524.itm(0)} -pin "ACC1:acc#600" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(1)} -pin "ACC1:acc#600" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(2)} -pin "ACC1:acc#600" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(3)} -pin "ACC1:acc#600" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#524.itm(4)} -pin "ACC1:acc#600" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#524.itm}
+load net {ACC1:acc#600.itm(0)} -pin "ACC1:acc#600" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(1)} -pin "ACC1:acc#600" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(2)} -pin "ACC1:acc#600" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(3)} -pin "ACC1:acc#600" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(4)} -pin "ACC1:acc#600" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(5)} -pin "ACC1:acc#600" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load inst "ACC1:acc#620" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 64169 -attr oid 1359 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:acc#601.itm(0)} -pin "ACC1:acc#620" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(1)} -pin "ACC1:acc#620" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(2)} -pin "ACC1:acc#620" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(3)} -pin "ACC1:acc#620" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(4)} -pin "ACC1:acc#620" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#601.itm(5)} -pin "ACC1:acc#620" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#601.itm}
+load net {ACC1:acc#600.itm(0)} -pin "ACC1:acc#620" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(1)} -pin "ACC1:acc#620" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(2)} -pin "ACC1:acc#620" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(3)} -pin "ACC1:acc#620" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(4)} -pin "ACC1:acc#620" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#600.itm(5)} -pin "ACC1:acc#620" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#600.itm}
+load net {ACC1:acc#620.itm(0)} -pin "ACC1:acc#620" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(1)} -pin "ACC1:acc#620" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(2)} -pin "ACC1:acc#620" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(3)} -pin "ACC1:acc#620" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(4)} -pin "ACC1:acc#620" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(5)} -pin "ACC1:acc#620" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(6)} -pin "ACC1:acc#620" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load inst "ACC1:acc#443" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64170 -attr oid 1360 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#443" {A(0)} -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#443" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#443" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {ACC1:acc#377.itm(2)} -pin "ACC1:acc#443" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1345.itm}
+load net {ACC1:acc#220.psp#1.sva(1)} -pin "ACC1:acc#443" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1345.itm}
+load net {ACC1:acc#220.psp#1.sva(2)} -pin "ACC1:acc#443" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1345.itm}
+load net {ACC1:acc#443.itm(0)} -pin "ACC1:acc#443" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443.itm}
+load net {ACC1:acc#443.itm(1)} -pin "ACC1:acc#443" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443.itm}
+load net {ACC1:acc#443.itm(2)} -pin "ACC1:acc#443" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443.itm}
+load net {ACC1:acc#443.itm(3)} -pin "ACC1:acc#443" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443.itm}
+load net {ACC1:acc#443.itm(4)} -pin "ACC1:acc#443" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#443.itm}
+load inst "ACC1:acc#573" "add(4,1,4,0,6)" "INTERFACE" -attr xrf 64171 -attr oid 1361 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#443.itm(1)} -pin "ACC1:acc#573" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#111.itm}
+load net {ACC1:acc#443.itm(2)} -pin "ACC1:acc#573" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#111.itm}
+load net {ACC1:acc#443.itm(3)} -pin "ACC1:acc#573" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#111.itm}
+load net {ACC1:acc#443.itm(4)} -pin "ACC1:acc#573" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#111.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#573" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1107.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#573" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1107.itm}
+load net {ACC1:acc#224.psp.sva(3)} -pin "ACC1:acc#573" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1107.itm}
+load net {ACC1:acc#224.psp#1.sva(4)} -pin "ACC1:acc#573" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1107.itm}
+load net {ACC1:acc#573.itm(0)} -pin "ACC1:acc#573" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(1)} -pin "ACC1:acc#573" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(2)} -pin "ACC1:acc#573" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(3)} -pin "ACC1:acc#573" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(4)} -pin "ACC1:acc#573" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(5)} -pin "ACC1:acc#573" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load inst "ACC1:acc#519" "add(2,1,2,1,3)" "INTERFACE" -attr xrf 64172 -attr oid 1362 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,1,2,1,3)"
+load net {ACC1:acc#220.psp.sva(1)} -pin "ACC1:acc#519" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp.sva)#2.itm}
+load net {ACC1:acc#220.psp.sva(2)} -pin "ACC1:acc#519" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp.sva)#2.itm}
+load net {ACC1:acc#222.psp.sva(1)} -pin "ACC1:acc#519" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp.sva)#2.itm}
+load net {ACC1:acc#222.psp.sva(2)} -pin "ACC1:acc#519" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp.sva)#2.itm}
+load net {ACC1:acc#519.itm(0)} -pin "ACC1:acc#519" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load net {ACC1:acc#519.itm(1)} -pin "ACC1:acc#519" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load net {ACC1:acc#519.itm(2)} -pin "ACC1:acc#519" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load inst "ACC1:acc#569" "add(4,0,3,1,6)" "INTERFACE" -attr xrf 64173 -attr oid 1363 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#228.psp.sva(6)} -pin "ACC1:acc#569" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1114.itm}
+load net {ACC1:acc#228.psp.sva(6)} -pin "ACC1:acc#569" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1114.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#569" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1114.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#569" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1114.itm}
+load net {ACC1:acc#519.itm(0)} -pin "ACC1:acc#569" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load net {ACC1:acc#519.itm(1)} -pin "ACC1:acc#569" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load net {ACC1:acc#519.itm(2)} -pin "ACC1:acc#569" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#519.itm}
+load net {ACC1:acc#569.itm(0)} -pin "ACC1:acc#569" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(1)} -pin "ACC1:acc#569" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(2)} -pin "ACC1:acc#569" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(3)} -pin "ACC1:acc#569" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(4)} -pin "ACC1:acc#569" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(5)} -pin "ACC1:acc#569" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load inst "ACC1:acc#619" "add(6,1,6,1,7)" "INTERFACE" -attr xrf 64174 -attr oid 1364 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,1,6,1,7)"
+load net {ACC1:acc#573.itm(0)} -pin "ACC1:acc#619" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(1)} -pin "ACC1:acc#619" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(2)} -pin "ACC1:acc#619" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(3)} -pin "ACC1:acc#619" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(4)} -pin "ACC1:acc#619" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#573.itm(5)} -pin "ACC1:acc#619" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#573.itm}
+load net {ACC1:acc#569.itm(0)} -pin "ACC1:acc#619" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(1)} -pin "ACC1:acc#619" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(2)} -pin "ACC1:acc#619" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(3)} -pin "ACC1:acc#619" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(4)} -pin "ACC1:acc#619" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#569.itm(5)} -pin "ACC1:acc#619" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#569.itm}
+load net {ACC1:acc#619.itm(0)} -pin "ACC1:acc#619" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(1)} -pin "ACC1:acc#619" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(2)} -pin "ACC1:acc#619" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(3)} -pin "ACC1:acc#619" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(4)} -pin "ACC1:acc#619" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(5)} -pin "ACC1:acc#619" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(6)} -pin "ACC1:acc#619" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load inst "ACC1:acc#635" "add(7,0,7,1,9)" "INTERFACE" -attr xrf 64175 -attr oid 1365 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#620.itm(0)} -pin "ACC1:acc#635" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(1)} -pin "ACC1:acc#635" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(2)} -pin "ACC1:acc#635" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(3)} -pin "ACC1:acc#635" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(4)} -pin "ACC1:acc#635" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(5)} -pin "ACC1:acc#635" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#620.itm(6)} -pin "ACC1:acc#635" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#620.itm}
+load net {ACC1:acc#619.itm(0)} -pin "ACC1:acc#635" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(1)} -pin "ACC1:acc#635" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(2)} -pin "ACC1:acc#635" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(3)} -pin "ACC1:acc#635" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(4)} -pin "ACC1:acc#635" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(5)} -pin "ACC1:acc#635" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#619.itm(6)} -pin "ACC1:acc#635" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#619.itm}
+load net {ACC1:acc#635.itm(0)} -pin "ACC1:acc#635" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(1)} -pin "ACC1:acc#635" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(2)} -pin "ACC1:acc#635" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(3)} -pin "ACC1:acc#635" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(4)} -pin "ACC1:acc#635" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(5)} -pin "ACC1:acc#635" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(6)} -pin "ACC1:acc#635" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(7)} -pin "ACC1:acc#635" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(8)} -pin "ACC1:acc#635" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load inst "ACC1:acc#646" "add(9,1,9,0,10)" "INTERFACE" -attr xrf 64176 -attr oid 1366 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,11)"
+load net {ACC1:acc#635.itm(0)} -pin "ACC1:acc#646" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(1)} -pin "ACC1:acc#646" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(2)} -pin "ACC1:acc#646" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(3)} -pin "ACC1:acc#646" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(4)} -pin "ACC1:acc#646" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(5)} -pin "ACC1:acc#646" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(6)} -pin "ACC1:acc#646" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(7)} -pin "ACC1:acc#646" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#635.itm(8)} -pin "ACC1:acc#646" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#635.itm}
+load net {ACC1:acc#224.psp.sva(6)} -pin "ACC1:acc#646" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {ACC1:acc#224.psp.sva(6)} -pin "ACC1:acc#646" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {GND} -pin "ACC1:acc#646" {B(2)} -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {ACC1:acc#228.psp.sva(4)} -pin "ACC1:acc#646" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#646" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {GND} -pin "ACC1:acc#646" {B(5)} -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#646" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {GND} -pin "ACC1:acc#646" {B(7)} -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#646" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#911.itm}
+load net {ACC1:acc#646.itm(0)} -pin "ACC1:acc#646" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(1)} -pin "ACC1:acc#646" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(2)} -pin "ACC1:acc#646" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(3)} -pin "ACC1:acc#646" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(4)} -pin "ACC1:acc#646" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(5)} -pin "ACC1:acc#646" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(6)} -pin "ACC1:acc#646" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(7)} -pin "ACC1:acc#646" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(8)} -pin "ACC1:acc#646" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(9)} -pin "ACC1:acc#646" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load inst "ACC1:acc#308" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64177 -attr oid 1367 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#227.psp.sva(8)} -pin "ACC1:acc#308" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#21.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#308" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#44.itm}
+load net {ACC1:acc#308.itm(0)} -pin "ACC1:acc#308" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#308.itm(1)} -pin "ACC1:acc#308" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load inst "ACC1:acc#307" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64178 -attr oid 1368 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#308.itm(0)} -pin "ACC1:acc#307" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#308.itm(1)} -pin "ACC1:acc#307" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#224.psp.sva(7)} -pin "ACC1:acc#307" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#43.itm}
+load net {ACC1:acc#307.itm(0)} -pin "ACC1:acc#307" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#307.itm(1)} -pin "ACC1:acc#307" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load inst "ACC1:acc#306" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 64179 -attr oid 1369 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#307.itm(0)} -pin "ACC1:acc#306" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#307.itm(1)} -pin "ACC1:acc#306" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#228.psp.sva(7)} -pin "ACC1:acc#306" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#18.itm}
+load net {ACC1:acc#306.itm(0)} -pin "ACC1:acc#306" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(1)} -pin "ACC1:acc#306" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(2)} -pin "ACC1:acc#306" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load inst "ACC1:acc#305" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64180 -attr oid 1370 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#306.itm(0)} -pin "ACC1:acc#305" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(1)} -pin "ACC1:acc#305" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(2)} -pin "ACC1:acc#305" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#226.psp.sva(7)} -pin "ACC1:acc#305" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#13.itm}
+load net {ACC1:acc#305.itm(0)} -pin "ACC1:acc#305" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(1)} -pin "ACC1:acc#305" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(2)} -pin "ACC1:acc#305" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load inst "ACC1:acc#304" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64181 -attr oid 1371 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#305.itm(0)} -pin "ACC1:acc#304" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(1)} -pin "ACC1:acc#304" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(2)} -pin "ACC1:acc#304" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#224.psp#1.sva(7)} -pin "ACC1:acc#304" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#17.itm}
+load net {ACC1:acc#304.itm(0)} -pin "ACC1:acc#304" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(1)} -pin "ACC1:acc#304" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(2)} -pin "ACC1:acc#304" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load inst "ACC1:acc#303" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64182 -attr oid 1372 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#304.itm(0)} -pin "ACC1:acc#303" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(1)} -pin "ACC1:acc#303" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(2)} -pin "ACC1:acc#303" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1-1:acc#25.psp.sva(8)} -pin "ACC1:acc#303" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#46.itm}
+load net {ACC1:acc#303.itm(0)} -pin "ACC1:acc#303" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(1)} -pin "ACC1:acc#303" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(2)} -pin "ACC1:acc#303" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load inst "ACC1:acc#302" "add(3,0,1,0,4)" "INTERFACE" -attr xrf 64183 -attr oid 1373 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#303.itm(0)} -pin "ACC1:acc#302" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(1)} -pin "ACC1:acc#302" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(2)} -pin "ACC1:acc#302" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#302" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#83.itm}
+load net {ACC1:acc#302.itm(0)} -pin "ACC1:acc#302" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(1)} -pin "ACC1:acc#302" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(2)} -pin "ACC1:acc#302" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(3)} -pin "ACC1:acc#302" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load inst "ACC1:mul#54" "mul(4,0,7,0,10)" "INTERFACE" -attr xrf 64184 -attr oid 1374 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(4,0,7,0,10)"
+load net {ACC1:acc#302.itm(0)} -pin "ACC1:mul#54" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(1)} -pin "ACC1:mul#54" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(2)} -pin "ACC1:mul#54" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(3)} -pin "ACC1:mul#54" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {PWR} -pin "ACC1:mul#54" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#54" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#54" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#54" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#54" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#54" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#54" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
+load net {ACC1:mul#54.itm(0)} -pin "ACC1:mul#54" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(1)} -pin "ACC1:mul#54" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(2)} -pin "ACC1:mul#54" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(3)} -pin "ACC1:mul#54" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(4)} -pin "ACC1:mul#54" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(5)} -pin "ACC1:mul#54" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(6)} -pin "ACC1:mul#54" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(7)} -pin "ACC1:mul#54" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(8)} -pin "ACC1:mul#54" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(9)} -pin "ACC1:mul#54" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load inst "ACC1:acc#651" "add(10,1,10,0,12)" "INTERFACE" -attr xrf 64185 -attr oid 1375 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,12)"
+load net {ACC1:acc#646.itm(0)} -pin "ACC1:acc#651" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(1)} -pin "ACC1:acc#651" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(2)} -pin "ACC1:acc#651" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(3)} -pin "ACC1:acc#651" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(4)} -pin "ACC1:acc#651" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(5)} -pin "ACC1:acc#651" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(6)} -pin "ACC1:acc#651" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(7)} -pin "ACC1:acc#651" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(8)} -pin "ACC1:acc#651" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:acc#646.itm(9)} -pin "ACC1:acc#651" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#646.itm}
+load net {ACC1:mul#54.itm(0)} -pin "ACC1:acc#651" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(1)} -pin "ACC1:acc#651" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(2)} -pin "ACC1:acc#651" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(3)} -pin "ACC1:acc#651" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(4)} -pin "ACC1:acc#651" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(5)} -pin "ACC1:acc#651" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(6)} -pin "ACC1:acc#651" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(7)} -pin "ACC1:acc#651" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(8)} -pin "ACC1:acc#651" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:mul#54.itm(9)} -pin "ACC1:acc#651" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#54.itm}
+load net {ACC1:acc#651.itm(0)} -pin "ACC1:acc#651" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(1)} -pin "ACC1:acc#651" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(2)} -pin "ACC1:acc#651" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(3)} -pin "ACC1:acc#651" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(4)} -pin "ACC1:acc#651" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(5)} -pin "ACC1:acc#651" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(6)} -pin "ACC1:acc#651" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(7)} -pin "ACC1:acc#651" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(8)} -pin "ACC1:acc#651" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(9)} -pin "ACC1:acc#651" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(10)} -pin "ACC1:acc#651" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(11)} -pin "ACC1:acc#651" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load inst "ACC1:acc#315" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64186 -attr oid 1376 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#227.psp.sva(10)} -pin "ACC1:acc#315" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#17.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#315" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#22.itm}
+load net {ACC1:acc#315.itm(0)} -pin "ACC1:acc#315" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(1)} -pin "ACC1:acc#315" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load inst "ACC1:acc#314" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64187 -attr oid 1377 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#315.itm(0)} -pin "ACC1:acc#314" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(1)} -pin "ACC1:acc#314" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#224.psp.sva(9)} -pin "ACC1:acc#314" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#39.itm}
+load net {ACC1:acc#314.itm(0)} -pin "ACC1:acc#314" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(1)} -pin "ACC1:acc#314" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load inst "ACC1:acc#313" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 64188 -attr oid 1378 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#314.itm(0)} -pin "ACC1:acc#313" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(1)} -pin "ACC1:acc#313" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#228.psp.sva(9)} -pin "ACC1:acc#313" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#41.itm}
+load net {ACC1:acc#313.itm(0)} -pin "ACC1:acc#313" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(1)} -pin "ACC1:acc#313" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(2)} -pin "ACC1:acc#313" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load inst "ACC1:acc#312" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64189 -attr oid 1379 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#313.itm(0)} -pin "ACC1:acc#312" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(1)} -pin "ACC1:acc#312" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(2)} -pin "ACC1:acc#312" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#226.psp.sva(9)} -pin "ACC1:acc#312" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#38.itm}
+load net {ACC1:acc#312.itm(0)} -pin "ACC1:acc#312" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(1)} -pin "ACC1:acc#312" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(2)} -pin "ACC1:acc#312" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load inst "ACC1:acc#311" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64190 -attr oid 1380 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#312.itm(0)} -pin "ACC1:acc#311" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(1)} -pin "ACC1:acc#311" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(2)} -pin "ACC1:acc#311" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#224.psp#1.sva(9)} -pin "ACC1:acc#311" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#47.itm}
+load net {ACC1:acc#311.itm(0)} -pin "ACC1:acc#311" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(1)} -pin "ACC1:acc#311" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(2)} -pin "ACC1:acc#311" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load inst "ACC1:acc#310" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64191 -attr oid 1381 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#311.itm(0)} -pin "ACC1:acc#310" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(1)} -pin "ACC1:acc#310" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(2)} -pin "ACC1:acc#310" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1-1:acc#25.psp.sva(10)} -pin "ACC1:acc#310" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#52.itm}
+load net {ACC1:acc#310.itm(0)} -pin "ACC1:acc#310" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(1)} -pin "ACC1:acc#310" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(2)} -pin "ACC1:acc#310" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load inst "ACC1:acc#309" "add(3,0,1,0,4)" "INTERFACE" -attr xrf 64192 -attr oid 1382 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#310.itm(0)} -pin "ACC1:acc#309" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(1)} -pin "ACC1:acc#309" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(2)} -pin "ACC1:acc#309" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#309" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#26.itm}
+load net {ACC1:acc#309.itm(0)} -pin "ACC1:acc#309" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(1)} -pin "ACC1:acc#309" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(2)} -pin "ACC1:acc#309" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(3)} -pin "ACC1:acc#309" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load inst "ACC1:mul#55" "mul(4,0,9,0,12)" "INTERFACE" -attr xrf 64193 -attr oid 1383 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(4,0,9,0,12)"
+load net {ACC1:acc#309.itm(0)} -pin "ACC1:mul#55" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(1)} -pin "ACC1:mul#55" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(2)} -pin "ACC1:mul#55" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(3)} -pin "ACC1:mul#55" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {PWR} -pin "ACC1:mul#55" {B(0)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#55" {B(1)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#55" {B(2)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#55" {B(3)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#55" {B(4)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#55" {B(5)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#55" {B(6)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#55" {B(7)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#55" {B(8)} -attr @path {/sobel/sobel:core/C341_9}
+load net {ACC1:mul#55.itm(0)} -pin "ACC1:mul#55" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(1)} -pin "ACC1:mul#55" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(2)} -pin "ACC1:mul#55" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(3)} -pin "ACC1:mul#55" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(4)} -pin "ACC1:mul#55" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(5)} -pin "ACC1:mul#55" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(6)} -pin "ACC1:mul#55" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(7)} -pin "ACC1:mul#55" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(8)} -pin "ACC1:mul#55" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(9)} -pin "ACC1:mul#55" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(10)} -pin "ACC1:mul#55" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(11)} -pin "ACC1:mul#55" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load inst "ACC1:acc#658" "add(12,1,12,0,13)" "INTERFACE" -attr xrf 64194 -attr oid 1384 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,12,1,13)"
+load net {ACC1:acc#651.itm(0)} -pin "ACC1:acc#658" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(1)} -pin "ACC1:acc#658" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(2)} -pin "ACC1:acc#658" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(3)} -pin "ACC1:acc#658" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(4)} -pin "ACC1:acc#658" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(5)} -pin "ACC1:acc#658" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(6)} -pin "ACC1:acc#658" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(7)} -pin "ACC1:acc#658" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(8)} -pin "ACC1:acc#658" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(9)} -pin "ACC1:acc#658" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(10)} -pin "ACC1:acc#658" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:acc#651.itm(11)} -pin "ACC1:acc#658" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#651.itm}
+load net {ACC1:mul#55.itm(0)} -pin "ACC1:acc#658" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(1)} -pin "ACC1:acc#658" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(2)} -pin "ACC1:acc#658" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(3)} -pin "ACC1:acc#658" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(4)} -pin "ACC1:acc#658" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(5)} -pin "ACC1:acc#658" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(6)} -pin "ACC1:acc#658" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(7)} -pin "ACC1:acc#658" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(8)} -pin "ACC1:acc#658" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(9)} -pin "ACC1:acc#658" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(10)} -pin "ACC1:acc#658" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:mul#55.itm(11)} -pin "ACC1:acc#658" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#55.itm}
+load net {ACC1:acc#658.itm(0)} -pin "ACC1:acc#658" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(1)} -pin "ACC1:acc#658" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(2)} -pin "ACC1:acc#658" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(3)} -pin "ACC1:acc#658" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(4)} -pin "ACC1:acc#658" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(5)} -pin "ACC1:acc#658" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(6)} -pin "ACC1:acc#658" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(7)} -pin "ACC1:acc#658" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(8)} -pin "ACC1:acc#658" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(9)} -pin "ACC1:acc#658" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(10)} -pin "ACC1:acc#658" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(11)} -pin "ACC1:acc#658" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(12)} -pin "ACC1:acc#658" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load inst "reg(ACC1:acc#658.itm#1)" "reg(13,1,1,-1,0)" "INTERFACE" -attr xrf 64195 -attr oid 1385 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#658.itm#1)}
+load net {ACC1:acc#658.itm(0)} -pin "reg(ACC1:acc#658.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(1)} -pin "reg(ACC1:acc#658.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(2)} -pin "reg(ACC1:acc#658.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(3)} -pin "reg(ACC1:acc#658.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(4)} -pin "reg(ACC1:acc#658.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(5)} -pin "reg(ACC1:acc#658.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(6)} -pin "reg(ACC1:acc#658.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(7)} -pin "reg(ACC1:acc#658.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(8)} -pin "reg(ACC1:acc#658.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(9)} -pin "reg(ACC1:acc#658.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(10)} -pin "reg(ACC1:acc#658.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(11)} -pin "reg(ACC1:acc#658.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {ACC1:acc#658.itm(12)} -pin "reg(ACC1:acc#658.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#658.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_13}
+load net {clk} -pin "reg(ACC1:acc#658.itm#1)" {clk} -attr xrf 64196 -attr oid 1386 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#658.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#658.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#658.itm#1(0)} -pin "reg(ACC1:acc#658.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(1)} -pin "reg(ACC1:acc#658.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(2)} -pin "reg(ACC1:acc#658.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(3)} -pin "reg(ACC1:acc#658.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(4)} -pin "reg(ACC1:acc#658.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(5)} -pin "reg(ACC1:acc#658.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(6)} -pin "reg(ACC1:acc#658.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(7)} -pin "reg(ACC1:acc#658.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(8)} -pin "reg(ACC1:acc#658.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(9)} -pin "reg(ACC1:acc#658.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(10)} -pin "reg(ACC1:acc#658.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(11)} -pin "reg(ACC1:acc#658.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(12)} -pin "reg(ACC1:acc#658.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load inst "ACC1:acc#329" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64197 -attr oid 1387 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#224.psp.sva(10)} -pin "ACC1:acc#329" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#9.itm}
+load net {ACC1:acc#228.psp.sva(10)} -pin "ACC1:acc#329" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#1.itm}
+load net {ACC1:acc#329.itm(0)} -pin "ACC1:acc#329" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(1)} -pin "ACC1:acc#329" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load inst "ACC1:acc#328" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64198 -attr oid 1388 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#329.itm(0)} -pin "ACC1:acc#328" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(1)} -pin "ACC1:acc#328" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#226.psp.sva(10)} -pin "ACC1:acc#328" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#2.itm}
+load net {ACC1:acc#328.itm(0)} -pin "ACC1:acc#328" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(1)} -pin "ACC1:acc#328" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load inst "ACC1:acc#327" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 64199 -attr oid 1389 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#328.itm(0)} -pin "ACC1:acc#327" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(1)} -pin "ACC1:acc#327" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#224.psp#1.sva(10)} -pin "ACC1:acc#327" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#3.itm}
+load net {ACC1:acc#327.itm(0)} -pin "ACC1:acc#327" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(1)} -pin "ACC1:acc#327" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(2)} -pin "ACC1:acc#327" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load inst "ACC1:mul#59" "mul(3,0,7,0,9)" "INTERFACE" -attr xrf 64200 -attr oid 1390 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(4,0,7,0,10)"
+load net {ACC1:acc#327.itm(0)} -pin "ACC1:mul#59" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(1)} -pin "ACC1:mul#59" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(2)} -pin "ACC1:mul#59" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {PWR} -pin "ACC1:mul#59" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#59" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#59" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#59" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#59" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#59" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#59" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
+load net {ACC1:mul#59.itm(0)} -pin "ACC1:mul#59" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(1)} -pin "ACC1:mul#59" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(2)} -pin "ACC1:mul#59" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(3)} -pin "ACC1:mul#59" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(4)} -pin "ACC1:mul#59" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(5)} -pin "ACC1:mul#59" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(6)} -pin "ACC1:mul#59" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(7)} -pin "ACC1:mul#59" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load net {ACC1:mul#59.itm(8)} -pin "ACC1:mul#59" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#59.itm}
+load inst "ACC1:acc#657" "add(12,1,12,0,13)" "INTERFACE" -attr xrf 64201 -attr oid 1391 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,12,1,13)"
+load net {acc.psp#1.sva(2)} -pin "ACC1:acc#657" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#657" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(2)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(3)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(4)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(5)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(6)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(7)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(8)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#657" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {GND} -pin "ACC1:acc#657" {A(10)} -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#657" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#912.itm}
+load net {ACC1:acc#224.psp.sva(4)} -pin "ACC1:acc#657" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:acc#224.psp.sva(4)} -pin "ACC1:acc#657" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {acc.psp#1.sva(4)} -pin "ACC1:acc#657" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(0)} -pin "ACC1:acc#657" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(1)} -pin "ACC1:acc#657" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(2)} -pin "ACC1:acc#657" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(3)} -pin "ACC1:acc#657" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(4)} -pin "ACC1:acc#657" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(5)} -pin "ACC1:acc#657" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(6)} -pin "ACC1:acc#657" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(7)} -pin "ACC1:acc#657" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:mul#59.itm(8)} -pin "ACC1:acc#657" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1106.itm}
+load net {ACC1:acc#657.itm(0)} -pin "ACC1:acc#657" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(1)} -pin "ACC1:acc#657" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(2)} -pin "ACC1:acc#657" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(3)} -pin "ACC1:acc#657" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(4)} -pin "ACC1:acc#657" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(5)} -pin "ACC1:acc#657" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(6)} -pin "ACC1:acc#657" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(7)} -pin "ACC1:acc#657" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(8)} -pin "ACC1:acc#657" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(9)} -pin "ACC1:acc#657" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(10)} -pin "ACC1:acc#657" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(11)} -pin "ACC1:acc#657" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(12)} -pin "ACC1:acc#657" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load inst "ACC1:acc#317" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64202 -attr oid 1392 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#317" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#10.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#317" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#11.itm}
+load net {ACC1:acc#317.itm(0)} -pin "ACC1:acc#317" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(1)} -pin "ACC1:acc#317" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load inst "ACC1:acc#316" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64203 -attr oid 1393 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#317.itm(0)} -pin "ACC1:acc#316" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(1)} -pin "ACC1:acc#316" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#316" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#72.itm}
+load net {ACC1:acc#316.itm(0)} -pin "ACC1:acc#316" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(1)} -pin "ACC1:acc#316" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load inst "ACC1:mul#56" "mul(2,0,11,1,13)" "INTERFACE" -attr xrf 64204 -attr oid 1394 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,11,1,13)"
+load net {ACC1:acc#316.itm(0)} -pin "ACC1:mul#56" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(1)} -pin "ACC1:mul#56" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {PWR} -pin "ACC1:mul#56" {B(0)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {GND} -pin "ACC1:mul#56" {B(1)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {GND} -pin "ACC1:mul#56" {B(2)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {PWR} -pin "ACC1:mul#56" {B(3)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {GND} -pin "ACC1:mul#56" {B(4)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {PWR} -pin "ACC1:mul#56" {B(5)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {GND} -pin "ACC1:mul#56" {B(6)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {PWR} -pin "ACC1:mul#56" {B(7)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {GND} -pin "ACC1:mul#56" {B(8)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {GND} -pin "ACC1:mul#56" {B(9)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {PWR} -pin "ACC1:mul#56" {B(10)} -attr @path {/sobel/sobel:core/Cn855_11}
+load net {ACC1:mul#56.itm(0)} -pin "ACC1:mul#56" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(1)} -pin "ACC1:mul#56" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(2)} -pin "ACC1:mul#56" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(3)} -pin "ACC1:mul#56" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(4)} -pin "ACC1:mul#56" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(5)} -pin "ACC1:mul#56" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(6)} -pin "ACC1:mul#56" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(7)} -pin "ACC1:mul#56" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(8)} -pin "ACC1:mul#56" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(9)} -pin "ACC1:mul#56" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(10)} -pin "ACC1:mul#56" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(11)} -pin "ACC1:mul#56" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(12)} -pin "ACC1:mul#56" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load inst "ACC1:acc#661" "add(13,1,13,1,14)" "INTERFACE" -attr xrf 64205 -attr oid 1395 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661} -attr area 14.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,1,13,1,14)"
+load net {ACC1:acc#657.itm(0)} -pin "ACC1:acc#661" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(1)} -pin "ACC1:acc#661" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(2)} -pin "ACC1:acc#661" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(3)} -pin "ACC1:acc#661" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(4)} -pin "ACC1:acc#661" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(5)} -pin "ACC1:acc#661" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(6)} -pin "ACC1:acc#661" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(7)} -pin "ACC1:acc#661" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(8)} -pin "ACC1:acc#661" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(9)} -pin "ACC1:acc#661" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(10)} -pin "ACC1:acc#661" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(11)} -pin "ACC1:acc#661" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:acc#657.itm(12)} -pin "ACC1:acc#661" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#657.itm}
+load net {ACC1:mul#56.itm(0)} -pin "ACC1:acc#661" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(1)} -pin "ACC1:acc#661" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(2)} -pin "ACC1:acc#661" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(3)} -pin "ACC1:acc#661" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(4)} -pin "ACC1:acc#661" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(5)} -pin "ACC1:acc#661" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(6)} -pin "ACC1:acc#661" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(7)} -pin "ACC1:acc#661" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(8)} -pin "ACC1:acc#661" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(9)} -pin "ACC1:acc#661" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(10)} -pin "ACC1:acc#661" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(11)} -pin "ACC1:acc#661" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:mul#56.itm(12)} -pin "ACC1:acc#661" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#56.itm}
+load net {ACC1:acc#661.itm(0)} -pin "ACC1:acc#661" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(1)} -pin "ACC1:acc#661" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(2)} -pin "ACC1:acc#661" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(3)} -pin "ACC1:acc#661" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(4)} -pin "ACC1:acc#661" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(5)} -pin "ACC1:acc#661" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(6)} -pin "ACC1:acc#661" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(7)} -pin "ACC1:acc#661" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(8)} -pin "ACC1:acc#661" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(9)} -pin "ACC1:acc#661" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(10)} -pin "ACC1:acc#661" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(11)} -pin "ACC1:acc#661" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(12)} -pin "ACC1:acc#661" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(13)} -pin "ACC1:acc#661" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load inst "reg(ACC1:acc#661.itm#1)" "reg(14,1,1,-1,0)" "INTERFACE" -attr xrf 64206 -attr oid 1396 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#661.itm#1)}
+load net {ACC1:acc#661.itm(0)} -pin "reg(ACC1:acc#661.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(1)} -pin "reg(ACC1:acc#661.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(2)} -pin "reg(ACC1:acc#661.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(3)} -pin "reg(ACC1:acc#661.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(4)} -pin "reg(ACC1:acc#661.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(5)} -pin "reg(ACC1:acc#661.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(6)} -pin "reg(ACC1:acc#661.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(7)} -pin "reg(ACC1:acc#661.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(8)} -pin "reg(ACC1:acc#661.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(9)} -pin "reg(ACC1:acc#661.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(10)} -pin "reg(ACC1:acc#661.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(11)} -pin "reg(ACC1:acc#661.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(12)} -pin "reg(ACC1:acc#661.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {ACC1:acc#661.itm(13)} -pin "reg(ACC1:acc#661.itm#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_14}
+load net {GND} -pin "reg(ACC1:acc#661.itm#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_14}
+load net {clk} -pin "reg(ACC1:acc#661.itm#1)" {clk} -attr xrf 64207 -attr oid 1397 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#661.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#661.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#661.itm#1(0)} -pin "reg(ACC1:acc#661.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(1)} -pin "reg(ACC1:acc#661.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(2)} -pin "reg(ACC1:acc#661.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(3)} -pin "reg(ACC1:acc#661.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(4)} -pin "reg(ACC1:acc#661.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(5)} -pin "reg(ACC1:acc#661.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(6)} -pin "reg(ACC1:acc#661.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(7)} -pin "reg(ACC1:acc#661.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(8)} -pin "reg(ACC1:acc#661.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(9)} -pin "reg(ACC1:acc#661.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(10)} -pin "reg(ACC1:acc#661.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(11)} -pin "reg(ACC1:acc#661.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(12)} -pin "reg(ACC1:acc#661.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(13)} -pin "reg(ACC1:acc#661.itm#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load inst "reg(ACC1:mul#57.itm#1.sg2)" "reg(5,1,1,-1,0)" "INTERFACE" -attr xrf 64208 -attr oid 1398 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#57.itm#1.sg2)}
+load net {ACC1:mul#57.itm(9)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#2.itm}
+load net {ACC1:mul#57.itm(10)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#2.itm}
+load net {ACC1:mul#57.itm(11)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#2.itm}
+load net {ACC1:mul#57.itm(12)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#2.itm}
+load net {ACC1:mul#57.itm(13)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#2.itm}
+load net {GND} -pin "reg(ACC1:mul#57.itm#1.sg2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(ACC1:mul#57.itm#1.sg2)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(ACC1:mul#57.itm#1.sg2)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(ACC1:mul#57.itm#1.sg2)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(ACC1:mul#57.itm#1.sg2)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_5}
+load net {clk} -pin "reg(ACC1:mul#57.itm#1.sg2)" {clk} -attr xrf 64209 -attr oid 1399 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:mul#57.itm#1.sg2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:mul#57.itm#1.sg2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:mul#57.itm#1.sg2(0)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#1.sg2}
+load net {ACC1:mul#57.itm#1.sg2(1)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#1.sg2}
+load net {ACC1:mul#57.itm#1.sg2(2)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#1.sg2}
+load net {ACC1:mul#57.itm#1.sg2(3)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#1.sg2}
+load net {ACC1:mul#57.itm#1.sg2(4)} -pin "reg(ACC1:mul#57.itm#1.sg2)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#1.sg2}
+load inst "reg(ACC1:mul#57.itm#2)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 64210 -attr oid 1400 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#57.itm#2)}
+load net {ACC1:mul#57.itm(0)} -pin "reg(ACC1:mul#57.itm#2)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#3.itm}
+load net {ACC1:mul#57.itm(1)} -pin "reg(ACC1:mul#57.itm#2)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:mul#57.itm)#3.itm}
+load net {GND} -pin "reg(ACC1:mul#57.itm#2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(ACC1:mul#57.itm#2)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(ACC1:mul#57.itm#2)" {clk} -attr xrf 64211 -attr oid 1401 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:mul#57.itm#2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:mul#57.itm#2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:mul#57.itm#2(0)} -pin "reg(ACC1:mul#57.itm#2)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#2}
+load net {ACC1:mul#57.itm#2(1)} -pin "reg(ACC1:mul#57.itm#2)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm#2}
+load inst "reg(slc(acc#20.psp#1)#93.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 64212 -attr oid 1402 -attr @path {/sobel/sobel:core/reg(slc(acc#20.psp#1)#93.itm#1)}
+load net {acc#20.psp#1.sva(11)} -pin "reg(slc(acc#20.psp#1)#93.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#12.itm}
+load net {GND} -pin "reg(slc(acc#20.psp#1)#93.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
+load net {clk} -pin "reg(slc(acc#20.psp#1)#93.itm#1)" {clk} -attr xrf 64213 -attr oid 1403 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(slc(acc#20.psp#1)#93.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(slc(acc#20.psp#1)#93.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {slc(acc#20.psp#1)#93.itm#1} -pin "reg(slc(acc#20.psp#1)#93.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1)#93.itm#1}
+load inst "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 64214 -attr oid 1404 -attr @path {/sobel/sobel:core/reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)}
+load net {ACC1:acc#228.psp.sva(6)} -pin "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#14.itm}
+load net {GND} -pin "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
+load net {clk} -pin "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" {clk} -attr xrf 64215 -attr oid 1405 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:slc(ACC1:acc#228.psp)#55.itm#1} -pin "reg(ACC1:slc(ACC1:acc#228.psp)#55.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:slc(ACC1:acc#228.psp)#55.itm#1}
+load inst "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 64216 -attr oid 1406 -attr @path {/sobel/sobel:core/reg(ACC1-3:slc(acc#10.psp)#62.itm#1)}
+load net {ACC1:acc#224.psp.sva(8)} -pin "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#16.itm}
+load net {GND} -pin "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
+load net {clk} -pin "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" {clk} -attr xrf 64217 -attr oid 1407 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1-3:slc(acc#10.psp)#62.itm#1} -pin "reg(ACC1-3:slc(acc#10.psp)#62.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:slc(acc#10.psp)#62.itm#1}
+load inst "ACC1:acc#458" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64218 -attr oid 1408 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#458} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#458" {A(0)} -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#458" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#458" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {ACC1:acc#346.itm(4)} -pin "ACC1:acc#458" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1497.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#458" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1497.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#458" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1497.itm}
+load net {ACC1:acc#458.itm(0)} -pin "ACC1:acc#458" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#458.itm}
+load net {ACC1:acc#458.itm(1)} -pin "ACC1:acc#458" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#458.itm}
+load net {ACC1:acc#458.itm(2)} -pin "ACC1:acc#458" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#458.itm}
+load net {ACC1:acc#458.itm(3)} -pin "ACC1:acc#458" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#458.itm}
+load inst "ACC1:acc#457" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64219 -attr oid 1409 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#457} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#457" {A(0)} -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#457" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#457" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {ACC1:acc#346.itm(3)} -pin "ACC1:acc#457" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1499.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#457" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1499.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#457" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1499.itm}
+load net {ACC1:acc#457.itm(0)} -pin "ACC1:acc#457" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#457.itm}
+load net {ACC1:acc#457.itm(1)} -pin "ACC1:acc#457" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#457.itm}
+load net {ACC1:acc#457.itm(2)} -pin "ACC1:acc#457" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#457.itm}
+load net {ACC1:acc#457.itm(3)} -pin "ACC1:acc#457" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#457.itm}
+load inst "ACC1:acc#540" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64220 -attr oid 1410 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#458.itm(1)} -pin "ACC1:acc#540" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#126.itm}
+load net {ACC1:acc#458.itm(2)} -pin "ACC1:acc#540" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#126.itm}
+load net {ACC1:acc#458.itm(3)} -pin "ACC1:acc#540" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#126.itm}
+load net {ACC1:acc#457.itm(1)} -pin "ACC1:acc#540" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#125.itm}
+load net {ACC1:acc#457.itm(2)} -pin "ACC1:acc#540" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#125.itm}
+load net {ACC1:acc#457.itm(3)} -pin "ACC1:acc#540" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#125.itm}
+load net {ACC1:acc#540.itm(0)} -pin "ACC1:acc#540" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#540.itm(1)} -pin "ACC1:acc#540" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#540.itm(2)} -pin "ACC1:acc#540" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#540.itm(3)} -pin "ACC1:acc#540" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load inst "ACC1:acc#456" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64221 -attr oid 1411 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#456} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#456" {A(0)} -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#456" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#456" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {ACC1:acc#346.itm(2)} -pin "ACC1:acc#456" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1501.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#456" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1501.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#456" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1501.itm}
+load net {ACC1:acc#456.itm(0)} -pin "ACC1:acc#456" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#456.itm}
+load net {ACC1:acc#456.itm(1)} -pin "ACC1:acc#456" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#456.itm}
+load net {ACC1:acc#456.itm(2)} -pin "ACC1:acc#456" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#456.itm}
+load net {ACC1:acc#456.itm(3)} -pin "ACC1:acc#456" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#456.itm}
+load inst "ACC1:acc#455" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64222 -attr oid 1412 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#455} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#455" {A(0)} -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#455" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#455" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {ACC1:acc#405.itm(2)} -pin "ACC1:acc#455" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1503.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#455" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1503.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#455" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1503.itm}
+load net {ACC1:acc#455.itm(0)} -pin "ACC1:acc#455" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#455.itm}
+load net {ACC1:acc#455.itm(1)} -pin "ACC1:acc#455" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#455.itm}
+load net {ACC1:acc#455.itm(2)} -pin "ACC1:acc#455" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#455.itm}
+load net {ACC1:acc#455.itm(3)} -pin "ACC1:acc#455" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#455.itm}
+load inst "ACC1:acc#539" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64223 -attr oid 1413 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#456.itm(1)} -pin "ACC1:acc#539" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#124.itm}
+load net {ACC1:acc#456.itm(2)} -pin "ACC1:acc#539" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#124.itm}
+load net {ACC1:acc#456.itm(3)} -pin "ACC1:acc#539" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#124.itm}
+load net {ACC1:acc#455.itm(1)} -pin "ACC1:acc#539" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#123.itm}
+load net {ACC1:acc#455.itm(2)} -pin "ACC1:acc#539" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#123.itm}
+load net {ACC1:acc#455.itm(3)} -pin "ACC1:acc#539" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#123.itm}
+load net {ACC1:acc#539.itm(0)} -pin "ACC1:acc#539" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#539.itm(1)} -pin "ACC1:acc#539" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#539.itm(2)} -pin "ACC1:acc#539" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#539.itm(3)} -pin "ACC1:acc#539" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load inst "ACC1:acc#585" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64224 -attr oid 1414 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#540.itm(0)} -pin "ACC1:acc#585" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#540.itm(1)} -pin "ACC1:acc#585" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#540.itm(2)} -pin "ACC1:acc#585" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#540.itm(3)} -pin "ACC1:acc#585" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#540.itm}
+load net {ACC1:acc#539.itm(0)} -pin "ACC1:acc#585" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#539.itm(1)} -pin "ACC1:acc#585" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#539.itm(2)} -pin "ACC1:acc#585" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#539.itm(3)} -pin "ACC1:acc#585" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#539.itm}
+load net {ACC1:acc#585.itm(0)} -pin "ACC1:acc#585" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(1)} -pin "ACC1:acc#585" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(2)} -pin "ACC1:acc#585" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(3)} -pin "ACC1:acc#585" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(4)} -pin "ACC1:acc#585" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load inst "ACC1:acc#454" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64225 -attr oid 1415 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#454} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#454" {A(0)} -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#454" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#454" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {ACC1-3:acc#212.psp.sva(3)} -pin "ACC1:acc#454" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1505.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#454" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1505.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#454" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1505.itm}
+load net {ACC1:acc#454.itm(0)} -pin "ACC1:acc#454" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#454.itm}
+load net {ACC1:acc#454.itm(1)} -pin "ACC1:acc#454" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#454.itm}
+load net {ACC1:acc#454.itm(2)} -pin "ACC1:acc#454" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#454.itm}
+load net {ACC1:acc#454.itm(3)} -pin "ACC1:acc#454" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#454.itm}
+load inst "ACC1:acc#453" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64226 -attr oid 1416 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#453} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#453" {A(0)} -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#453" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#453" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {ACC1-3:acc#212.psp.sva(2)} -pin "ACC1:acc#453" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1507.itm}
+load net {ACC1-1:acc#25.psp.sva(5)} -pin "ACC1:acc#453" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1507.itm}
+load net {ACC1-1:acc#25.psp.sva(5)} -pin "ACC1:acc#453" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1507.itm}
+load net {ACC1:acc#453.itm(0)} -pin "ACC1:acc#453" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#453.itm}
+load net {ACC1:acc#453.itm(1)} -pin "ACC1:acc#453" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#453.itm}
+load net {ACC1:acc#453.itm(2)} -pin "ACC1:acc#453" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#453.itm}
+load net {ACC1:acc#453.itm(3)} -pin "ACC1:acc#453" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#453.itm}
+load inst "ACC1:acc#538" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64227 -attr oid 1417 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#454.itm(1)} -pin "ACC1:acc#538" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#122.itm}
+load net {ACC1:acc#454.itm(2)} -pin "ACC1:acc#538" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#122.itm}
+load net {ACC1:acc#454.itm(3)} -pin "ACC1:acc#538" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#122.itm}
+load net {ACC1:acc#453.itm(1)} -pin "ACC1:acc#538" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#121.itm}
+load net {ACC1:acc#453.itm(2)} -pin "ACC1:acc#538" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#121.itm}
+load net {ACC1:acc#453.itm(3)} -pin "ACC1:acc#538" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#121.itm}
+load net {ACC1:acc#538.itm(0)} -pin "ACC1:acc#538" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#538.itm(1)} -pin "ACC1:acc#538" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#538.itm(2)} -pin "ACC1:acc#538" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#538.itm(3)} -pin "ACC1:acc#538" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load inst "ACC1:acc#452" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64228 -attr oid 1418 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#452} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#452" {A(0)} -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#452" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#452" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {ACC1-3:acc#212.psp.sva(1)} -pin "ACC1:acc#452" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1509.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#452" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1509.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#452" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1509.itm}
+load net {ACC1:acc#452.itm(0)} -pin "ACC1:acc#452" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#452.itm}
+load net {ACC1:acc#452.itm(1)} -pin "ACC1:acc#452" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#452.itm}
+load net {ACC1:acc#452.itm(2)} -pin "ACC1:acc#452" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#452.itm}
+load net {ACC1:acc#452.itm(3)} -pin "ACC1:acc#452" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#452.itm}
+load inst "ACC1-2:not#188" "not(1)" "INTERFACE" -attr xrf 64229 -attr oid 1419 -attr @path {/sobel/sobel:core/ACC1-2:not#188} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#387.itm(2)} -pin "ACC1-2:not#188" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#45.sva)#2.itm}
+load net {ACC1-2:not#188.itm} -pin "ACC1-2:not#188" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#188.itm}
+load inst "ACC1-2:and#11" "and(3,1)" "INTERFACE" -attr xrf 64230 -attr oid 1420 -attr @path {/sobel/sobel:core/ACC1-2:and#11} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1-2:and#11" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#24.itm}
+load net {ACC1-2:not#188.itm} -pin "ACC1-2:and#11" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#188.itm}
+load net {ACC1:acc#387.itm(1)} -pin "ACC1-2:and#11" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#45.sva)#1.itm}
+load net {ACC1-2:and#11.itm} -pin "ACC1-2:and#11" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:and#11.itm}
+load inst "ACC1:acc#451" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64231 -attr oid 1421 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#451} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#451" {A(0)} -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#451" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#451" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1-2:and#11.itm} -pin "ACC1:acc#451" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1511.itm}
+load net {ACC1:acc#227.psp.sva(9)} -pin "ACC1:acc#451" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1511.itm}
+load net {ACC1:acc#227.psp.sva(9)} -pin "ACC1:acc#451" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1511.itm}
+load net {ACC1:acc#451.itm(0)} -pin "ACC1:acc#451" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#451.itm}
+load net {ACC1:acc#451.itm(1)} -pin "ACC1:acc#451" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#451.itm}
+load net {ACC1:acc#451.itm(2)} -pin "ACC1:acc#451" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#451.itm}
+load net {ACC1:acc#451.itm(3)} -pin "ACC1:acc#451" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#451.itm}
+load inst "ACC1:acc#537" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64232 -attr oid 1422 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#452.itm(1)} -pin "ACC1:acc#537" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#120.itm}
+load net {ACC1:acc#452.itm(2)} -pin "ACC1:acc#537" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#120.itm}
+load net {ACC1:acc#452.itm(3)} -pin "ACC1:acc#537" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#120.itm}
+load net {ACC1:acc#451.itm(1)} -pin "ACC1:acc#537" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#119.itm}
+load net {ACC1:acc#451.itm(2)} -pin "ACC1:acc#537" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#119.itm}
+load net {ACC1:acc#451.itm(3)} -pin "ACC1:acc#537" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#119.itm}
+load net {ACC1:acc#537.itm(0)} -pin "ACC1:acc#537" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#537.itm(1)} -pin "ACC1:acc#537" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#537.itm(2)} -pin "ACC1:acc#537" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#537.itm(3)} -pin "ACC1:acc#537" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load inst "ACC1:acc#584" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64233 -attr oid 1423 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#538.itm(0)} -pin "ACC1:acc#584" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#538.itm(1)} -pin "ACC1:acc#584" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#538.itm(2)} -pin "ACC1:acc#584" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#538.itm(3)} -pin "ACC1:acc#584" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#538.itm}
+load net {ACC1:acc#537.itm(0)} -pin "ACC1:acc#584" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#537.itm(1)} -pin "ACC1:acc#584" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#537.itm(2)} -pin "ACC1:acc#584" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#537.itm(3)} -pin "ACC1:acc#584" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#537.itm}
+load net {ACC1:acc#584.itm(0)} -pin "ACC1:acc#584" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(1)} -pin "ACC1:acc#584" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(2)} -pin "ACC1:acc#584" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(3)} -pin "ACC1:acc#584" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(4)} -pin "ACC1:acc#584" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load inst "ACC1:acc#607" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64234 -attr oid 1424 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#585.itm(0)} -pin "ACC1:acc#607" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(1)} -pin "ACC1:acc#607" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(2)} -pin "ACC1:acc#607" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(3)} -pin "ACC1:acc#607" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#585.itm(4)} -pin "ACC1:acc#607" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#585.itm}
+load net {ACC1:acc#584.itm(0)} -pin "ACC1:acc#607" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(1)} -pin "ACC1:acc#607" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(2)} -pin "ACC1:acc#607" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(3)} -pin "ACC1:acc#607" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#584.itm(4)} -pin "ACC1:acc#607" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#584.itm}
+load net {ACC1:acc#607.itm(0)} -pin "ACC1:acc#607" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(1)} -pin "ACC1:acc#607" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(2)} -pin "ACC1:acc#607" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(3)} -pin "ACC1:acc#607" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(4)} -pin "ACC1:acc#607" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(5)} -pin "ACC1:acc#607" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load inst "ACC1-2:not#187" "not(1)" "INTERFACE" -attr xrf 64235 -attr oid 1425 -attr @path {/sobel/sobel:core/ACC1-2:not#187} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1-2:not#187" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#25.itm}
+load net {ACC1-2:not#187.itm} -pin "ACC1-2:not#187" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#187.itm}
+load inst "ACC1-2:nand#5" "nand(2,1)" "INTERFACE" -attr xrf 64236 -attr oid 1426 -attr @path {/sobel/sobel:core/ACC1-2:nand#5} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#387.itm(2)} -pin "ACC1-2:nand#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#45.sva).itm}
+load net {ACC1-2:not#187.itm} -pin "ACC1-2:nand#5" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#187.itm}
+load net {ACC1-2:nand#5.itm} -pin "ACC1-2:nand#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:nand#5.itm}
+load inst "ACC1:acc#450" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64237 -attr oid 1427 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#450} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#450" {A(0)} -attr @path {/sobel/sobel:core/exs#63.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#450" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#63.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#450" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#63.itm}
+load net {ACC1-2:nand#5.itm} -pin "ACC1:acc#450" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1513.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#450" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1513.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#450" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1513.itm}
+load net {ACC1:acc#450.itm(0)} -pin "ACC1:acc#450" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#450.itm}
+load net {ACC1:acc#450.itm(1)} -pin "ACC1:acc#450" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#450.itm}
+load net {ACC1:acc#450.itm(2)} -pin "ACC1:acc#450" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#450.itm}
+load net {ACC1:acc#450.itm(3)} -pin "ACC1:acc#450" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#450.itm}
+load inst "ACC1:acc#449" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64238 -attr oid 1428 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#449} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#449" {A(0)} -attr @path {/sobel/sobel:core/exs#64.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#449" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#64.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#449" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#64.itm}
+load net {ACC1:acc#386.itm(2)} -pin "ACC1:acc#449" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1515.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#449" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1515.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#449" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1515.itm}
+load net {ACC1:acc#449.itm(0)} -pin "ACC1:acc#449" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#449.itm}
+load net {ACC1:acc#449.itm(1)} -pin "ACC1:acc#449" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#449.itm}
+load net {ACC1:acc#449.itm(2)} -pin "ACC1:acc#449" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#449.itm}
+load net {ACC1:acc#449.itm(3)} -pin "ACC1:acc#449" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#449.itm}
+load inst "ACC1:acc#536" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64239 -attr oid 1429 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#450.itm(1)} -pin "ACC1:acc#536" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#118.itm}
+load net {ACC1:acc#450.itm(2)} -pin "ACC1:acc#536" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#118.itm}
+load net {ACC1:acc#450.itm(3)} -pin "ACC1:acc#536" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#118.itm}
+load net {ACC1:acc#449.itm(1)} -pin "ACC1:acc#536" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#117.itm}
+load net {ACC1:acc#449.itm(2)} -pin "ACC1:acc#536" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#117.itm}
+load net {ACC1:acc#449.itm(3)} -pin "ACC1:acc#536" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#117.itm}
+load net {ACC1:acc#536.itm(0)} -pin "ACC1:acc#536" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#536.itm(1)} -pin "ACC1:acc#536" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#536.itm(2)} -pin "ACC1:acc#536" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#536.itm(3)} -pin "ACC1:acc#536" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load inst "ACC1:acc#448" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64240 -attr oid 1430 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#448} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#448" {A(0)} -attr @path {/sobel/sobel:core/exs#65.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#448" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#65.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#448" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#65.itm}
+load net {ACC1:acc#384.itm(4)} -pin "ACC1:acc#448" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1517.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#448" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1517.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#448" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1517.itm}
+load net {ACC1:acc#448.itm(0)} -pin "ACC1:acc#448" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#448.itm}
+load net {ACC1:acc#448.itm(1)} -pin "ACC1:acc#448" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#448.itm}
+load net {ACC1:acc#448.itm(2)} -pin "ACC1:acc#448" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#448.itm}
+load net {ACC1:acc#448.itm(3)} -pin "ACC1:acc#448" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#448.itm}
+load inst "ACC1:acc#447" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64241 -attr oid 1431 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#447} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#447" {A(0)} -attr @path {/sobel/sobel:core/exs#92.itm}
+load net {acc.psp#1.sva(5)} -pin "ACC1:acc#447" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#92.itm}
+load net {acc.psp#1.sva(5)} -pin "ACC1:acc#447" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#92.itm}
+load net {ACC1:acc#384.itm(3)} -pin "ACC1:acc#447" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1519.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#447" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1519.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#447" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1519.itm}
+load net {ACC1:acc#447.itm(0)} -pin "ACC1:acc#447" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#447.itm}
+load net {ACC1:acc#447.itm(1)} -pin "ACC1:acc#447" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#447.itm}
+load net {ACC1:acc#447.itm(2)} -pin "ACC1:acc#447" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#447.itm}
+load net {ACC1:acc#447.itm(3)} -pin "ACC1:acc#447" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#447.itm}
+load inst "ACC1:acc#535" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64242 -attr oid 1432 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#448.itm(1)} -pin "ACC1:acc#535" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#116.itm}
+load net {ACC1:acc#448.itm(2)} -pin "ACC1:acc#535" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#116.itm}
+load net {ACC1:acc#448.itm(3)} -pin "ACC1:acc#535" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#116.itm}
+load net {ACC1:acc#447.itm(1)} -pin "ACC1:acc#535" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#115.itm}
+load net {ACC1:acc#447.itm(2)} -pin "ACC1:acc#535" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#115.itm}
+load net {ACC1:acc#447.itm(3)} -pin "ACC1:acc#535" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#115.itm}
+load net {ACC1:acc#535.itm(0)} -pin "ACC1:acc#535" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#535.itm(1)} -pin "ACC1:acc#535" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#535.itm(2)} -pin "ACC1:acc#535" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#535.itm(3)} -pin "ACC1:acc#535" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load inst "ACC1:acc#583" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64243 -attr oid 1433 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#536.itm(0)} -pin "ACC1:acc#583" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#536.itm(1)} -pin "ACC1:acc#583" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#536.itm(2)} -pin "ACC1:acc#583" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#536.itm(3)} -pin "ACC1:acc#583" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#536.itm}
+load net {ACC1:acc#535.itm(0)} -pin "ACC1:acc#583" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#535.itm(1)} -pin "ACC1:acc#583" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#535.itm(2)} -pin "ACC1:acc#583" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#535.itm(3)} -pin "ACC1:acc#583" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#535.itm}
+load net {ACC1:acc#583.itm(0)} -pin "ACC1:acc#583" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(1)} -pin "ACC1:acc#583" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(2)} -pin "ACC1:acc#583" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(3)} -pin "ACC1:acc#583" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(4)} -pin "ACC1:acc#583" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load inst "ACC1:acc#446" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64244 -attr oid 1434 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#446} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#446" {A(0)} -attr @path {/sobel/sobel:core/exs#66.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#446" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#66.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#446" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#66.itm}
+load net {ACC1:acc#384.itm(2)} -pin "ACC1:acc#446" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1521.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#446" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1521.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#446" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1521.itm}
+load net {ACC1:acc#446.itm(0)} -pin "ACC1:acc#446" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#446.itm}
+load net {ACC1:acc#446.itm(1)} -pin "ACC1:acc#446" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#446.itm}
+load net {ACC1:acc#446.itm(2)} -pin "ACC1:acc#446" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#446.itm}
+load net {ACC1:acc#446.itm(3)} -pin "ACC1:acc#446" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#446.itm}
+load inst "ACC1-2:not#60" "not(1)" "INTERFACE" -attr xrf 64245 -attr oid 1435 -attr @path {/sobel/sobel:core/ACC1-2:not#60} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#378.itm(2)} -pin "ACC1-2:not#60" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#33.sva)#2.itm}
+load net {ACC1-2:not#60.itm} -pin "ACC1-2:not#60" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#60.itm}
+load inst "ACC1-2:and#3" "and(3,1)" "INTERFACE" -attr xrf 64246 -attr oid 1436 -attr @path {/sobel/sobel:core/ACC1-2:and#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1-2:and#3" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#29.itm}
+load net {ACC1-2:not#60.itm} -pin "ACC1-2:and#3" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#60.itm}
+load net {ACC1:acc#378.itm(1)} -pin "ACC1-2:and#3" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#33.sva)#1.itm}
+load net {ACC1-2:and#3.itm} -pin "ACC1-2:and#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:and#3.itm}
+load inst "ACC1:acc#445" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64247 -attr oid 1437 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#445} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#445" {A(0)} -attr @path {/sobel/sobel:core/exs#93.itm}
+load net {ACC1:acc#227.psp.sva(7)} -pin "ACC1:acc#445" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#93.itm}
+load net {ACC1:acc#227.psp.sva(7)} -pin "ACC1:acc#445" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#93.itm}
+load net {ACC1-2:and#3.itm} -pin "ACC1:acc#445" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1523.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#445" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1523.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#445" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1523.itm}
+load net {ACC1:acc#445.itm(0)} -pin "ACC1:acc#445" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#445.itm}
+load net {ACC1:acc#445.itm(1)} -pin "ACC1:acc#445" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#445.itm}
+load net {ACC1:acc#445.itm(2)} -pin "ACC1:acc#445" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#445.itm}
+load net {ACC1:acc#445.itm(3)} -pin "ACC1:acc#445" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#445.itm}
+load inst "ACC1:acc#534" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64248 -attr oid 1438 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#446.itm(1)} -pin "ACC1:acc#534" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#114.itm}
+load net {ACC1:acc#446.itm(2)} -pin "ACC1:acc#534" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#114.itm}
+load net {ACC1:acc#446.itm(3)} -pin "ACC1:acc#534" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#114.itm}
+load net {ACC1:acc#445.itm(1)} -pin "ACC1:acc#534" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#113.itm}
+load net {ACC1:acc#445.itm(2)} -pin "ACC1:acc#534" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#113.itm}
+load net {ACC1:acc#445.itm(3)} -pin "ACC1:acc#534" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#113.itm}
+load net {ACC1:acc#534.itm(0)} -pin "ACC1:acc#534" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#534.itm(1)} -pin "ACC1:acc#534" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#534.itm(2)} -pin "ACC1:acc#534" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#534.itm(3)} -pin "ACC1:acc#534" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load inst "ACC1-2:not#59" "not(1)" "INTERFACE" -attr xrf 64249 -attr oid 1439 -attr @path {/sobel/sobel:core/ACC1-2:not#59} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1-2:not#59" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#30.itm}
+load net {ACC1-2:not#59.itm} -pin "ACC1-2:not#59" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#59.itm}
+load inst "ACC1-2:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 64250 -attr oid 1440 -attr @path {/sobel/sobel:core/ACC1-2:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#378.itm(2)} -pin "ACC1-2:nand#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#33.sva).itm}
+load net {ACC1-2:not#59.itm} -pin "ACC1-2:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#59.itm}
+load net {ACC1-2:nand#1.itm} -pin "ACC1-2:nand#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:nand#1.itm}
+load inst "ACC1:acc#444" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64251 -attr oid 1441 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#444} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#444" {A(0)} -attr @path {/sobel/sobel:core/exs#67.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#444" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#67.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#444" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#67.itm}
+load net {ACC1-2:nand#1.itm} -pin "ACC1:acc#444" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1525.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#444" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1525.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#444" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1525.itm}
+load net {ACC1:acc#444.itm(0)} -pin "ACC1:acc#444" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#444.itm}
+load net {ACC1:acc#444.itm(1)} -pin "ACC1:acc#444" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#444.itm}
+load net {ACC1:acc#444.itm(2)} -pin "ACC1:acc#444" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#444.itm}
+load net {ACC1:acc#444.itm(3)} -pin "ACC1:acc#444" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#444.itm}
+load inst "ACC1:acc#442" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64252 -attr oid 1442 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#442} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#442" {A(0)} -attr @path {/sobel/sobel:core/exs#68.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#442" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#68.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#442" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#68.itm}
+load net {ACC1:acc#375.itm(4)} -pin "ACC1:acc#442" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1527.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#442" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1527.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#442" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1527.itm}
+load net {ACC1:acc#442.itm(0)} -pin "ACC1:acc#442" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#442.itm}
+load net {ACC1:acc#442.itm(1)} -pin "ACC1:acc#442" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#442.itm}
+load net {ACC1:acc#442.itm(2)} -pin "ACC1:acc#442" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#442.itm}
+load net {ACC1:acc#442.itm(3)} -pin "ACC1:acc#442" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#442.itm}
+load inst "ACC1:acc#533" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64253 -attr oid 1443 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#444.itm(1)} -pin "ACC1:acc#533" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#112.itm}
+load net {ACC1:acc#444.itm(2)} -pin "ACC1:acc#533" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#112.itm}
+load net {ACC1:acc#444.itm(3)} -pin "ACC1:acc#533" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#112.itm}
+load net {ACC1:acc#442.itm(1)} -pin "ACC1:acc#533" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#110.itm}
+load net {ACC1:acc#442.itm(2)} -pin "ACC1:acc#533" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#110.itm}
+load net {ACC1:acc#442.itm(3)} -pin "ACC1:acc#533" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#110.itm}
+load net {ACC1:acc#533.itm(0)} -pin "ACC1:acc#533" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#533.itm(1)} -pin "ACC1:acc#533" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#533.itm(2)} -pin "ACC1:acc#533" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#533.itm(3)} -pin "ACC1:acc#533" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load inst "ACC1:acc#582" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64254 -attr oid 1444 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#534.itm(0)} -pin "ACC1:acc#582" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#534.itm(1)} -pin "ACC1:acc#582" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#534.itm(2)} -pin "ACC1:acc#582" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#534.itm(3)} -pin "ACC1:acc#582" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#534.itm}
+load net {ACC1:acc#533.itm(0)} -pin "ACC1:acc#582" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#533.itm(1)} -pin "ACC1:acc#582" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#533.itm(2)} -pin "ACC1:acc#582" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#533.itm(3)} -pin "ACC1:acc#582" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#533.itm}
+load net {ACC1:acc#582.itm(0)} -pin "ACC1:acc#582" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(1)} -pin "ACC1:acc#582" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(2)} -pin "ACC1:acc#582" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(3)} -pin "ACC1:acc#582" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(4)} -pin "ACC1:acc#582" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load inst "ACC1:acc#606" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64255 -attr oid 1445 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#583.itm(0)} -pin "ACC1:acc#606" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(1)} -pin "ACC1:acc#606" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(2)} -pin "ACC1:acc#606" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(3)} -pin "ACC1:acc#606" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#583.itm(4)} -pin "ACC1:acc#606" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#583.itm}
+load net {ACC1:acc#582.itm(0)} -pin "ACC1:acc#606" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(1)} -pin "ACC1:acc#606" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(2)} -pin "ACC1:acc#606" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(3)} -pin "ACC1:acc#606" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#582.itm(4)} -pin "ACC1:acc#606" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#582.itm}
+load net {ACC1:acc#606.itm(0)} -pin "ACC1:acc#606" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(1)} -pin "ACC1:acc#606" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(2)} -pin "ACC1:acc#606" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(3)} -pin "ACC1:acc#606" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(4)} -pin "ACC1:acc#606" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(5)} -pin "ACC1:acc#606" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load inst "ACC1:acc#623" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 64256 -attr oid 1446 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:acc#607.itm(0)} -pin "ACC1:acc#623" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(1)} -pin "ACC1:acc#623" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(2)} -pin "ACC1:acc#623" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(3)} -pin "ACC1:acc#623" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(4)} -pin "ACC1:acc#623" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#607.itm(5)} -pin "ACC1:acc#623" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#607.itm}
+load net {ACC1:acc#606.itm(0)} -pin "ACC1:acc#623" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(1)} -pin "ACC1:acc#623" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(2)} -pin "ACC1:acc#623" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(3)} -pin "ACC1:acc#623" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(4)} -pin "ACC1:acc#623" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#606.itm(5)} -pin "ACC1:acc#623" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#606.itm}
+load net {ACC1:acc#623.itm(0)} -pin "ACC1:acc#623" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(1)} -pin "ACC1:acc#623" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(2)} -pin "ACC1:acc#623" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(3)} -pin "ACC1:acc#623" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(4)} -pin "ACC1:acc#623" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(5)} -pin "ACC1:acc#623" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(6)} -pin "ACC1:acc#623" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load inst "ACC1:acc#441" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64257 -attr oid 1447 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#441} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#441" {A(0)} -attr @path {/sobel/sobel:core/exs#69.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#441" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#69.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#441" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#69.itm}
+load net {ACC1:acc#375.itm(3)} -pin "ACC1:acc#441" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1529.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#441" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1529.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#441" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1529.itm}
+load net {ACC1:acc#441.itm(0)} -pin "ACC1:acc#441" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#441.itm}
+load net {ACC1:acc#441.itm(1)} -pin "ACC1:acc#441" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#441.itm}
+load net {ACC1:acc#441.itm(2)} -pin "ACC1:acc#441" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#441.itm}
+load net {ACC1:acc#441.itm(3)} -pin "ACC1:acc#441" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#441.itm}
+load inst "ACC1:acc#440" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64258 -attr oid 1448 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#440} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#440" {A(0)} -attr @path {/sobel/sobel:core/exs#70.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#440" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#70.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#440" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#70.itm}
+load net {ACC1:acc#375.itm(2)} -pin "ACC1:acc#440" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1531.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#440" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1531.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#440" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1531.itm}
+load net {ACC1:acc#440.itm(0)} -pin "ACC1:acc#440" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#440.itm}
+load net {ACC1:acc#440.itm(1)} -pin "ACC1:acc#440" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#440.itm}
+load net {ACC1:acc#440.itm(2)} -pin "ACC1:acc#440" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#440.itm}
+load net {ACC1:acc#440.itm(3)} -pin "ACC1:acc#440" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#440.itm}
+load inst "ACC1:acc#532" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64259 -attr oid 1449 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#441.itm(1)} -pin "ACC1:acc#532" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#109.itm}
+load net {ACC1:acc#441.itm(2)} -pin "ACC1:acc#532" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#109.itm}
+load net {ACC1:acc#441.itm(3)} -pin "ACC1:acc#532" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#109.itm}
+load net {ACC1:acc#440.itm(1)} -pin "ACC1:acc#532" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#108.itm}
+load net {ACC1:acc#440.itm(2)} -pin "ACC1:acc#532" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#108.itm}
+load net {ACC1:acc#440.itm(3)} -pin "ACC1:acc#532" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#108.itm}
+load net {ACC1:acc#532.itm(0)} -pin "ACC1:acc#532" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#532.itm(1)} -pin "ACC1:acc#532" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#532.itm(2)} -pin "ACC1:acc#532" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#532.itm(3)} -pin "ACC1:acc#532" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load inst "ACC1-3:not#92" "not(1)" "INTERFACE" -attr xrf 64260 -attr oid 1450 -attr @path {/sobel/sobel:core/ACC1-3:not#92} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#415.itm(2)} -pin "ACC1-3:not#92" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#2.itm}
+load net {ACC1-3:not#92.itm} -pin "ACC1-3:not#92" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#92.itm}
+load inst "ACC1-3:and#5" "and(3,1)" "INTERFACE" -attr xrf 64261 -attr oid 1451 -attr @path {/sobel/sobel:core/ACC1-3:and#5} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1-3:and#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#24.itm}
+load net {ACC1-3:not#92.itm} -pin "ACC1-3:and#5" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#92.itm}
+load net {ACC1:acc#415.itm(1)} -pin "ACC1-3:and#5" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#1.itm}
+load net {ACC1-3:and#5.itm} -pin "ACC1-3:and#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#5.itm}
+load inst "ACC1:acc#439" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64262 -attr oid 1452 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#439} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#439" {A(0)} -attr @path {/sobel/sobel:core/exs#71.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#439" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#71.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#439" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#71.itm}
+load net {ACC1-3:and#5.itm} -pin "ACC1:acc#439" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1533.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#439" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1533.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#439" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1533.itm}
+load net {ACC1:acc#439.itm(0)} -pin "ACC1:acc#439" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#439.itm}
+load net {ACC1:acc#439.itm(1)} -pin "ACC1:acc#439" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#439.itm}
+load net {ACC1:acc#439.itm(2)} -pin "ACC1:acc#439" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#439.itm}
+load net {ACC1:acc#439.itm(3)} -pin "ACC1:acc#439" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#439.itm}
+load inst "ACC1-3:not#91" "not(1)" "INTERFACE" -attr xrf 64263 -attr oid 1453 -attr @path {/sobel/sobel:core/ACC1-3:not#91} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1-3:not#91" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#25.itm}
+load net {ACC1-3:not#91.itm} -pin "ACC1-3:not#91" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#91.itm}
+load inst "ACC1-3:nand#2" "nand(2,1)" "INTERFACE" -attr xrf 64264 -attr oid 1454 -attr @path {/sobel/sobel:core/ACC1-3:nand#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#415.itm(2)} -pin "ACC1-3:nand#2" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva).itm}
+load net {ACC1-3:not#91.itm} -pin "ACC1-3:nand#2" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#91.itm}
+load net {ACC1-3:nand#2.itm} -pin "ACC1-3:nand#2" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand#2.itm}
+load inst "ACC1:acc#438" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64265 -attr oid 1455 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#438} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#438" {A(0)} -attr @path {/sobel/sobel:core/exs#72.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#438" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#72.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#438" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#72.itm}
+load net {ACC1-3:nand#2.itm} -pin "ACC1:acc#438" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1535.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#438" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1535.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#438" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1535.itm}
+load net {ACC1:acc#438.itm(0)} -pin "ACC1:acc#438" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#438.itm}
+load net {ACC1:acc#438.itm(1)} -pin "ACC1:acc#438" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#438.itm}
+load net {ACC1:acc#438.itm(2)} -pin "ACC1:acc#438" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#438.itm}
+load net {ACC1:acc#438.itm(3)} -pin "ACC1:acc#438" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#438.itm}
+load inst "ACC1:acc#531" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64266 -attr oid 1456 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#439.itm(1)} -pin "ACC1:acc#531" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#107.itm}
+load net {ACC1:acc#439.itm(2)} -pin "ACC1:acc#531" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#107.itm}
+load net {ACC1:acc#439.itm(3)} -pin "ACC1:acc#531" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#107.itm}
+load net {ACC1:acc#438.itm(1)} -pin "ACC1:acc#531" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#106.itm}
+load net {ACC1:acc#438.itm(2)} -pin "ACC1:acc#531" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#106.itm}
+load net {ACC1:acc#438.itm(3)} -pin "ACC1:acc#531" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#106.itm}
+load net {ACC1:acc#531.itm(0)} -pin "ACC1:acc#531" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#531.itm(1)} -pin "ACC1:acc#531" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#531.itm(2)} -pin "ACC1:acc#531" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#531.itm(3)} -pin "ACC1:acc#531" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load inst "ACC1:acc#581" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64267 -attr oid 1457 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#532.itm(0)} -pin "ACC1:acc#581" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#532.itm(1)} -pin "ACC1:acc#581" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#532.itm(2)} -pin "ACC1:acc#581" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#532.itm(3)} -pin "ACC1:acc#581" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#532.itm}
+load net {ACC1:acc#531.itm(0)} -pin "ACC1:acc#581" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#531.itm(1)} -pin "ACC1:acc#581" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#531.itm(2)} -pin "ACC1:acc#581" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#531.itm(3)} -pin "ACC1:acc#581" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#531.itm}
+load net {ACC1:acc#581.itm(0)} -pin "ACC1:acc#581" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(1)} -pin "ACC1:acc#581" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(2)} -pin "ACC1:acc#581" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(3)} -pin "ACC1:acc#581" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(4)} -pin "ACC1:acc#581" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load inst "ACC1:acc#437" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64268 -attr oid 1458 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#437} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#437" {A(0)} -attr @path {/sobel/sobel:core/exs#73.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#437" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#73.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#437" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#73.itm}
+load net {ACC1:acc#414.itm(2)} -pin "ACC1:acc#437" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1537.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#437" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1537.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#437" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1537.itm}
+load net {ACC1:acc#437.itm(0)} -pin "ACC1:acc#437" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#437.itm}
+load net {ACC1:acc#437.itm(1)} -pin "ACC1:acc#437" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#437.itm}
+load net {ACC1:acc#437.itm(2)} -pin "ACC1:acc#437" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#437.itm}
+load net {ACC1:acc#437.itm(3)} -pin "ACC1:acc#437" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#437.itm}
+load inst "ACC1:acc#436" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64269 -attr oid 1459 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#436} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#436" {A(0)} -attr @path {/sobel/sobel:core/exs#74.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#436" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#74.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#436" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#74.itm}
+load net {ACC1:acc#412.itm(4)} -pin "ACC1:acc#436" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1539.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#436" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1539.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#436" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1539.itm}
+load net {ACC1:acc#436.itm(0)} -pin "ACC1:acc#436" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#436.itm}
+load net {ACC1:acc#436.itm(1)} -pin "ACC1:acc#436" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#436.itm}
+load net {ACC1:acc#436.itm(2)} -pin "ACC1:acc#436" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#436.itm}
+load net {ACC1:acc#436.itm(3)} -pin "ACC1:acc#436" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#436.itm}
+load inst "ACC1:acc#530" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64270 -attr oid 1460 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#437.itm(1)} -pin "ACC1:acc#530" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#105.itm}
+load net {ACC1:acc#437.itm(2)} -pin "ACC1:acc#530" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#105.itm}
+load net {ACC1:acc#437.itm(3)} -pin "ACC1:acc#530" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#105.itm}
+load net {ACC1:acc#436.itm(1)} -pin "ACC1:acc#530" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#104.itm}
+load net {ACC1:acc#436.itm(2)} -pin "ACC1:acc#530" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#104.itm}
+load net {ACC1:acc#436.itm(3)} -pin "ACC1:acc#530" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#104.itm}
+load net {ACC1:acc#530.itm(0)} -pin "ACC1:acc#530" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#530.itm(1)} -pin "ACC1:acc#530" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#530.itm(2)} -pin "ACC1:acc#530" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#530.itm(3)} -pin "ACC1:acc#530" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load inst "ACC1:acc#435" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64271 -attr oid 1461 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#435} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#435" {A(0)} -attr @path {/sobel/sobel:core/exs#75.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#435" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#75.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#435" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#75.itm}
+load net {ACC1:acc#412.itm(3)} -pin "ACC1:acc#435" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1541.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#435" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1541.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#435" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1541.itm}
+load net {ACC1:acc#435.itm(0)} -pin "ACC1:acc#435" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#435.itm}
+load net {ACC1:acc#435.itm(1)} -pin "ACC1:acc#435" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#435.itm}
+load net {ACC1:acc#435.itm(2)} -pin "ACC1:acc#435" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#435.itm}
+load net {ACC1:acc#435.itm(3)} -pin "ACC1:acc#435" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#435.itm}
+load inst "ACC1:acc#434" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64272 -attr oid 1462 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#434} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#434" {A(0)} -attr @path {/sobel/sobel:core/exs#76.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#434" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#76.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#434" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#76.itm}
+load net {ACC1:acc#412.itm(2)} -pin "ACC1:acc#434" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1543.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#434" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1543.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#434" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1543.itm}
+load net {ACC1:acc#434.itm(0)} -pin "ACC1:acc#434" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#434.itm}
+load net {ACC1:acc#434.itm(1)} -pin "ACC1:acc#434" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#434.itm}
+load net {ACC1:acc#434.itm(2)} -pin "ACC1:acc#434" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#434.itm}
+load net {ACC1:acc#434.itm(3)} -pin "ACC1:acc#434" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#434.itm}
+load inst "ACC1:acc#529" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64273 -attr oid 1463 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#435.itm(1)} -pin "ACC1:acc#529" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#103.itm}
+load net {ACC1:acc#435.itm(2)} -pin "ACC1:acc#529" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#103.itm}
+load net {ACC1:acc#435.itm(3)} -pin "ACC1:acc#529" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#103.itm}
+load net {ACC1:acc#434.itm(1)} -pin "ACC1:acc#529" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#102.itm}
+load net {ACC1:acc#434.itm(2)} -pin "ACC1:acc#529" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#102.itm}
+load net {ACC1:acc#434.itm(3)} -pin "ACC1:acc#529" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#102.itm}
+load net {ACC1:acc#529.itm(0)} -pin "ACC1:acc#529" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#529.itm(1)} -pin "ACC1:acc#529" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#529.itm(2)} -pin "ACC1:acc#529" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#529.itm(3)} -pin "ACC1:acc#529" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load inst "ACC1:acc#580" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64274 -attr oid 1464 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#530.itm(0)} -pin "ACC1:acc#580" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#530.itm(1)} -pin "ACC1:acc#580" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#530.itm(2)} -pin "ACC1:acc#580" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#530.itm(3)} -pin "ACC1:acc#580" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#530.itm}
+load net {ACC1:acc#529.itm(0)} -pin "ACC1:acc#580" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#529.itm(1)} -pin "ACC1:acc#580" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#529.itm(2)} -pin "ACC1:acc#580" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#529.itm(3)} -pin "ACC1:acc#580" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#529.itm}
+load net {ACC1:acc#580.itm(0)} -pin "ACC1:acc#580" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(1)} -pin "ACC1:acc#580" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(2)} -pin "ACC1:acc#580" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(3)} -pin "ACC1:acc#580" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(4)} -pin "ACC1:acc#580" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load inst "ACC1:acc#605" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64275 -attr oid 1465 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#581.itm(0)} -pin "ACC1:acc#605" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(1)} -pin "ACC1:acc#605" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(2)} -pin "ACC1:acc#605" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(3)} -pin "ACC1:acc#605" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#581.itm(4)} -pin "ACC1:acc#605" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#581.itm}
+load net {ACC1:acc#580.itm(0)} -pin "ACC1:acc#605" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(1)} -pin "ACC1:acc#605" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(2)} -pin "ACC1:acc#605" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(3)} -pin "ACC1:acc#605" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#580.itm(4)} -pin "ACC1:acc#605" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#580.itm}
+load net {ACC1:acc#605.itm(0)} -pin "ACC1:acc#605" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(1)} -pin "ACC1:acc#605" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(2)} -pin "ACC1:acc#605" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(3)} -pin "ACC1:acc#605" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(4)} -pin "ACC1:acc#605" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(5)} -pin "ACC1:acc#605" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load inst "ACC1-3:not#28" "not(1)" "INTERFACE" -attr xrf 64276 -attr oid 1466 -attr @path {/sobel/sobel:core/ACC1-3:not#28} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#396.itm(2)} -pin "ACC1-3:not#28" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#3.sva)#2.itm}
+load net {ACC1-3:not#28.itm} -pin "ACC1-3:not#28" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#28.itm}
+load inst "ACC1-3:and#1" "and(3,1)" "INTERFACE" -attr xrf 64277 -attr oid 1467 -attr @path {/sobel/sobel:core/ACC1-3:and#1} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:and#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#31.itm}
+load net {ACC1-3:not#28.itm} -pin "ACC1-3:and#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#28.itm}
+load net {ACC1:acc#396.itm(1)} -pin "ACC1-3:and#1" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#3.sva)#1.itm}
+load net {ACC1-3:and#1.itm} -pin "ACC1-3:and#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#1.itm}
+load inst "ACC1:acc#433" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64278 -attr oid 1468 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#433} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#433" {A(0)} -attr @path {/sobel/sobel:core/conc#939.itm}
+load net {ACC1:acc#227.psp.sva(1)} -pin "ACC1:acc#433" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#939.itm}
+load net {ACC1:acc#227.psp.sva(3)} -pin "ACC1:acc#433" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#939.itm}
+load net {ACC1-3:and#1.itm} -pin "ACC1:acc#433" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1325.itm}
+load net {ACC1:acc#227.psp.sva(2)} -pin "ACC1:acc#433" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1325.itm}
+load net {acc.psp#1.sva(3)} -pin "ACC1:acc#433" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1325.itm}
+load net {ACC1:acc#433.itm(0)} -pin "ACC1:acc#433" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#433.itm}
+load net {ACC1:acc#433.itm(1)} -pin "ACC1:acc#433" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#433.itm}
+load net {ACC1:acc#433.itm(2)} -pin "ACC1:acc#433" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#433.itm}
+load net {ACC1:acc#433.itm(3)} -pin "ACC1:acc#433" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#433.itm}
+load inst "ACC1-3:not#315" "not(1)" "INTERFACE" -attr xrf 64279 -attr oid 1469 -attr @path {/sobel/sobel:core/ACC1-3:not#315} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:not#315" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#41.itm}
+load net {ACC1-3:not#315.itm} -pin "ACC1-3:not#315" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#315.itm}
+load inst "ACC1-3:nand" "nand(2,1)" "INTERFACE" -attr xrf 64280 -attr oid 1470 -attr @path {/sobel/sobel:core/ACC1-3:nand} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#396.itm(2)} -pin "ACC1-3:nand" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#3.sva).itm}
+load net {ACC1-3:not#315.itm} -pin "ACC1-3:nand" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#315.itm}
+load net {ACC1-3:nand.itm} -pin "ACC1-3:nand" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand.itm}
+load inst "ACC1:acc#432" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64281 -attr oid 1471 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#432} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#432" {A(0)} -attr @path {/sobel/sobel:core/conc#940.itm}
+load net {ACC1:acc#227.psp.sva(3)} -pin "ACC1:acc#432" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#940.itm}
+load net {ACC1:acc#224.psp.sva(2)} -pin "ACC1:acc#432" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#940.itm}
+load net {ACC1-3:nand.itm} -pin "ACC1:acc#432" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1323.itm}
+load net {ACC1:acc#227.psp.sva(4)} -pin "ACC1:acc#432" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1323.itm}
+load net {ACC1:acc#228.psp.sva(2)} -pin "ACC1:acc#432" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1323.itm}
+load net {ACC1:acc#432.itm(0)} -pin "ACC1:acc#432" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#432.itm}
+load net {ACC1:acc#432.itm(1)} -pin "ACC1:acc#432" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#432.itm}
+load net {ACC1:acc#432.itm(2)} -pin "ACC1:acc#432" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#432.itm}
+load net {ACC1:acc#432.itm(3)} -pin "ACC1:acc#432" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#432.itm}
+load inst "ACC1:acc#528" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64282 -attr oid 1472 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#433.itm(1)} -pin "ACC1:acc#528" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#101.itm}
+load net {ACC1:acc#433.itm(2)} -pin "ACC1:acc#528" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#101.itm}
+load net {ACC1:acc#433.itm(3)} -pin "ACC1:acc#528" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#101.itm}
+load net {ACC1:acc#432.itm(1)} -pin "ACC1:acc#528" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#100.itm}
+load net {ACC1:acc#432.itm(2)} -pin "ACC1:acc#528" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#100.itm}
+load net {ACC1:acc#432.itm(3)} -pin "ACC1:acc#528" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#100.itm}
+load net {ACC1:acc#528.itm(0)} -pin "ACC1:acc#528" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#528.itm(1)} -pin "ACC1:acc#528" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#528.itm(2)} -pin "ACC1:acc#528" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#528.itm(3)} -pin "ACC1:acc#528" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load inst "ACC1:acc#431" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64283 -attr oid 1473 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#431} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#431" {A(0)} -attr @path {/sobel/sobel:core/conc#941.itm}
+load net {acc.psp#1.sva(1)} -pin "ACC1:acc#431" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#941.itm}
+load net {ACC1:acc#226.psp.sva(2)} -pin "ACC1:acc#431" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#941.itm}
+load net {ACC1:acc#395.itm(2)} -pin "ACC1:acc#431" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1321.itm}
+load net {acc.psp#1.sva(3)} -pin "ACC1:acc#431" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1321.itm}
+load net {ACC1:acc#224.psp#1.sva(2)} -pin "ACC1:acc#431" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1321.itm}
+load net {ACC1:acc#431.itm(0)} -pin "ACC1:acc#431" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#431.itm}
+load net {ACC1:acc#431.itm(1)} -pin "ACC1:acc#431" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#431.itm}
+load net {ACC1:acc#431.itm(2)} -pin "ACC1:acc#431" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#431.itm}
+load net {ACC1:acc#431.itm(3)} -pin "ACC1:acc#431" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#431.itm}
+load inst "ACC1:acc#430" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64284 -attr oid 1474 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#430} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#430" {A(0)} -attr @path {/sobel/sobel:core/conc#942.itm}
+load net {acc.psp#1.sva(4)} -pin "ACC1:acc#430" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#942.itm}
+load net {ACC1-1:acc#25.psp.sva(3)} -pin "ACC1:acc#430" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#942.itm}
+load net {ACC1:acc#210.psp#1.sva(3)} -pin "ACC1:acc#430" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1319.itm}
+load net {ACC1:acc#224.psp.sva(1)} -pin "ACC1:acc#430" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1319.itm}
+load net {acc#20.psp#1.sva(1)} -pin "ACC1:acc#430" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1319.itm}
+load net {ACC1:acc#430.itm(0)} -pin "ACC1:acc#430" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#430.itm}
+load net {ACC1:acc#430.itm(1)} -pin "ACC1:acc#430" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#430.itm}
+load net {ACC1:acc#430.itm(2)} -pin "ACC1:acc#430" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#430.itm}
+load net {ACC1:acc#430.itm(3)} -pin "ACC1:acc#430" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#430.itm}
+load inst "ACC1:acc#527" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64285 -attr oid 1475 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#431.itm(1)} -pin "ACC1:acc#527" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#431.itm(2)} -pin "ACC1:acc#527" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#431.itm(3)} -pin "ACC1:acc#527" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#430.itm(1)} -pin "ACC1:acc#527" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#430.itm(2)} -pin "ACC1:acc#527" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#430.itm(3)} -pin "ACC1:acc#527" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#527.itm(0)} -pin "ACC1:acc#527" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#527.itm(1)} -pin "ACC1:acc#527" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#527.itm(2)} -pin "ACC1:acc#527" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#527.itm(3)} -pin "ACC1:acc#527" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load inst "ACC1:acc#579" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64286 -attr oid 1476 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#528.itm(0)} -pin "ACC1:acc#579" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#528.itm(1)} -pin "ACC1:acc#579" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#528.itm(2)} -pin "ACC1:acc#579" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#528.itm(3)} -pin "ACC1:acc#579" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#528.itm}
+load net {ACC1:acc#527.itm(0)} -pin "ACC1:acc#579" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#527.itm(1)} -pin "ACC1:acc#579" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#527.itm(2)} -pin "ACC1:acc#579" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#527.itm(3)} -pin "ACC1:acc#579" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#527.itm}
+load net {ACC1:acc#579.itm(0)} -pin "ACC1:acc#579" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(1)} -pin "ACC1:acc#579" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(2)} -pin "ACC1:acc#579" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(3)} -pin "ACC1:acc#579" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(4)} -pin "ACC1:acc#579" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load inst "ACC1:acc#429" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64287 -attr oid 1477 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#429} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#429" {A(0)} -attr @path {/sobel/sobel:core/conc#943.itm}
+load net {ACC1:acc#224.psp.sva(2)} -pin "ACC1:acc#429" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#943.itm}
+load net {acc#20.psp#1.sva(2)} -pin "ACC1:acc#429" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#943.itm}
+load net {ACC1:acc#210.psp#1.sva(2)} -pin "ACC1:acc#429" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1317.itm}
+load net {ACC1:acc#224.psp.sva(3)} -pin "ACC1:acc#429" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1317.itm}
+load net {acc#20.psp#1.sva(3)} -pin "ACC1:acc#429" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1317.itm}
+load net {ACC1:acc#429.itm(0)} -pin "ACC1:acc#429" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#429.itm}
+load net {ACC1:acc#429.itm(1)} -pin "ACC1:acc#429" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#429.itm}
+load net {ACC1:acc#429.itm(2)} -pin "ACC1:acc#429" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#429.itm}
+load net {ACC1:acc#429.itm(3)} -pin "ACC1:acc#429" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#429.itm}
+load inst "ACC1:acc#428" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64288 -attr oid 1478 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#428} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#428" {A(0)} -attr @path {/sobel/sobel:core/conc#944.itm}
+load net {ACC1:acc#228.psp.sva(0)} -pin "ACC1:acc#428" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#944.itm}
+load net {acc#20.psp#1.sva(4)} -pin "ACC1:acc#428" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#944.itm}
+load net {ACC1:acc#210.psp#1.sva(1)} -pin "ACC1:acc#428" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1315.itm}
+load net {ACC1:acc#226.psp.sva(0)} -pin "ACC1:acc#428" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1315.itm}
+load net {ACC1:acc#217.psp#1.sva(1)} -pin "ACC1:acc#428" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1315.itm}
+load net {ACC1:acc#428.itm(0)} -pin "ACC1:acc#428" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#428.itm}
+load net {ACC1:acc#428.itm(1)} -pin "ACC1:acc#428" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#428.itm}
+load net {ACC1:acc#428.itm(2)} -pin "ACC1:acc#428" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#428.itm}
+load net {ACC1:acc#428.itm(3)} -pin "ACC1:acc#428" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#428.itm}
+load inst "ACC1:acc#526" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64289 -attr oid 1479 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#429.itm(1)} -pin "ACC1:acc#526" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#429.itm(2)} -pin "ACC1:acc#526" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#429.itm(3)} -pin "ACC1:acc#526" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#428.itm(1)} -pin "ACC1:acc#526" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#428.itm(2)} -pin "ACC1:acc#526" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#428.itm(3)} -pin "ACC1:acc#526" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#526.itm(0)} -pin "ACC1:acc#526" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#526.itm(1)} -pin "ACC1:acc#526" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#526.itm(2)} -pin "ACC1:acc#526" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#526.itm(3)} -pin "ACC1:acc#526" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load inst "ACC1:acc#427" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64290 -attr oid 1480 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#427} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#427" {A(0)} -attr @path {/sobel/sobel:core/conc#945.itm}
+load net {ACC1:acc#226.psp.sva(1)} -pin "ACC1:acc#427" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#945.itm}
+load net {ACC1:acc#217.psp#1.sva(2)} -pin "ACC1:acc#427" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#945.itm}
+load net {ACC1-1:acc#25.psp.sva(4)} -pin "ACC1:acc#427" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1313.itm}
+load net {ACC1:acc#226.psp.sva(2)} -pin "ACC1:acc#427" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1313.itm}
+load net {ACC1:acc#217.psp#1.sva(3)} -pin "ACC1:acc#427" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1313.itm}
+load net {ACC1:acc#427.itm(0)} -pin "ACC1:acc#427" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#427.itm}
+load net {ACC1:acc#427.itm(1)} -pin "ACC1:acc#427" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#427.itm}
+load net {ACC1:acc#427.itm(2)} -pin "ACC1:acc#427" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#427.itm}
+load net {ACC1:acc#427.itm(3)} -pin "ACC1:acc#427" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#427.itm}
+load inst "ACC1-3:not#313" "not(1)" "INTERFACE" -attr xrf 64291 -attr oid 1481 -attr @path {/sobel/sobel:core/ACC1-3:not#313} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(11)} -pin "ACC1-3:not#313" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#33.itm}
+load net {ACC1-3:not#313.itm} -pin "ACC1-3:not#313" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#313.itm}
+load inst "ACC1-3:nand#4" "nand(2,1)" "INTERFACE" -attr xrf 64292 -attr oid 1482 -attr @path {/sobel/sobel:core/ACC1-3:nand#4} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#424.itm(2)} -pin "ACC1-3:nand#4" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva)#2.itm}
+load net {ACC1-3:not#313.itm} -pin "ACC1-3:nand#4" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#313.itm}
+load net {ACC1-3:nand#4.itm} -pin "ACC1-3:nand#4" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand#4.itm}
+load inst "ACC1:acc#426" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64293 -attr oid 1483 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#426} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#426" {A(0)} -attr @path {/sobel/sobel:core/conc#946.itm}
+load net {ACC1:acc#226.psp.sva(3)} -pin "ACC1:acc#426" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#946.itm}
+load net {ACC1:acc#423.itm(2)} -pin "ACC1:acc#426" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#946.itm}
+load net {ACC1-1:acc#25.psp.sva(3)} -pin "ACC1:acc#426" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1311.itm}
+load net {ACC1:acc#224.psp#1.sva(0)} -pin "ACC1:acc#426" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1311.itm}
+load net {ACC1-3:nand#4.itm} -pin "ACC1:acc#426" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1311.itm}
+load net {ACC1:acc#426.itm(0)} -pin "ACC1:acc#426" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#426.itm}
+load net {ACC1:acc#426.itm(1)} -pin "ACC1:acc#426" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#426.itm}
+load net {ACC1:acc#426.itm(2)} -pin "ACC1:acc#426" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#426.itm}
+load net {ACC1:acc#426.itm(3)} -pin "ACC1:acc#426" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#426.itm}
+load inst "ACC1:acc#525" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64294 -attr oid 1484 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#427.itm(1)} -pin "ACC1:acc#525" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#427.itm(2)} -pin "ACC1:acc#525" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#427.itm(3)} -pin "ACC1:acc#525" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#426.itm(1)} -pin "ACC1:acc#525" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#426.itm(2)} -pin "ACC1:acc#525" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#426.itm(3)} -pin "ACC1:acc#525" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#525.itm(0)} -pin "ACC1:acc#525" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#525.itm(1)} -pin "ACC1:acc#525" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#525.itm(2)} -pin "ACC1:acc#525" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#525.itm(3)} -pin "ACC1:acc#525" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load inst "ACC1:acc#578" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64295 -attr oid 1485 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#526.itm(0)} -pin "ACC1:acc#578" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#526.itm(1)} -pin "ACC1:acc#578" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#526.itm(2)} -pin "ACC1:acc#578" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#526.itm(3)} -pin "ACC1:acc#578" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#526.itm}
+load net {ACC1:acc#525.itm(0)} -pin "ACC1:acc#578" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#525.itm(1)} -pin "ACC1:acc#578" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#525.itm(2)} -pin "ACC1:acc#578" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#525.itm(3)} -pin "ACC1:acc#578" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#525.itm}
+load net {ACC1:acc#578.itm(0)} -pin "ACC1:acc#578" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(1)} -pin "ACC1:acc#578" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(2)} -pin "ACC1:acc#578" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(3)} -pin "ACC1:acc#578" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(4)} -pin "ACC1:acc#578" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load inst "ACC1:acc#604" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64296 -attr oid 1486 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#579.itm(0)} -pin "ACC1:acc#604" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(1)} -pin "ACC1:acc#604" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(2)} -pin "ACC1:acc#604" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(3)} -pin "ACC1:acc#604" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#579.itm(4)} -pin "ACC1:acc#604" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#579.itm}
+load net {ACC1:acc#578.itm(0)} -pin "ACC1:acc#604" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(1)} -pin "ACC1:acc#604" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(2)} -pin "ACC1:acc#604" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(3)} -pin "ACC1:acc#604" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#578.itm(4)} -pin "ACC1:acc#604" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#578.itm}
+load net {ACC1:acc#604.itm(0)} -pin "ACC1:acc#604" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(1)} -pin "ACC1:acc#604" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(2)} -pin "ACC1:acc#604" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(3)} -pin "ACC1:acc#604" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(4)} -pin "ACC1:acc#604" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(5)} -pin "ACC1:acc#604" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load inst "ACC1:acc#622" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 64297 -attr oid 1487 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:acc#605.itm(0)} -pin "ACC1:acc#622" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(1)} -pin "ACC1:acc#622" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(2)} -pin "ACC1:acc#622" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(3)} -pin "ACC1:acc#622" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(4)} -pin "ACC1:acc#622" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#605.itm(5)} -pin "ACC1:acc#622" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#605.itm}
+load net {ACC1:acc#604.itm(0)} -pin "ACC1:acc#622" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(1)} -pin "ACC1:acc#622" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(2)} -pin "ACC1:acc#622" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(3)} -pin "ACC1:acc#622" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(4)} -pin "ACC1:acc#622" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#604.itm(5)} -pin "ACC1:acc#622" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#604.itm}
+load net {ACC1:acc#622.itm(0)} -pin "ACC1:acc#622" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(1)} -pin "ACC1:acc#622" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(2)} -pin "ACC1:acc#622" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(3)} -pin "ACC1:acc#622" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(4)} -pin "ACC1:acc#622" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(5)} -pin "ACC1:acc#622" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(6)} -pin "ACC1:acc#622" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load inst "ACC1:acc#636" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64298 -attr oid 1488 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#623.itm(0)} -pin "ACC1:acc#636" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(1)} -pin "ACC1:acc#636" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(2)} -pin "ACC1:acc#636" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(3)} -pin "ACC1:acc#636" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(4)} -pin "ACC1:acc#636" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(5)} -pin "ACC1:acc#636" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#623.itm(6)} -pin "ACC1:acc#636" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#623.itm}
+load net {ACC1:acc#622.itm(0)} -pin "ACC1:acc#636" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(1)} -pin "ACC1:acc#636" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(2)} -pin "ACC1:acc#636" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(3)} -pin "ACC1:acc#636" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(4)} -pin "ACC1:acc#636" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(5)} -pin "ACC1:acc#636" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#622.itm(6)} -pin "ACC1:acc#636" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#622.itm}
+load net {ACC1:acc#636.itm(0)} -pin "ACC1:acc#636" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(1)} -pin "ACC1:acc#636" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(2)} -pin "ACC1:acc#636" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(3)} -pin "ACC1:acc#636" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(4)} -pin "ACC1:acc#636" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(5)} -pin "ACC1:acc#636" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(6)} -pin "ACC1:acc#636" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(7)} -pin "ACC1:acc#636" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load inst "ACC1:acc#721" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64299 -attr oid 1489 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#721} -attr area 4.303074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {acc#20.psp#1.sva(6)} -pin "ACC1:acc#721" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#947.itm}
+load net {GND} -pin "ACC1:acc#721" {A(1)} -attr @path {/sobel/sobel:core/conc#947.itm}
+load net {acc#20.psp#1.sva(6)} -pin "ACC1:acc#721" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#947.itm}
+load net {ACC1:acc#226.psp.sva(4)} -pin "ACC1:acc#721" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1119.itm}
+load net {acc#20.psp#1.sva(5)} -pin "ACC1:acc#721" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1119.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#721" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1119.itm}
+load net {ACC1:acc#721.itm(0)} -pin "ACC1:acc#721" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#721.itm}
+load net {ACC1:acc#721.itm(1)} -pin "ACC1:acc#721" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#721.itm}
+load net {ACC1:acc#721.itm(2)} -pin "ACC1:acc#721" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#721.itm}
+load net {ACC1:acc#721.itm(3)} -pin "ACC1:acc#721" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#721.itm}
+load inst "ACC1:acc#722" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64300 -attr oid 1490 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#722} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#228.psp.sva(1)} -pin "ACC1:acc#722" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1453.itm}
+load net {acc#20.psp#1.sva(6)} -pin "ACC1:acc#722" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1453.itm}
+load net {ACC1:acc#224.psp.sva(10)} -pin "ACC1:acc#722" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1544.itm}
+load net {ACC1:acc#224.psp.sva(10)} -pin "ACC1:acc#722" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1544.itm}
+load net {ACC1:acc#722.itm(0)} -pin "ACC1:acc#722" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#722.itm}
+load net {ACC1:acc#722.itm(1)} -pin "ACC1:acc#722" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#722.itm}
+load net {ACC1:acc#722.itm(2)} -pin "ACC1:acc#722" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#722.itm}
+load inst "ACC1:acc#723" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64301 -attr oid 1491 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#723} -attr area 4.303074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#226.psp.sva(6)} -pin "ACC1:acc#723" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1120.itm}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1:acc#723" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1120.itm}
+load net {ACC1:acc#224.psp.sva(6)} -pin "ACC1:acc#723" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1120.itm}
+load net {ACC1:acc#227.psp.sva(5)} -pin "ACC1:acc#723" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#948.itm}
+load net {GND} -pin "ACC1:acc#723" {B(1)} -attr @path {/sobel/sobel:core/conc#948.itm}
+load net {ACC1:acc#228.psp.sva(6)} -pin "ACC1:acc#723" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#948.itm}
+load net {ACC1:acc#723.itm(0)} -pin "ACC1:acc#723" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#723.itm}
+load net {ACC1:acc#723.itm(1)} -pin "ACC1:acc#723" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#723.itm}
+load net {ACC1:acc#723.itm(2)} -pin "ACC1:acc#723" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#723.itm}
+load net {ACC1:acc#723.itm(3)} -pin "ACC1:acc#723" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#723.itm}
+load inst "ACC1:acc#634" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64302 -attr oid 1492 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#722.itm(0)} -pin "ACC1:acc#634" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#722.itm(1)} -pin "ACC1:acc#634" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#722.itm(2)} -pin "ACC1:acc#634" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#721.itm(0)} -pin "ACC1:acc#634" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#721.itm(1)} -pin "ACC1:acc#634" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#721.itm(2)} -pin "ACC1:acc#634" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#721.itm(3)} -pin "ACC1:acc#634" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1452.itm}
+load net {ACC1:acc#724.cse(0)} -pin "ACC1:acc#634" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#724.cse(1)} -pin "ACC1:acc#634" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#724.cse(2)} -pin "ACC1:acc#634" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#723.itm(0)} -pin "ACC1:acc#634" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#723.itm(1)} -pin "ACC1:acc#634" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#723.itm(2)} -pin "ACC1:acc#634" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#723.itm(3)} -pin "ACC1:acc#634" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1454.itm}
+load net {ACC1:acc#634.itm(0)} -pin "ACC1:acc#634" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(1)} -pin "ACC1:acc#634" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(2)} -pin "ACC1:acc#634" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(3)} -pin "ACC1:acc#634" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(4)} -pin "ACC1:acc#634" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(5)} -pin "ACC1:acc#634" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(6)} -pin "ACC1:acc#634" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(7)} -pin "ACC1:acc#634" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load inst "ACC1:acc#644" "add(8,0,8,0,9)" "INTERFACE" -attr xrf 64303 -attr oid 1493 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644} -attr area 9.258614 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,0,9)"
+load net {ACC1:acc#636.itm(0)} -pin "ACC1:acc#644" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(1)} -pin "ACC1:acc#644" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(2)} -pin "ACC1:acc#644" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(3)} -pin "ACC1:acc#644" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(4)} -pin "ACC1:acc#644" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(5)} -pin "ACC1:acc#644" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(6)} -pin "ACC1:acc#644" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#636.itm(7)} -pin "ACC1:acc#644" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#636.itm}
+load net {ACC1:acc#634.itm(0)} -pin "ACC1:acc#644" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(1)} -pin "ACC1:acc#644" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(2)} -pin "ACC1:acc#644" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(3)} -pin "ACC1:acc#644" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(4)} -pin "ACC1:acc#644" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(5)} -pin "ACC1:acc#644" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(6)} -pin "ACC1:acc#644" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#634.itm(7)} -pin "ACC1:acc#644" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#634.itm}
+load net {ACC1:acc#644.itm(0)} -pin "ACC1:acc#644" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(1)} -pin "ACC1:acc#644" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(2)} -pin "ACC1:acc#644" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(3)} -pin "ACC1:acc#644" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(4)} -pin "ACC1:acc#644" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(5)} -pin "ACC1:acc#644" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(6)} -pin "ACC1:acc#644" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(7)} -pin "ACC1:acc#644" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(8)} -pin "ACC1:acc#644" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load inst "ACC1:acc#725" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64304 -attr oid 1494 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#725} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#226.psp.sva(6)} -pin "ACC1:acc#725" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#36.itm}
+load net {ACC1:acc#224.psp#1.sva(6)} -pin "ACC1:acc#725" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#42.itm}
+load net {ACC1:acc#725.itm(0)} -pin "ACC1:acc#725" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#725.itm}
+load net {ACC1:acc#725.itm(1)} -pin "ACC1:acc#725" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#725.itm}
+load inst "ACC1:acc#726" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64305 -attr oid 1495 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#726} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#227.psp.sva(7)} -pin "ACC1:acc#726" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#2.itm}
+load net {acc.psp#1.sva(5)} -pin "ACC1:acc#726" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#9.itm}
+load net {ACC1:acc#726.itm(0)} -pin "ACC1:acc#726" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#726.itm}
+load net {ACC1:acc#726.itm(1)} -pin "ACC1:acc#726" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#726.itm}
+load inst "ACC1:acc#728" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64306 -attr oid 1496 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#728} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1-1:acc#25.psp.sva(7)} -pin "ACC1:acc#728" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#19.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#728" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#81.itm}
+load net {ACC1:acc#728.itm(0)} -pin "ACC1:acc#728" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#728.itm}
+load net {ACC1:acc#728.itm(1)} -pin "ACC1:acc#728" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#728.itm}
+load inst "ACC1:acc#729" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64307 -attr oid 1497 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#729} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#729" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#8.itm}
+load net {ACC1:acc#224.psp.sva(4)} -pin "ACC1:acc#729" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#41.itm}
+load net {ACC1:acc#729.itm(0)} -pin "ACC1:acc#729" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#729.itm}
+load net {ACC1:acc#729.itm(1)} -pin "ACC1:acc#729" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#729.itm}
+load inst "ACC1:acc#633" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64308 -attr oid 1498 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#724.cse(0)} -pin "ACC1:acc#633" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#724.cse(1)} -pin "ACC1:acc#633" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#724.cse(2)} -pin "ACC1:acc#633" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#726.itm(0)} -pin "ACC1:acc#633" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#726.itm(1)} -pin "ACC1:acc#633" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#725.itm(0)} -pin "ACC1:acc#633" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#725.itm(1)} -pin "ACC1:acc#633" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1455.itm}
+load net {ACC1:acc#724.cse(0)} -pin "ACC1:acc#633" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#724.cse(1)} -pin "ACC1:acc#633" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#724.cse(2)} -pin "ACC1:acc#633" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#729.itm(0)} -pin "ACC1:acc#633" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#729.itm(1)} -pin "ACC1:acc#633" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#728.itm(0)} -pin "ACC1:acc#633" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#728.itm(1)} -pin "ACC1:acc#633" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1456.itm}
+load net {ACC1:acc#633.itm(0)} -pin "ACC1:acc#633" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(1)} -pin "ACC1:acc#633" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(2)} -pin "ACC1:acc#633" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(3)} -pin "ACC1:acc#633" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(4)} -pin "ACC1:acc#633" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(5)} -pin "ACC1:acc#633" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(6)} -pin "ACC1:acc#633" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(7)} -pin "ACC1:acc#633" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load inst "ACC1:acc#632" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64309 -attr oid 1499 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#632" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1032.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#632" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1029.itm}
+load net {ACC1:acc#632.itm(0)} -pin "ACC1:acc#632" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(1)} -pin "ACC1:acc#632" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(2)} -pin "ACC1:acc#632" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(3)} -pin "ACC1:acc#632" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(4)} -pin "ACC1:acc#632" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(5)} -pin "ACC1:acc#632" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(6)} -pin "ACC1:acc#632" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(7)} -pin "ACC1:acc#632" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load inst "ACC1:acc#643" "add(8,0,8,0,9)" "INTERFACE" -attr xrf 64310 -attr oid 1500 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643} -attr area 9.258614 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,0,9)"
+load net {ACC1:acc#633.itm(0)} -pin "ACC1:acc#643" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(1)} -pin "ACC1:acc#643" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(2)} -pin "ACC1:acc#643" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(3)} -pin "ACC1:acc#643" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(4)} -pin "ACC1:acc#643" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(5)} -pin "ACC1:acc#643" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(6)} -pin "ACC1:acc#643" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#633.itm(7)} -pin "ACC1:acc#643" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#633.itm}
+load net {ACC1:acc#632.itm(0)} -pin "ACC1:acc#643" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(1)} -pin "ACC1:acc#643" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(2)} -pin "ACC1:acc#643" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(3)} -pin "ACC1:acc#643" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(4)} -pin "ACC1:acc#643" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(5)} -pin "ACC1:acc#643" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(6)} -pin "ACC1:acc#643" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#632.itm(7)} -pin "ACC1:acc#643" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#632.itm}
+load net {ACC1:acc#643.itm(0)} -pin "ACC1:acc#643" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(1)} -pin "ACC1:acc#643" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(2)} -pin "ACC1:acc#643" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(3)} -pin "ACC1:acc#643" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(4)} -pin "ACC1:acc#643" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(5)} -pin "ACC1:acc#643" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(6)} -pin "ACC1:acc#643" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(7)} -pin "ACC1:acc#643" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(8)} -pin "ACC1:acc#643" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load inst "ACC1:acc#649" "add(9,0,9,0,10)" "INTERFACE" -attr xrf 64311 -attr oid 1501 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649} -attr area 10.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,0,10)"
+load net {ACC1:acc#644.itm(0)} -pin "ACC1:acc#649" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(1)} -pin "ACC1:acc#649" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(2)} -pin "ACC1:acc#649" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(3)} -pin "ACC1:acc#649" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(4)} -pin "ACC1:acc#649" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(5)} -pin "ACC1:acc#649" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(6)} -pin "ACC1:acc#649" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(7)} -pin "ACC1:acc#649" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#644.itm(8)} -pin "ACC1:acc#649" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#644.itm}
+load net {ACC1:acc#643.itm(0)} -pin "ACC1:acc#649" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(1)} -pin "ACC1:acc#649" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(2)} -pin "ACC1:acc#649" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(3)} -pin "ACC1:acc#649" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(4)} -pin "ACC1:acc#649" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(5)} -pin "ACC1:acc#649" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(6)} -pin "ACC1:acc#649" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(7)} -pin "ACC1:acc#649" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#643.itm(8)} -pin "ACC1:acc#649" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#643.itm}
+load net {ACC1:acc#649.itm(0)} -pin "ACC1:acc#649" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(1)} -pin "ACC1:acc#649" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(2)} -pin "ACC1:acc#649" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(3)} -pin "ACC1:acc#649" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(4)} -pin "ACC1:acc#649" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(5)} -pin "ACC1:acc#649" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(6)} -pin "ACC1:acc#649" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(7)} -pin "ACC1:acc#649" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(8)} -pin "ACC1:acc#649" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(9)} -pin "ACC1:acc#649" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load inst "ACC1:acc#631" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64312 -attr oid 1502 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#631" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1035.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#631" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1032.itm}
+load net {ACC1:acc#631.itm(0)} -pin "ACC1:acc#631" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(1)} -pin "ACC1:acc#631" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(2)} -pin "ACC1:acc#631" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(3)} -pin "ACC1:acc#631" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(4)} -pin "ACC1:acc#631" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(5)} -pin "ACC1:acc#631" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(6)} -pin "ACC1:acc#631" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(7)} -pin "ACC1:acc#631" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load inst "ACC1:acc#630" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64313 -attr oid 1503 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {GND} -pin "ACC1:acc#630" {A(2)} -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/exs#94.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#630" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1040.itm}
+load net {ACC1:acc#630.itm(0)} -pin "ACC1:acc#630" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(1)} -pin "ACC1:acc#630" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(2)} -pin "ACC1:acc#630" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(3)} -pin "ACC1:acc#630" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(4)} -pin "ACC1:acc#630" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(5)} -pin "ACC1:acc#630" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(6)} -pin "ACC1:acc#630" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(7)} -pin "ACC1:acc#630" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load inst "ACC1:acc#642" "add(8,0,8,0,9)" "INTERFACE" -attr xrf 64314 -attr oid 1504 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642} -attr area 9.258614 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,0,9)"
+load net {ACC1:acc#631.itm(0)} -pin "ACC1:acc#642" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(1)} -pin "ACC1:acc#642" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(2)} -pin "ACC1:acc#642" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(3)} -pin "ACC1:acc#642" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(4)} -pin "ACC1:acc#642" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(5)} -pin "ACC1:acc#642" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(6)} -pin "ACC1:acc#642" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#631.itm(7)} -pin "ACC1:acc#642" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#631.itm}
+load net {ACC1:acc#630.itm(0)} -pin "ACC1:acc#642" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(1)} -pin "ACC1:acc#642" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(2)} -pin "ACC1:acc#642" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(3)} -pin "ACC1:acc#642" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(4)} -pin "ACC1:acc#642" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(5)} -pin "ACC1:acc#642" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(6)} -pin "ACC1:acc#642" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#630.itm(7)} -pin "ACC1:acc#642" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#630.itm}
+load net {ACC1:acc#642.itm(0)} -pin "ACC1:acc#642" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(1)} -pin "ACC1:acc#642" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(2)} -pin "ACC1:acc#642" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(3)} -pin "ACC1:acc#642" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(4)} -pin "ACC1:acc#642" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(5)} -pin "ACC1:acc#642" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(6)} -pin "ACC1:acc#642" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(7)} -pin "ACC1:acc#642" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(8)} -pin "ACC1:acc#642" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load inst "ACC1:acc#629" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64315 -attr oid 1505 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#629" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#629" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {GND} -pin "ACC1:acc#629" {A(2)} -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#629" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#629" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#629" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#629" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/exs#95.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#629" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1035.itm}
+load net {ACC1:acc#629.itm(0)} -pin "ACC1:acc#629" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(1)} -pin "ACC1:acc#629" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(2)} -pin "ACC1:acc#629" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(3)} -pin "ACC1:acc#629" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(4)} -pin "ACC1:acc#629" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(5)} -pin "ACC1:acc#629" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(6)} -pin "ACC1:acc#629" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(7)} -pin "ACC1:acc#629" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load inst "ACC1:acc#628" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64316 -attr oid 1506 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#628" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#628" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {GND} -pin "ACC1:acc#628" {A(2)} -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#628" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#628" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#628" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#628" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/exs#96.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#628" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1051.itm}
+load net {ACC1:acc#628.itm(0)} -pin "ACC1:acc#628" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(1)} -pin "ACC1:acc#628" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(2)} -pin "ACC1:acc#628" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(3)} -pin "ACC1:acc#628" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(4)} -pin "ACC1:acc#628" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(5)} -pin "ACC1:acc#628" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(6)} -pin "ACC1:acc#628" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(7)} -pin "ACC1:acc#628" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load inst "ACC1:acc#641" "add(8,0,8,0,9)" "INTERFACE" -attr xrf 64317 -attr oid 1507 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641} -attr area 9.258614 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,0,9)"
+load net {ACC1:acc#629.itm(0)} -pin "ACC1:acc#641" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(1)} -pin "ACC1:acc#641" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(2)} -pin "ACC1:acc#641" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(3)} -pin "ACC1:acc#641" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(4)} -pin "ACC1:acc#641" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(5)} -pin "ACC1:acc#641" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(6)} -pin "ACC1:acc#641" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#629.itm(7)} -pin "ACC1:acc#641" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#629.itm}
+load net {ACC1:acc#628.itm(0)} -pin "ACC1:acc#641" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(1)} -pin "ACC1:acc#641" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(2)} -pin "ACC1:acc#641" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(3)} -pin "ACC1:acc#641" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(4)} -pin "ACC1:acc#641" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(5)} -pin "ACC1:acc#641" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(6)} -pin "ACC1:acc#641" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#628.itm(7)} -pin "ACC1:acc#641" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#628.itm}
+load net {ACC1:acc#641.itm(0)} -pin "ACC1:acc#641" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(1)} -pin "ACC1:acc#641" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(2)} -pin "ACC1:acc#641" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(3)} -pin "ACC1:acc#641" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(4)} -pin "ACC1:acc#641" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(5)} -pin "ACC1:acc#641" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(6)} -pin "ACC1:acc#641" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(7)} -pin "ACC1:acc#641" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(8)} -pin "ACC1:acc#641" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load inst "ACC1:acc#648" "add(9,0,9,0,10)" "INTERFACE" -attr xrf 64318 -attr oid 1508 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648} -attr area 10.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,0,10)"
+load net {ACC1:acc#642.itm(0)} -pin "ACC1:acc#648" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(1)} -pin "ACC1:acc#648" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(2)} -pin "ACC1:acc#648" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(3)} -pin "ACC1:acc#648" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(4)} -pin "ACC1:acc#648" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(5)} -pin "ACC1:acc#648" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(6)} -pin "ACC1:acc#648" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(7)} -pin "ACC1:acc#648" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#642.itm(8)} -pin "ACC1:acc#648" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#642.itm}
+load net {ACC1:acc#641.itm(0)} -pin "ACC1:acc#648" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(1)} -pin "ACC1:acc#648" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(2)} -pin "ACC1:acc#648" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(3)} -pin "ACC1:acc#648" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(4)} -pin "ACC1:acc#648" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(5)} -pin "ACC1:acc#648" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(6)} -pin "ACC1:acc#648" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(7)} -pin "ACC1:acc#648" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#641.itm(8)} -pin "ACC1:acc#648" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#641.itm}
+load net {ACC1:acc#648.itm(0)} -pin "ACC1:acc#648" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(1)} -pin "ACC1:acc#648" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(2)} -pin "ACC1:acc#648" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(3)} -pin "ACC1:acc#648" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(4)} -pin "ACC1:acc#648" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(5)} -pin "ACC1:acc#648" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(6)} -pin "ACC1:acc#648" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(7)} -pin "ACC1:acc#648" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(8)} -pin "ACC1:acc#648" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(9)} -pin "ACC1:acc#648" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load inst "ACC1:acc#652" "add(10,0,10,0,11)" "INTERFACE" -attr xrf 64319 -attr oid 1509 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652} -attr area 11.241230 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,0,11)"
+load net {ACC1:acc#649.itm(0)} -pin "ACC1:acc#652" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(1)} -pin "ACC1:acc#652" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(2)} -pin "ACC1:acc#652" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(3)} -pin "ACC1:acc#652" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(4)} -pin "ACC1:acc#652" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(5)} -pin "ACC1:acc#652" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(6)} -pin "ACC1:acc#652" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(7)} -pin "ACC1:acc#652" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(8)} -pin "ACC1:acc#652" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#649.itm(9)} -pin "ACC1:acc#652" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#649.itm}
+load net {ACC1:acc#648.itm(0)} -pin "ACC1:acc#652" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(1)} -pin "ACC1:acc#652" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(2)} -pin "ACC1:acc#652" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(3)} -pin "ACC1:acc#652" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(4)} -pin "ACC1:acc#652" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(5)} -pin "ACC1:acc#652" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(6)} -pin "ACC1:acc#652" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(7)} -pin "ACC1:acc#652" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(8)} -pin "ACC1:acc#652" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#648.itm(9)} -pin "ACC1:acc#652" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#648.itm}
+load net {ACC1:acc#652.itm(0)} -pin "ACC1:acc#652" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(1)} -pin "ACC1:acc#652" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(2)} -pin "ACC1:acc#652" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(3)} -pin "ACC1:acc#652" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(4)} -pin "ACC1:acc#652" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(5)} -pin "ACC1:acc#652" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(6)} -pin "ACC1:acc#652" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(7)} -pin "ACC1:acc#652" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(8)} -pin "ACC1:acc#652" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(9)} -pin "ACC1:acc#652" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(10)} -pin "ACC1:acc#652" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load inst "reg(ACC1:acc#652.itm#1)" "reg(11,1,1,-1,0)" "INTERFACE" -attr xrf 64320 -attr oid 1510 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#652.itm#1)}
+load net {ACC1:acc#652.itm(0)} -pin "reg(ACC1:acc#652.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(1)} -pin "reg(ACC1:acc#652.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(2)} -pin "reg(ACC1:acc#652.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(3)} -pin "reg(ACC1:acc#652.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(4)} -pin "reg(ACC1:acc#652.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(5)} -pin "reg(ACC1:acc#652.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(6)} -pin "reg(ACC1:acc#652.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(7)} -pin "reg(ACC1:acc#652.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(8)} -pin "reg(ACC1:acc#652.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(9)} -pin "reg(ACC1:acc#652.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {ACC1:acc#652.itm(10)} -pin "reg(ACC1:acc#652.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(ACC1:acc#652.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_11}
+load net {clk} -pin "reg(ACC1:acc#652.itm#1)" {clk} -attr xrf 64321 -attr oid 1511 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#652.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#652.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#652.itm#1(0)} -pin "reg(ACC1:acc#652.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(1)} -pin "reg(ACC1:acc#652.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(2)} -pin "reg(ACC1:acc#652.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(3)} -pin "reg(ACC1:acc#652.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(4)} -pin "reg(ACC1:acc#652.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(5)} -pin "reg(ACC1:acc#652.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(6)} -pin "reg(ACC1:acc#652.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(7)} -pin "reg(ACC1:acc#652.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(8)} -pin "reg(ACC1:acc#652.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(9)} -pin "reg(ACC1:acc#652.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(10)} -pin "reg(ACC1:acc#652.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load inst "ACC1:acc#564" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64322 -attr oid 1512 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#509.cse(0)} -pin "ACC1:acc#564" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(1)} -pin "ACC1:acc#564" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(2)} -pin "ACC1:acc#564" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(0)} -pin "ACC1:acc#564" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(1)} -pin "ACC1:acc#564" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(2)} -pin "ACC1:acc#564" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#564.itm(0)} -pin "ACC1:acc#564" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#564.itm(1)} -pin "ACC1:acc#564" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#564.itm(2)} -pin "ACC1:acc#564" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#564.itm(3)} -pin "ACC1:acc#564" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load inst "ACC1:acc#507" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64323 -attr oid 1513 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#507" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1058.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#507" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1058.itm}
+load net {ACC1:acc#227.psp.sva(5)} -pin "ACC1:acc#507" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1031.itm}
+load net {ACC1:acc#227.psp.sva(5)} -pin "ACC1:acc#507" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1031.itm}
+load net {ACC1:acc#507.itm(0)} -pin "ACC1:acc#507" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load net {ACC1:acc#507.itm(1)} -pin "ACC1:acc#507" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load net {ACC1:acc#507.itm(2)} -pin "ACC1:acc#507" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load inst "ACC1:acc#563" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64324 -attr oid 1514 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#507.itm(0)} -pin "ACC1:acc#563" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load net {ACC1:acc#507.itm(1)} -pin "ACC1:acc#563" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load net {ACC1:acc#507.itm(2)} -pin "ACC1:acc#563" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#507.itm}
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#563" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#563" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#563" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#563.itm(0)} -pin "ACC1:acc#563" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#563.itm(1)} -pin "ACC1:acc#563" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#563.itm(2)} -pin "ACC1:acc#563" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#563.itm(3)} -pin "ACC1:acc#563" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load inst "ACC1:acc#597" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64325 -attr oid 1515 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#564.itm(0)} -pin "ACC1:acc#597" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#564.itm(1)} -pin "ACC1:acc#597" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#564.itm(2)} -pin "ACC1:acc#597" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#564.itm(3)} -pin "ACC1:acc#597" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#564.itm}
+load net {ACC1:acc#563.itm(0)} -pin "ACC1:acc#597" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#563.itm(1)} -pin "ACC1:acc#597" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#563.itm(2)} -pin "ACC1:acc#597" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#563.itm(3)} -pin "ACC1:acc#597" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#563.itm}
+load net {ACC1:acc#597.itm(0)} -pin "ACC1:acc#597" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(1)} -pin "ACC1:acc#597" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(2)} -pin "ACC1:acc#597" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(3)} -pin "ACC1:acc#597" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(4)} -pin "ACC1:acc#597" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load inst "ACC1:acc#561" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64326 -attr oid 1516 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#561" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#561" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#561" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#502.cse(0)} -pin "ACC1:acc#561" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#502.cse(1)} -pin "ACC1:acc#561" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#502.cse(2)} -pin "ACC1:acc#561" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#561.itm(0)} -pin "ACC1:acc#561" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#561.itm(1)} -pin "ACC1:acc#561" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#561.itm(2)} -pin "ACC1:acc#561" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#561.itm(3)} -pin "ACC1:acc#561" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load inst "ACC1:acc#596" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64327 -attr oid 1517 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#562.ncse(0)} -pin "ACC1:acc#596" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(1)} -pin "ACC1:acc#596" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(2)} -pin "ACC1:acc#596" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(3)} -pin "ACC1:acc#596" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#561.itm(0)} -pin "ACC1:acc#596" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#561.itm(1)} -pin "ACC1:acc#596" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#561.itm(2)} -pin "ACC1:acc#596" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#561.itm(3)} -pin "ACC1:acc#596" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#561.itm}
+load net {ACC1:acc#596.itm(0)} -pin "ACC1:acc#596" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(1)} -pin "ACC1:acc#596" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(2)} -pin "ACC1:acc#596" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(3)} -pin "ACC1:acc#596" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(4)} -pin "ACC1:acc#596" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load inst "ACC1:acc#613" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64328 -attr oid 1518 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#597.itm(0)} -pin "ACC1:acc#613" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(1)} -pin "ACC1:acc#613" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(2)} -pin "ACC1:acc#613" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(3)} -pin "ACC1:acc#613" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#597.itm(4)} -pin "ACC1:acc#613" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#597.itm}
+load net {ACC1:acc#596.itm(0)} -pin "ACC1:acc#613" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(1)} -pin "ACC1:acc#613" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(2)} -pin "ACC1:acc#613" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(3)} -pin "ACC1:acc#613" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#596.itm(4)} -pin "ACC1:acc#613" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#596.itm}
+load net {ACC1:acc#613.itm(0)} -pin "ACC1:acc#613" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(1)} -pin "ACC1:acc#613" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(2)} -pin "ACC1:acc#613" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(3)} -pin "ACC1:acc#613" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(4)} -pin "ACC1:acc#613" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(5)} -pin "ACC1:acc#613" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load inst "ACC1:acc#499" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64329 -attr oid 1519 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#499" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1053.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#499" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1053.itm}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1:acc#499" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#72.itm}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1:acc#499" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#72.itm}
+load net {ACC1:acc#499.itm(0)} -pin "ACC1:acc#499" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load net {ACC1:acc#499.itm(1)} -pin "ACC1:acc#499" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load net {ACC1:acc#499.itm(2)} -pin "ACC1:acc#499" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load inst "ACC1:acc#498" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64330 -attr oid 1520 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#498" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#73.itm}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#498" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#73.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#498" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1054.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#498" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1054.itm}
+load net {ACC1:acc#498.itm(0)} -pin "ACC1:acc#498" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load net {ACC1:acc#498.itm(1)} -pin "ACC1:acc#498" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load net {ACC1:acc#498.itm(2)} -pin "ACC1:acc#498" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load inst "ACC1:acc#559" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64331 -attr oid 1521 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#499.itm(0)} -pin "ACC1:acc#559" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load net {ACC1:acc#499.itm(1)} -pin "ACC1:acc#559" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load net {ACC1:acc#499.itm(2)} -pin "ACC1:acc#559" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#499.itm}
+load net {ACC1:acc#498.itm(0)} -pin "ACC1:acc#559" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load net {ACC1:acc#498.itm(1)} -pin "ACC1:acc#559" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load net {ACC1:acc#498.itm(2)} -pin "ACC1:acc#559" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#498.itm}
+load net {ACC1:acc#559.itm(0)} -pin "ACC1:acc#559" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#559.itm(1)} -pin "ACC1:acc#559" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#559.itm(2)} -pin "ACC1:acc#559" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#559.itm(3)} -pin "ACC1:acc#559" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load inst "ACC1:acc#595" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64332 -attr oid 1522 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#562.ncse(0)} -pin "ACC1:acc#595" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(1)} -pin "ACC1:acc#595" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(2)} -pin "ACC1:acc#595" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(3)} -pin "ACC1:acc#595" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#559.itm(0)} -pin "ACC1:acc#595" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#559.itm(1)} -pin "ACC1:acc#595" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#559.itm(2)} -pin "ACC1:acc#595" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#559.itm(3)} -pin "ACC1:acc#595" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#559.itm}
+load net {ACC1:acc#595.itm(0)} -pin "ACC1:acc#595" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(1)} -pin "ACC1:acc#595" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(2)} -pin "ACC1:acc#595" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(3)} -pin "ACC1:acc#595" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(4)} -pin "ACC1:acc#595" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load inst "ACC1:acc#594" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64333 -attr oid 1523 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#562.ncse(0)} -pin "ACC1:acc#594" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(1)} -pin "ACC1:acc#594" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(2)} -pin "ACC1:acc#594" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(3)} -pin "ACC1:acc#594" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(0)} -pin "ACC1:acc#594" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(1)} -pin "ACC1:acc#594" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(2)} -pin "ACC1:acc#594" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(3)} -pin "ACC1:acc#594" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#594.itm(0)} -pin "ACC1:acc#594" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(1)} -pin "ACC1:acc#594" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(2)} -pin "ACC1:acc#594" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(3)} -pin "ACC1:acc#594" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(4)} -pin "ACC1:acc#594" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load inst "ACC1:acc#612" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64334 -attr oid 1524 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#595.itm(0)} -pin "ACC1:acc#612" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(1)} -pin "ACC1:acc#612" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(2)} -pin "ACC1:acc#612" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(3)} -pin "ACC1:acc#612" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#595.itm(4)} -pin "ACC1:acc#612" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#595.itm}
+load net {ACC1:acc#594.itm(0)} -pin "ACC1:acc#612" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(1)} -pin "ACC1:acc#612" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(2)} -pin "ACC1:acc#612" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(3)} -pin "ACC1:acc#612" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#594.itm(4)} -pin "ACC1:acc#612" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#594.itm}
+load net {ACC1:acc#612.itm(0)} -pin "ACC1:acc#612" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(1)} -pin "ACC1:acc#612" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(2)} -pin "ACC1:acc#612" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(3)} -pin "ACC1:acc#612" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(4)} -pin "ACC1:acc#612" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(5)} -pin "ACC1:acc#612" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load inst "ACC1:acc#626" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 64335 -attr oid 1525 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:acc#613.itm(0)} -pin "ACC1:acc#626" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(1)} -pin "ACC1:acc#626" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(2)} -pin "ACC1:acc#626" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(3)} -pin "ACC1:acc#626" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(4)} -pin "ACC1:acc#626" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#613.itm(5)} -pin "ACC1:acc#626" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#613.itm}
+load net {ACC1:acc#612.itm(0)} -pin "ACC1:acc#626" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(1)} -pin "ACC1:acc#626" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(2)} -pin "ACC1:acc#626" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(3)} -pin "ACC1:acc#626" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(4)} -pin "ACC1:acc#626" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#612.itm(5)} -pin "ACC1:acc#626" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#612.itm}
+load net {ACC1:acc#626.itm(0)} -pin "ACC1:acc#626" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(1)} -pin "ACC1:acc#626" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(2)} -pin "ACC1:acc#626" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(3)} -pin "ACC1:acc#626" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(4)} -pin "ACC1:acc#626" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(5)} -pin "ACC1:acc#626" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(6)} -pin "ACC1:acc#626" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load inst "ACC1:acc#638" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 64336 -attr oid 1526 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1-1:acc#25.psp.sva(1)} -pin "ACC1:acc#638" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {PWR} -pin "ACC1:acc#638" {A(1)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {PWR} -pin "ACC1:acc#638" {A(2)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {GND} -pin "ACC1:acc#638" {A(3)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {PWR} -pin "ACC1:acc#638" {A(4)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {PWR} -pin "ACC1:acc#638" {A(5)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {GND} -pin "ACC1:acc#638" {A(6)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {PWR} -pin "ACC1:acc#638" {A(7)} -attr @path {/sobel/sobel:core/conc#956.itm}
+load net {ACC1:acc#626.itm(0)} -pin "ACC1:acc#638" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(1)} -pin "ACC1:acc#638" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(2)} -pin "ACC1:acc#638" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(3)} -pin "ACC1:acc#638" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(4)} -pin "ACC1:acc#638" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(5)} -pin "ACC1:acc#638" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#626.itm(6)} -pin "ACC1:acc#638" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#626.itm}
+load net {ACC1:acc#638.itm(0)} -pin "ACC1:acc#638" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(1)} -pin "ACC1:acc#638" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(2)} -pin "ACC1:acc#638" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(3)} -pin "ACC1:acc#638" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(4)} -pin "ACC1:acc#638" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(5)} -pin "ACC1:acc#638" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(6)} -pin "ACC1:acc#638" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(7)} -pin "ACC1:acc#638" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load inst "ACC1:acc#556" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64337 -attr oid 1527 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#502.cse(0)} -pin "ACC1:acc#556" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#502.cse(1)} -pin "ACC1:acc#556" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#502.cse(2)} -pin "ACC1:acc#556" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#556" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#556" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#556" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#556.itm(0)} -pin "ACC1:acc#556" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#556.itm(1)} -pin "ACC1:acc#556" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#556.itm(2)} -pin "ACC1:acc#556" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#556.itm(3)} -pin "ACC1:acc#556" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load inst "ACC1:acc#555" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64338 -attr oid 1528 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#555" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#555" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#555" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#489.cse(0)} -pin "ACC1:acc#555" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(1)} -pin "ACC1:acc#555" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(2)} -pin "ACC1:acc#555" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#555.itm(0)} -pin "ACC1:acc#555" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#555.itm(1)} -pin "ACC1:acc#555" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#555.itm(2)} -pin "ACC1:acc#555" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#555.itm(3)} -pin "ACC1:acc#555" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load inst "ACC1:acc#593" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64339 -attr oid 1529 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#556.itm(0)} -pin "ACC1:acc#593" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#556.itm(1)} -pin "ACC1:acc#593" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#556.itm(2)} -pin "ACC1:acc#593" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#556.itm(3)} -pin "ACC1:acc#593" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#556.itm}
+load net {ACC1:acc#555.itm(0)} -pin "ACC1:acc#593" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#555.itm(1)} -pin "ACC1:acc#593" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#555.itm(2)} -pin "ACC1:acc#593" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#555.itm(3)} -pin "ACC1:acc#593" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#555.itm}
+load net {ACC1:acc#593.itm(0)} -pin "ACC1:acc#593" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(1)} -pin "ACC1:acc#593" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(2)} -pin "ACC1:acc#593" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(3)} -pin "ACC1:acc#593" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(4)} -pin "ACC1:acc#593" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load inst "ACC1:acc#488" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64340 -attr oid 1530 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#226.psp.sva(6)} -pin "ACC1:acc#488" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#90.itm}
+load net {ACC1:acc#226.psp.sva(6)} -pin "ACC1:acc#488" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#90.itm}
+load net {ACC1:acc#226.psp.sva(8)} -pin "ACC1:acc#488" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#91.itm}
+load net {ACC1:acc#226.psp.sva(8)} -pin "ACC1:acc#488" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#91.itm}
+load net {ACC1:acc#488.itm(0)} -pin "ACC1:acc#488" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load net {ACC1:acc#488.itm(1)} -pin "ACC1:acc#488" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load net {ACC1:acc#488.itm(2)} -pin "ACC1:acc#488" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load inst "ACC1:acc#487" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64341 -attr oid 1531 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#226.psp.sva(10)} -pin "ACC1:acc#487" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#92.itm}
+load net {ACC1:acc#226.psp.sva(10)} -pin "ACC1:acc#487" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#92.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#487" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1056.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#487" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1056.itm}
+load net {ACC1:acc#487.itm(0)} -pin "ACC1:acc#487" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load net {ACC1:acc#487.itm(1)} -pin "ACC1:acc#487" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load net {ACC1:acc#487.itm(2)} -pin "ACC1:acc#487" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load inst "ACC1:acc#554" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64342 -attr oid 1532 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#488.itm(0)} -pin "ACC1:acc#554" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load net {ACC1:acc#488.itm(1)} -pin "ACC1:acc#554" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load net {ACC1:acc#488.itm(2)} -pin "ACC1:acc#554" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#488.itm}
+load net {ACC1:acc#487.itm(0)} -pin "ACC1:acc#554" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load net {ACC1:acc#487.itm(1)} -pin "ACC1:acc#554" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load net {ACC1:acc#487.itm(2)} -pin "ACC1:acc#554" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#487.itm}
+load net {ACC1:acc#554.itm(0)} -pin "ACC1:acc#554" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#554.itm(1)} -pin "ACC1:acc#554" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#554.itm(2)} -pin "ACC1:acc#554" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#554.itm(3)} -pin "ACC1:acc#554" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load inst "ACC1:acc#592" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64343 -attr oid 1533 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#554.itm(0)} -pin "ACC1:acc#592" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#554.itm(1)} -pin "ACC1:acc#592" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#554.itm(2)} -pin "ACC1:acc#592" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#554.itm(3)} -pin "ACC1:acc#592" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#554.itm}
+load net {ACC1:acc#553.ncse(0)} -pin "ACC1:acc#592" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(1)} -pin "ACC1:acc#592" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(2)} -pin "ACC1:acc#592" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(3)} -pin "ACC1:acc#592" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#592.itm(0)} -pin "ACC1:acc#592" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(1)} -pin "ACC1:acc#592" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(2)} -pin "ACC1:acc#592" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(3)} -pin "ACC1:acc#592" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(4)} -pin "ACC1:acc#592" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load inst "ACC1:acc#611" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64344 -attr oid 1534 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#593.itm(0)} -pin "ACC1:acc#611" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(1)} -pin "ACC1:acc#611" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(2)} -pin "ACC1:acc#611" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(3)} -pin "ACC1:acc#611" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#593.itm(4)} -pin "ACC1:acc#611" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#593.itm}
+load net {ACC1:acc#592.itm(0)} -pin "ACC1:acc#611" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(1)} -pin "ACC1:acc#611" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(2)} -pin "ACC1:acc#611" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(3)} -pin "ACC1:acc#611" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#592.itm(4)} -pin "ACC1:acc#611" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#592.itm}
+load net {ACC1:acc#611.itm(0)} -pin "ACC1:acc#611" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(1)} -pin "ACC1:acc#611" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(2)} -pin "ACC1:acc#611" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(3)} -pin "ACC1:acc#611" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(4)} -pin "ACC1:acc#611" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(5)} -pin "ACC1:acc#611" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load inst "ACC1:acc#482" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64345 -attr oid 1535 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#482" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1057.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#482" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1057.itm}
+load net {ACC1:acc#226.psp.sva(4)} -pin "ACC1:acc#482" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#963.itm}
+load net {ACC1:acc#226.psp.sva(4)} -pin "ACC1:acc#482" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#963.itm}
+load net {ACC1:acc#482.itm(0)} -pin "ACC1:acc#482" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load net {ACC1:acc#482.itm(1)} -pin "ACC1:acc#482" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load net {ACC1:acc#482.itm(2)} -pin "ACC1:acc#482" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load inst "ACC1:acc#551" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64346 -attr oid 1536 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#482.itm(0)} -pin "ACC1:acc#551" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load net {ACC1:acc#482.itm(1)} -pin "ACC1:acc#551" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load net {ACC1:acc#482.itm(2)} -pin "ACC1:acc#551" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#482.itm}
+load net {ACC1:acc#489.cse(0)} -pin "ACC1:acc#551" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(1)} -pin "ACC1:acc#551" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(2)} -pin "ACC1:acc#551" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#551.itm(0)} -pin "ACC1:acc#551" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#551.itm(1)} -pin "ACC1:acc#551" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#551.itm(2)} -pin "ACC1:acc#551" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#551.itm(3)} -pin "ACC1:acc#551" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load inst "ACC1:acc#591" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64347 -attr oid 1537 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#553.ncse(0)} -pin "ACC1:acc#591" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(1)} -pin "ACC1:acc#591" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(2)} -pin "ACC1:acc#591" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(3)} -pin "ACC1:acc#591" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#551.itm(0)} -pin "ACC1:acc#591" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#551.itm(1)} -pin "ACC1:acc#591" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#551.itm(2)} -pin "ACC1:acc#591" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#551.itm(3)} -pin "ACC1:acc#591" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#551.itm}
+load net {ACC1:acc#591.itm(0)} -pin "ACC1:acc#591" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(1)} -pin "ACC1:acc#591" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(2)} -pin "ACC1:acc#591" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(3)} -pin "ACC1:acc#591" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(4)} -pin "ACC1:acc#591" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load inst "ACC1:acc#479" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64348 -attr oid 1538 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#479} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#479" {A(0)} -attr @path {/sobel/sobel:core/exs#97.itm}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1:acc#479" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#97.itm}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1:acc#479" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#97.itm}
+load net {ACC1-1:and#3.cse.sva} -pin "ACC1:acc#479" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1552.itm}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#479" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1552.itm}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1:acc#479" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1552.itm}
+load net {ACC1:acc#479.itm(0)} -pin "ACC1:acc#479" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#479.itm}
+load net {ACC1:acc#479.itm(1)} -pin "ACC1:acc#479" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#479.itm}
+load net {ACC1:acc#479.itm(2)} -pin "ACC1:acc#479" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#479.itm}
+load net {ACC1:acc#479.itm(3)} -pin "ACC1:acc#479" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#479.itm}
+load inst "ACC1:acc#550" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64349 -attr oid 1539 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#550" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#550" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#550" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#479.itm(1)} -pin "ACC1:acc#550" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#147.itm}
+load net {ACC1:acc#479.itm(2)} -pin "ACC1:acc#550" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#147.itm}
+load net {ACC1:acc#479.itm(3)} -pin "ACC1:acc#550" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#147.itm}
+load net {ACC1:acc#550.itm(0)} -pin "ACC1:acc#550" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#550.itm(1)} -pin "ACC1:acc#550" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#550.itm(2)} -pin "ACC1:acc#550" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#550.itm(3)} -pin "ACC1:acc#550" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load inst "ACC1:acc#478" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64350 -attr oid 1540 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#478} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#478" {A(0)} -attr @path {/sobel/sobel:core/exs#98.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#478" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#98.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#478" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#98.itm}
+load net {ACC1-1:nand#1.cse.sva} -pin "ACC1:acc#478" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1554.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#478" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1554.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#478" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1554.itm}
+load net {ACC1:acc#478.itm(0)} -pin "ACC1:acc#478" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#478.itm}
+load net {ACC1:acc#478.itm(1)} -pin "ACC1:acc#478" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#478.itm}
+load net {ACC1:acc#478.itm(2)} -pin "ACC1:acc#478" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#478.itm}
+load net {ACC1:acc#478.itm(3)} -pin "ACC1:acc#478" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#478.itm}
+load inst "ACC1:acc#477" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64351 -attr oid 1541 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#477} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#477" {A(0)} -attr @path {/sobel/sobel:core/exs#77.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#477" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#77.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#477" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#77.itm}
+load net {ACC1:acc#338.itm(2)} -pin "ACC1:acc#477" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1556.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#477" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1556.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#477" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1556.itm}
+load net {ACC1:acc#477.itm(0)} -pin "ACC1:acc#477" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#477.itm}
+load net {ACC1:acc#477.itm(1)} -pin "ACC1:acc#477" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#477.itm}
+load net {ACC1:acc#477.itm(2)} -pin "ACC1:acc#477" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#477.itm}
+load net {ACC1:acc#477.itm(3)} -pin "ACC1:acc#477" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#477.itm}
+load inst "ACC1:acc#549" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64352 -attr oid 1542 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#478.itm(1)} -pin "ACC1:acc#549" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#146.itm}
+load net {ACC1:acc#478.itm(2)} -pin "ACC1:acc#549" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#146.itm}
+load net {ACC1:acc#478.itm(3)} -pin "ACC1:acc#549" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#146.itm}
+load net {ACC1:acc#477.itm(1)} -pin "ACC1:acc#549" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#145.itm}
+load net {ACC1:acc#477.itm(2)} -pin "ACC1:acc#549" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#145.itm}
+load net {ACC1:acc#477.itm(3)} -pin "ACC1:acc#549" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#145.itm}
+load net {ACC1:acc#549.itm(0)} -pin "ACC1:acc#549" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#549.itm(1)} -pin "ACC1:acc#549" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#549.itm(2)} -pin "ACC1:acc#549" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#549.itm(3)} -pin "ACC1:acc#549" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load inst "ACC1:acc#590" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64353 -attr oid 1543 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#550.itm(0)} -pin "ACC1:acc#590" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#550.itm(1)} -pin "ACC1:acc#590" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#550.itm(2)} -pin "ACC1:acc#590" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#550.itm(3)} -pin "ACC1:acc#590" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#550.itm}
+load net {ACC1:acc#549.itm(0)} -pin "ACC1:acc#590" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#549.itm(1)} -pin "ACC1:acc#590" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#549.itm(2)} -pin "ACC1:acc#590" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#549.itm(3)} -pin "ACC1:acc#590" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#549.itm}
+load net {ACC1:acc#590.itm(0)} -pin "ACC1:acc#590" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(1)} -pin "ACC1:acc#590" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(2)} -pin "ACC1:acc#590" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(3)} -pin "ACC1:acc#590" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(4)} -pin "ACC1:acc#590" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load inst "ACC1:acc#610" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64354 -attr oid 1544 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#591.itm(0)} -pin "ACC1:acc#610" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(1)} -pin "ACC1:acc#610" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(2)} -pin "ACC1:acc#610" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(3)} -pin "ACC1:acc#610" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#591.itm(4)} -pin "ACC1:acc#610" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#591.itm}
+load net {ACC1:acc#590.itm(0)} -pin "ACC1:acc#610" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(1)} -pin "ACC1:acc#610" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(2)} -pin "ACC1:acc#610" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(3)} -pin "ACC1:acc#610" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#590.itm(4)} -pin "ACC1:acc#610" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#590.itm}
+load net {ACC1:acc#610.itm(0)} -pin "ACC1:acc#610" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(1)} -pin "ACC1:acc#610" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(2)} -pin "ACC1:acc#610" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(3)} -pin "ACC1:acc#610" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(4)} -pin "ACC1:acc#610" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(5)} -pin "ACC1:acc#610" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load inst "ACC1:acc#625" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 64355 -attr oid 1545 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:acc#611.itm(0)} -pin "ACC1:acc#625" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(1)} -pin "ACC1:acc#625" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(2)} -pin "ACC1:acc#625" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(3)} -pin "ACC1:acc#625" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(4)} -pin "ACC1:acc#625" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#611.itm(5)} -pin "ACC1:acc#625" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#611.itm}
+load net {ACC1:acc#610.itm(0)} -pin "ACC1:acc#625" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(1)} -pin "ACC1:acc#625" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(2)} -pin "ACC1:acc#625" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(3)} -pin "ACC1:acc#625" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(4)} -pin "ACC1:acc#625" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#610.itm(5)} -pin "ACC1:acc#625" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#610.itm}
+load net {ACC1:acc#625.itm(0)} -pin "ACC1:acc#625" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(1)} -pin "ACC1:acc#625" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(2)} -pin "ACC1:acc#625" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(3)} -pin "ACC1:acc#625" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(4)} -pin "ACC1:acc#625" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(5)} -pin "ACC1:acc#625" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(6)} -pin "ACC1:acc#625" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load inst "ACC1:acc#476" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64356 -attr oid 1546 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#476} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#476" {A(0)} -attr @path {/sobel/sobel:core/exs#78.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#476" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#78.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#476" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#78.itm}
+load net {ACC1:acc#210.psp#2.sva(3)} -pin "ACC1:acc#476" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1558.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#476" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1558.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#476" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1558.itm}
+load net {ACC1:acc#476.itm(0)} -pin "ACC1:acc#476" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#476.itm}
+load net {ACC1:acc#476.itm(1)} -pin "ACC1:acc#476" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#476.itm}
+load net {ACC1:acc#476.itm(2)} -pin "ACC1:acc#476" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#476.itm}
+load net {ACC1:acc#476.itm(3)} -pin "ACC1:acc#476" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#476.itm}
+load inst "ACC1:acc#475" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64357 -attr oid 1547 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#475} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#475" {A(0)} -attr @path {/sobel/sobel:core/exs#79.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#475" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#79.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#475" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#79.itm}
+load net {ACC1:acc#210.psp#2.sva(2)} -pin "ACC1:acc#475" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1560.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#475" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1560.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#475" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1560.itm}
+load net {ACC1:acc#475.itm(0)} -pin "ACC1:acc#475" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#475.itm}
+load net {ACC1:acc#475.itm(1)} -pin "ACC1:acc#475" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#475.itm}
+load net {ACC1:acc#475.itm(2)} -pin "ACC1:acc#475" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#475.itm}
+load net {ACC1:acc#475.itm(3)} -pin "ACC1:acc#475" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#475.itm}
+load inst "ACC1:acc#548" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64358 -attr oid 1548 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#476.itm(1)} -pin "ACC1:acc#548" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#144.itm}
+load net {ACC1:acc#476.itm(2)} -pin "ACC1:acc#548" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#144.itm}
+load net {ACC1:acc#476.itm(3)} -pin "ACC1:acc#548" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#144.itm}
+load net {ACC1:acc#475.itm(1)} -pin "ACC1:acc#548" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#143.itm}
+load net {ACC1:acc#475.itm(2)} -pin "ACC1:acc#548" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#143.itm}
+load net {ACC1:acc#475.itm(3)} -pin "ACC1:acc#548" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#143.itm}
+load net {ACC1:acc#548.itm(0)} -pin "ACC1:acc#548" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#548.itm(1)} -pin "ACC1:acc#548" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#548.itm(2)} -pin "ACC1:acc#548" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#548.itm(3)} -pin "ACC1:acc#548" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load inst "ACC1:acc#474" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64359 -attr oid 1549 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#474} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#474" {A(0)} -attr @path {/sobel/sobel:core/exs#80.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#474" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#80.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#474" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#80.itm}
+load net {ACC1:acc#210.psp#2.sva(1)} -pin "ACC1:acc#474" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1562.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#474" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1562.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#474" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1562.itm}
+load net {ACC1:acc#474.itm(0)} -pin "ACC1:acc#474" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#474.itm}
+load net {ACC1:acc#474.itm(1)} -pin "ACC1:acc#474" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#474.itm}
+load net {ACC1:acc#474.itm(2)} -pin "ACC1:acc#474" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#474.itm}
+load net {ACC1:acc#474.itm(3)} -pin "ACC1:acc#474" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#474.itm}
+load inst "ACC1:acc#473" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64360 -attr oid 1550 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#473} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#473" {A(0)} -attr @path {/sobel/sobel:core/exs#99.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#473" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#99.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#473" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#99.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#473" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1564.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#473" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1564.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#473" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1564.itm}
+load net {ACC1:acc#473.itm(0)} -pin "ACC1:acc#473" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#473.itm}
+load net {ACC1:acc#473.itm(1)} -pin "ACC1:acc#473" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#473.itm}
+load net {ACC1:acc#473.itm(2)} -pin "ACC1:acc#473" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#473.itm}
+load net {ACC1:acc#473.itm(3)} -pin "ACC1:acc#473" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#473.itm}
+load inst "ACC1:acc#547" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64361 -attr oid 1551 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#474.itm(1)} -pin "ACC1:acc#547" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#142.itm}
+load net {ACC1:acc#474.itm(2)} -pin "ACC1:acc#547" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#142.itm}
+load net {ACC1:acc#474.itm(3)} -pin "ACC1:acc#547" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#142.itm}
+load net {ACC1:acc#473.itm(1)} -pin "ACC1:acc#547" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#141.itm}
+load net {ACC1:acc#473.itm(2)} -pin "ACC1:acc#547" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#141.itm}
+load net {ACC1:acc#473.itm(3)} -pin "ACC1:acc#547" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#141.itm}
+load net {ACC1:acc#547.itm(0)} -pin "ACC1:acc#547" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#547.itm(1)} -pin "ACC1:acc#547" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#547.itm(2)} -pin "ACC1:acc#547" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#547.itm(3)} -pin "ACC1:acc#547" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load inst "ACC1:acc#589" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64362 -attr oid 1552 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#548.itm(0)} -pin "ACC1:acc#589" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#548.itm(1)} -pin "ACC1:acc#589" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#548.itm(2)} -pin "ACC1:acc#589" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#548.itm(3)} -pin "ACC1:acc#589" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#548.itm}
+load net {ACC1:acc#547.itm(0)} -pin "ACC1:acc#589" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#547.itm(1)} -pin "ACC1:acc#589" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#547.itm(2)} -pin "ACC1:acc#589" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#547.itm(3)} -pin "ACC1:acc#589" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#547.itm}
+load net {ACC1:acc#589.itm(0)} -pin "ACC1:acc#589" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(1)} -pin "ACC1:acc#589" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(2)} -pin "ACC1:acc#589" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(3)} -pin "ACC1:acc#589" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(4)} -pin "ACC1:acc#589" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load inst "ACC1:acc#472" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64363 -attr oid 1553 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#472} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#472" {A(0)} -attr @path {/sobel/sobel:core/exs#81.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#472" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#81.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#472" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#81.itm}
+load net {acc.psp#2.sva(3)} -pin "ACC1:acc#472" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1566.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#472" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1566.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#472" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1566.itm}
+load net {ACC1:acc#472.itm(0)} -pin "ACC1:acc#472" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#472.itm}
+load net {ACC1:acc#472.itm(1)} -pin "ACC1:acc#472" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#472.itm}
+load net {ACC1:acc#472.itm(2)} -pin "ACC1:acc#472" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#472.itm}
+load net {ACC1:acc#472.itm(3)} -pin "ACC1:acc#472" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#472.itm}
+load inst "ACC1:acc#470" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64364 -attr oid 1554 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#470} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#470" {A(0)} -attr @path {/sobel/sobel:core/exs#82.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#470" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#82.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#470" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#82.itm}
+load net {acc.psp#2.sva(1)} -pin "ACC1:acc#470" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1568.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#470" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1568.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#470" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1568.itm}
+load net {ACC1:acc#470.itm(0)} -pin "ACC1:acc#470" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#470.itm}
+load net {ACC1:acc#470.itm(1)} -pin "ACC1:acc#470" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#470.itm}
+load net {ACC1:acc#470.itm(2)} -pin "ACC1:acc#470" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#470.itm}
+load net {ACC1:acc#470.itm(3)} -pin "ACC1:acc#470" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#470.itm}
+load inst "ACC1:acc#546" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64365 -attr oid 1555 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#472.itm(1)} -pin "ACC1:acc#546" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#140.itm}
+load net {ACC1:acc#472.itm(2)} -pin "ACC1:acc#546" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#140.itm}
+load net {ACC1:acc#472.itm(3)} -pin "ACC1:acc#546" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#140.itm}
+load net {ACC1:acc#470.itm(1)} -pin "ACC1:acc#546" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#138.itm}
+load net {ACC1:acc#470.itm(2)} -pin "ACC1:acc#546" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#138.itm}
+load net {ACC1:acc#470.itm(3)} -pin "ACC1:acc#546" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#138.itm}
+load net {ACC1:acc#546.itm(0)} -pin "ACC1:acc#546" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#546.itm(1)} -pin "ACC1:acc#546" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#546.itm(2)} -pin "ACC1:acc#546" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#546.itm(3)} -pin "ACC1:acc#546" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load inst "ACC1-3:not#60" "not(1)" "INTERFACE" -attr xrf 64366 -attr oid 1556 -attr @path {/sobel/sobel:core/ACC1-3:not#60} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#406.itm(2)} -pin "ACC1-3:not#60" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.sva)#2.itm}
+load net {ACC1-3:not#60.itm} -pin "ACC1-3:not#60" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#60.itm}
+load inst "ACC1-3:and#3" "and(3,1)" "INTERFACE" -attr xrf 64367 -attr oid 1557 -attr @path {/sobel/sobel:core/ACC1-3:and#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1-3:and#3" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#23.itm}
+load net {ACC1-3:not#60.itm} -pin "ACC1-3:and#3" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#60.itm}
+load net {ACC1:acc#406.itm(1)} -pin "ACC1-3:and#3" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.sva)#1.itm}
+load net {ACC1-3:and#3.itm} -pin "ACC1-3:and#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#3.itm}
+load inst "ACC1:acc#469" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64368 -attr oid 1558 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#469} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#469" {A(0)} -attr @path {/sobel/sobel:core/exs#83.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#469" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#83.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#469" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#83.itm}
+load net {ACC1-3:and#3.itm} -pin "ACC1:acc#469" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1570.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#469" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1570.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#469" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1570.itm}
+load net {ACC1:acc#469.itm(0)} -pin "ACC1:acc#469" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#469.itm}
+load net {ACC1:acc#469.itm(1)} -pin "ACC1:acc#469" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#469.itm}
+load net {ACC1:acc#469.itm(2)} -pin "ACC1:acc#469" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#469.itm}
+load net {ACC1:acc#469.itm(3)} -pin "ACC1:acc#469" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#469.itm}
+load inst "ACC1-3:not#314" "not(1)" "INTERFACE" -attr xrf 64369 -attr oid 1559 -attr @path {/sobel/sobel:core/ACC1-3:not#314} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1-3:not#314" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#36.itm}
+load net {ACC1-3:not#314.itm} -pin "ACC1-3:not#314" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#314.itm}
+load inst "ACC1-3:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 64370 -attr oid 1560 -attr @path {/sobel/sobel:core/ACC1-3:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#406.itm(2)} -pin "ACC1-3:nand#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.sva).itm}
+load net {ACC1-3:not#314.itm} -pin "ACC1-3:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#314.itm}
+load net {ACC1-3:nand#1.itm} -pin "ACC1-3:nand#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand#1.itm}
+load inst "ACC1:acc#468" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64371 -attr oid 1561 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#468} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#468" {A(0)} -attr @path {/sobel/sobel:core/exs#100.itm}
+load net {ACC1:acc#224.psp#1.sva(6)} -pin "ACC1:acc#468" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#100.itm}
+load net {ACC1:acc#224.psp#1.sva(6)} -pin "ACC1:acc#468" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#100.itm}
+load net {ACC1-3:nand#1.itm} -pin "ACC1:acc#468" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1572.itm}
+load net {ACC1:acc#224.psp#1.sva(8)} -pin "ACC1:acc#468" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1572.itm}
+load net {ACC1:acc#224.psp#1.sva(8)} -pin "ACC1:acc#468" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1572.itm}
+load net {ACC1:acc#468.itm(0)} -pin "ACC1:acc#468" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#468.itm}
+load net {ACC1:acc#468.itm(1)} -pin "ACC1:acc#468" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#468.itm}
+load net {ACC1:acc#468.itm(2)} -pin "ACC1:acc#468" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#468.itm}
+load net {ACC1:acc#468.itm(3)} -pin "ACC1:acc#468" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#468.itm}
+load inst "ACC1:acc#545" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64372 -attr oid 1562 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#469.itm(1)} -pin "ACC1:acc#545" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#137.itm}
+load net {ACC1:acc#469.itm(2)} -pin "ACC1:acc#545" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#137.itm}
+load net {ACC1:acc#469.itm(3)} -pin "ACC1:acc#545" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#137.itm}
+load net {ACC1:acc#468.itm(1)} -pin "ACC1:acc#545" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#136.itm}
+load net {ACC1:acc#468.itm(2)} -pin "ACC1:acc#545" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#136.itm}
+load net {ACC1:acc#468.itm(3)} -pin "ACC1:acc#545" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#136.itm}
+load net {ACC1:acc#545.itm(0)} -pin "ACC1:acc#545" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#545.itm(1)} -pin "ACC1:acc#545" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#545.itm(2)} -pin "ACC1:acc#545" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#545.itm(3)} -pin "ACC1:acc#545" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load inst "ACC1:acc#588" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64373 -attr oid 1563 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#546.itm(0)} -pin "ACC1:acc#588" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#546.itm(1)} -pin "ACC1:acc#588" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#546.itm(2)} -pin "ACC1:acc#588" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#546.itm(3)} -pin "ACC1:acc#588" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#546.itm}
+load net {ACC1:acc#545.itm(0)} -pin "ACC1:acc#588" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#545.itm(1)} -pin "ACC1:acc#588" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#545.itm(2)} -pin "ACC1:acc#588" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#545.itm(3)} -pin "ACC1:acc#588" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#545.itm}
+load net {ACC1:acc#588.itm(0)} -pin "ACC1:acc#588" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(1)} -pin "ACC1:acc#588" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(2)} -pin "ACC1:acc#588" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(3)} -pin "ACC1:acc#588" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(4)} -pin "ACC1:acc#588" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load inst "ACC1:acc#609" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64374 -attr oid 1564 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#589.itm(0)} -pin "ACC1:acc#609" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(1)} -pin "ACC1:acc#609" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(2)} -pin "ACC1:acc#609" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(3)} -pin "ACC1:acc#609" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#589.itm(4)} -pin "ACC1:acc#609" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#589.itm}
+load net {ACC1:acc#588.itm(0)} -pin "ACC1:acc#609" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(1)} -pin "ACC1:acc#609" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(2)} -pin "ACC1:acc#609" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(3)} -pin "ACC1:acc#609" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#588.itm(4)} -pin "ACC1:acc#609" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#588.itm}
+load net {ACC1:acc#609.itm(0)} -pin "ACC1:acc#609" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(1)} -pin "ACC1:acc#609" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(2)} -pin "ACC1:acc#609" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(3)} -pin "ACC1:acc#609" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(4)} -pin "ACC1:acc#609" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(5)} -pin "ACC1:acc#609" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load inst "ACC1-1:not#188" "not(1)" "INTERFACE" -attr xrf 64375 -attr oid 1565 -attr @path {/sobel/sobel:core/ACC1-1:not#188} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#368.itm(2)} -pin "ACC1-1:not#188" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#44.sva)#2.itm}
+load net {ACC1-1:not#188.itm} -pin "ACC1-1:not#188" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#188.itm}
+load inst "ACC1-1:and#11" "and(3,1)" "INTERFACE" -attr xrf 64376 -attr oid 1566 -attr @path {/sobel/sobel:core/ACC1-1:and#11} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1-1:and#11" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#22.itm}
+load net {ACC1-1:not#188.itm} -pin "ACC1-1:and#11" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#188.itm}
+load net {ACC1:acc#368.itm(1)} -pin "ACC1-1:and#11" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#44.sva)#1.itm}
+load net {ACC1-1:and#11.itm} -pin "ACC1-1:and#11" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#11.itm}
+load inst "ACC1:acc#467" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64377 -attr oid 1567 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#467} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#467" {A(0)} -attr @path {/sobel/sobel:core/exs#101.itm}
+load net {ACC1:acc#224.psp#1.sva(10)} -pin "ACC1:acc#467" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#101.itm}
+load net {ACC1:acc#224.psp#1.sva(10)} -pin "ACC1:acc#467" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#101.itm}
+load net {ACC1-1:and#11.itm} -pin "ACC1:acc#467" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1574.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#467" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1574.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#467" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1574.itm}
+load net {ACC1:acc#467.itm(0)} -pin "ACC1:acc#467" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#467.itm}
+load net {ACC1:acc#467.itm(1)} -pin "ACC1:acc#467" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#467.itm}
+load net {ACC1:acc#467.itm(2)} -pin "ACC1:acc#467" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#467.itm}
+load net {ACC1:acc#467.itm(3)} -pin "ACC1:acc#467" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#467.itm}
+load inst "ACC1-1:not#317" "not(1)" "INTERFACE" -attr xrf 64378 -attr oid 1568 -attr @path {/sobel/sobel:core/ACC1-1:not#317} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1-1:not#317" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#41.itm}
+load net {ACC1-1:not#317.itm} -pin "ACC1-1:not#317" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#317.itm}
+load inst "ACC1-1:nand#5" "nand(2,1)" "INTERFACE" -attr xrf 64379 -attr oid 1569 -attr @path {/sobel/sobel:core/ACC1-1:nand#5} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#368.itm(2)} -pin "ACC1-1:nand#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#44.sva).itm}
+load net {ACC1-1:not#317.itm} -pin "ACC1-1:nand#5" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#317.itm}
+load net {ACC1-1:nand#5.itm} -pin "ACC1-1:nand#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:nand#5.itm}
+load inst "ACC1:acc#466" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64380 -attr oid 1570 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#466} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#466" {A(0)} -attr @path {/sobel/sobel:core/exs#84.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#466" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#84.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#466" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#84.itm}
+load net {ACC1-1:nand#5.itm} -pin "ACC1:acc#466" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1576.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#466" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1576.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#466" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1576.itm}
+load net {ACC1:acc#466.itm(0)} -pin "ACC1:acc#466" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#466.itm}
+load net {ACC1:acc#466.itm(1)} -pin "ACC1:acc#466" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#466.itm}
+load net {ACC1:acc#466.itm(2)} -pin "ACC1:acc#466" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#466.itm}
+load net {ACC1:acc#466.itm(3)} -pin "ACC1:acc#466" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#466.itm}
+load inst "ACC1:acc#544" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64381 -attr oid 1571 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#467.itm(1)} -pin "ACC1:acc#544" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#135.itm}
+load net {ACC1:acc#467.itm(2)} -pin "ACC1:acc#544" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#135.itm}
+load net {ACC1:acc#467.itm(3)} -pin "ACC1:acc#544" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#135.itm}
+load net {ACC1:acc#466.itm(1)} -pin "ACC1:acc#544" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#134.itm}
+load net {ACC1:acc#466.itm(2)} -pin "ACC1:acc#544" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#134.itm}
+load net {ACC1:acc#466.itm(3)} -pin "ACC1:acc#544" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#134.itm}
+load net {ACC1:acc#544.itm(0)} -pin "ACC1:acc#544" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#544.itm(1)} -pin "ACC1:acc#544" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#544.itm(2)} -pin "ACC1:acc#544" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#544.itm(3)} -pin "ACC1:acc#544" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load inst "ACC1:acc#465" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64382 -attr oid 1572 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#465} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#465" {A(0)} -attr @path {/sobel/sobel:core/exs#85.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#465" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#85.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#465" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#85.itm}
+load net {ACC1:acc#367.itm(2)} -pin "ACC1:acc#465" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1578.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#465" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1578.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#465" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1578.itm}
+load net {ACC1:acc#465.itm(0)} -pin "ACC1:acc#465" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#465.itm}
+load net {ACC1:acc#465.itm(1)} -pin "ACC1:acc#465" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#465.itm}
+load net {ACC1:acc#465.itm(2)} -pin "ACC1:acc#465" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#465.itm}
+load net {ACC1:acc#465.itm(3)} -pin "ACC1:acc#465" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#465.itm}
+load inst "ACC1:acc#464" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64383 -attr oid 1573 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#464} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#464" {A(0)} -attr @path {/sobel/sobel:core/exs#86.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#464" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#86.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#464" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#86.itm}
+load net {ACC1-1:acc#208.psp.sva(3)} -pin "ACC1:acc#464" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1580.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#464" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1580.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#464" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1580.itm}
+load net {ACC1:acc#464.itm(0)} -pin "ACC1:acc#464" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#464.itm}
+load net {ACC1:acc#464.itm(1)} -pin "ACC1:acc#464" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#464.itm}
+load net {ACC1:acc#464.itm(2)} -pin "ACC1:acc#464" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#464.itm}
+load net {ACC1:acc#464.itm(3)} -pin "ACC1:acc#464" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#464.itm}
+load inst "ACC1:acc#543" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64384 -attr oid 1574 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#465.itm(1)} -pin "ACC1:acc#543" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#133.itm}
+load net {ACC1:acc#465.itm(2)} -pin "ACC1:acc#543" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#133.itm}
+load net {ACC1:acc#465.itm(3)} -pin "ACC1:acc#543" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#133.itm}
+load net {ACC1:acc#464.itm(1)} -pin "ACC1:acc#543" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#132.itm}
+load net {ACC1:acc#464.itm(2)} -pin "ACC1:acc#543" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#132.itm}
+load net {ACC1:acc#464.itm(3)} -pin "ACC1:acc#543" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#132.itm}
+load net {ACC1:acc#543.itm(0)} -pin "ACC1:acc#543" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#543.itm(1)} -pin "ACC1:acc#543" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#543.itm(2)} -pin "ACC1:acc#543" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#543.itm(3)} -pin "ACC1:acc#543" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load inst "ACC1:acc#587" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64385 -attr oid 1575 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#544.itm(0)} -pin "ACC1:acc#587" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#544.itm(1)} -pin "ACC1:acc#587" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#544.itm(2)} -pin "ACC1:acc#587" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#544.itm(3)} -pin "ACC1:acc#587" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#544.itm}
+load net {ACC1:acc#543.itm(0)} -pin "ACC1:acc#587" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#543.itm(1)} -pin "ACC1:acc#587" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#543.itm(2)} -pin "ACC1:acc#587" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#543.itm(3)} -pin "ACC1:acc#587" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#543.itm}
+load net {ACC1:acc#587.itm(0)} -pin "ACC1:acc#587" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(1)} -pin "ACC1:acc#587" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(2)} -pin "ACC1:acc#587" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(3)} -pin "ACC1:acc#587" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(4)} -pin "ACC1:acc#587" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load inst "ACC1:acc#463" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64386 -attr oid 1576 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#463} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#463" {A(0)} -attr @path {/sobel/sobel:core/exs#87.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#463" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#87.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#463" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#87.itm}
+load net {ACC1-1:acc#208.psp.sva(2)} -pin "ACC1:acc#463" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1582.itm}
+load net {ACC1:acc#224.psp#1.sva(4)} -pin "ACC1:acc#463" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1582.itm}
+load net {ACC1:acc#224.psp#1.sva(4)} -pin "ACC1:acc#463" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1582.itm}
+load net {ACC1:acc#463.itm(0)} -pin "ACC1:acc#463" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#463.itm}
+load net {ACC1:acc#463.itm(1)} -pin "ACC1:acc#463" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#463.itm}
+load net {ACC1:acc#463.itm(2)} -pin "ACC1:acc#463" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#463.itm}
+load net {ACC1:acc#463.itm(3)} -pin "ACC1:acc#463" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#463.itm}
+load inst "ACC1:acc#462" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64387 -attr oid 1577 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#462} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#462" {A(0)} -attr @path {/sobel/sobel:core/exs#88.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#462" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#88.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#462" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#88.itm}
+load net {ACC1-1:acc#208.psp.sva(1)} -pin "ACC1:acc#462" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1584.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#462" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1584.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#462" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1584.itm}
+load net {ACC1:acc#462.itm(0)} -pin "ACC1:acc#462" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#462.itm}
+load net {ACC1:acc#462.itm(1)} -pin "ACC1:acc#462" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#462.itm}
+load net {ACC1:acc#462.itm(2)} -pin "ACC1:acc#462" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#462.itm}
+load net {ACC1:acc#462.itm(3)} -pin "ACC1:acc#462" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#462.itm}
+load inst "ACC1:acc#542" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64388 -attr oid 1578 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#463.itm(1)} -pin "ACC1:acc#542" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#131.itm}
+load net {ACC1:acc#463.itm(2)} -pin "ACC1:acc#542" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#131.itm}
+load net {ACC1:acc#463.itm(3)} -pin "ACC1:acc#542" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#131.itm}
+load net {ACC1:acc#462.itm(1)} -pin "ACC1:acc#542" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#130.itm}
+load net {ACC1:acc#462.itm(2)} -pin "ACC1:acc#542" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#130.itm}
+load net {ACC1:acc#462.itm(3)} -pin "ACC1:acc#542" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#130.itm}
+load net {ACC1:acc#542.itm(0)} -pin "ACC1:acc#542" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#542.itm(1)} -pin "ACC1:acc#542" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#542.itm(2)} -pin "ACC1:acc#542" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#542.itm(3)} -pin "ACC1:acc#542" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load inst "ACC1-1:not#91" "not(1)" "INTERFACE" -attr xrf 64389 -attr oid 1579 -attr @path {/sobel/sobel:core/ACC1-1:not#91} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1-1:not#91" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#26.itm}
+load net {ACC1-1:not#91.itm} -pin "ACC1-1:not#91" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#91.itm}
+load inst "ACC1-1:nand#2" "nand(2,1)" "INTERFACE" -attr xrf 64390 -attr oid 1580 -attr @path {/sobel/sobel:core/ACC1-1:nand#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#349.itm(2)} -pin "ACC1-1:nand#2" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#36.sva)#2.itm}
+load net {ACC1-1:not#91.itm} -pin "ACC1-1:nand#2" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#91.itm}
+load net {ACC1-1:nand#2.itm} -pin "ACC1-1:nand#2" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:nand#2.itm}
+load inst "ACC1:acc#460" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64391 -attr oid 1581 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#460} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#460" {A(0)} -attr @path {/sobel/sobel:core/exs#89.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#460" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#89.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#460" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#89.itm}
+load net {ACC1-1:nand#2.itm} -pin "ACC1:acc#460" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1586.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#460" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1586.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#460" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1586.itm}
+load net {ACC1:acc#460.itm(0)} -pin "ACC1:acc#460" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#460.itm}
+load net {ACC1:acc#460.itm(1)} -pin "ACC1:acc#460" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#460.itm}
+load net {ACC1:acc#460.itm(2)} -pin "ACC1:acc#460" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#460.itm}
+load net {ACC1:acc#460.itm(3)} -pin "ACC1:acc#460" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#460.itm}
+load inst "ACC1:acc#459" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64392 -attr oid 1582 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#459} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#459" {A(0)} -attr @path {/sobel/sobel:core/exs#102.itm}
+load net {ACC1-1:acc#25.psp.sva(7)} -pin "ACC1:acc#459" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#102.itm}
+load net {ACC1-1:acc#25.psp.sva(7)} -pin "ACC1:acc#459" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#102.itm}
+load net {ACC1:acc#348.itm(2)} -pin "ACC1:acc#459" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1588.itm}
+load net {ACC1-1:acc#25.psp.sva(9)} -pin "ACC1:acc#459" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1588.itm}
+load net {ACC1-1:acc#25.psp.sva(9)} -pin "ACC1:acc#459" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1588.itm}
+load net {ACC1:acc#459.itm(0)} -pin "ACC1:acc#459" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#459.itm}
+load net {ACC1:acc#459.itm(1)} -pin "ACC1:acc#459" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#459.itm}
+load net {ACC1:acc#459.itm(2)} -pin "ACC1:acc#459" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#459.itm}
+load net {ACC1:acc#459.itm(3)} -pin "ACC1:acc#459" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#459.itm}
+load inst "ACC1:acc#541" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64393 -attr oid 1583 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#460.itm(1)} -pin "ACC1:acc#541" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#128.itm}
+load net {ACC1:acc#460.itm(2)} -pin "ACC1:acc#541" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#128.itm}
+load net {ACC1:acc#460.itm(3)} -pin "ACC1:acc#541" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#128.itm}
+load net {ACC1:acc#459.itm(1)} -pin "ACC1:acc#541" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#127.itm}
+load net {ACC1:acc#459.itm(2)} -pin "ACC1:acc#541" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#127.itm}
+load net {ACC1:acc#459.itm(3)} -pin "ACC1:acc#541" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#127.itm}
+load net {ACC1:acc#541.itm(0)} -pin "ACC1:acc#541" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#541.itm(1)} -pin "ACC1:acc#541" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#541.itm(2)} -pin "ACC1:acc#541" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#541.itm(3)} -pin "ACC1:acc#541" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load inst "ACC1:acc#586" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64394 -attr oid 1584 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#542.itm(0)} -pin "ACC1:acc#586" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#542.itm(1)} -pin "ACC1:acc#586" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#542.itm(2)} -pin "ACC1:acc#586" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#542.itm(3)} -pin "ACC1:acc#586" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#542.itm}
+load net {ACC1:acc#541.itm(0)} -pin "ACC1:acc#586" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#541.itm(1)} -pin "ACC1:acc#586" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#541.itm(2)} -pin "ACC1:acc#586" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#541.itm(3)} -pin "ACC1:acc#586" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#541.itm}
+load net {ACC1:acc#586.itm(0)} -pin "ACC1:acc#586" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(1)} -pin "ACC1:acc#586" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(2)} -pin "ACC1:acc#586" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(3)} -pin "ACC1:acc#586" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(4)} -pin "ACC1:acc#586" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load inst "ACC1:acc#608" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64395 -attr oid 1585 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#587.itm(0)} -pin "ACC1:acc#608" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(1)} -pin "ACC1:acc#608" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(2)} -pin "ACC1:acc#608" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(3)} -pin "ACC1:acc#608" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#587.itm(4)} -pin "ACC1:acc#608" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#587.itm}
+load net {ACC1:acc#586.itm(0)} -pin "ACC1:acc#608" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(1)} -pin "ACC1:acc#608" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(2)} -pin "ACC1:acc#608" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(3)} -pin "ACC1:acc#608" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#586.itm(4)} -pin "ACC1:acc#608" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#586.itm}
+load net {ACC1:acc#608.itm(0)} -pin "ACC1:acc#608" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(1)} -pin "ACC1:acc#608" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(2)} -pin "ACC1:acc#608" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(3)} -pin "ACC1:acc#608" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(4)} -pin "ACC1:acc#608" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(5)} -pin "ACC1:acc#608" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load inst "ACC1:acc#624" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 64396 -attr oid 1586 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:acc#609.itm(0)} -pin "ACC1:acc#624" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(1)} -pin "ACC1:acc#624" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(2)} -pin "ACC1:acc#624" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(3)} -pin "ACC1:acc#624" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(4)} -pin "ACC1:acc#624" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#609.itm(5)} -pin "ACC1:acc#624" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#609.itm}
+load net {ACC1:acc#608.itm(0)} -pin "ACC1:acc#624" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(1)} -pin "ACC1:acc#624" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(2)} -pin "ACC1:acc#624" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(3)} -pin "ACC1:acc#624" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(4)} -pin "ACC1:acc#624" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#608.itm(5)} -pin "ACC1:acc#624" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#608.itm}
+load net {ACC1:acc#624.itm(0)} -pin "ACC1:acc#624" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(1)} -pin "ACC1:acc#624" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(2)} -pin "ACC1:acc#624" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(3)} -pin "ACC1:acc#624" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(4)} -pin "ACC1:acc#624" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(5)} -pin "ACC1:acc#624" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(6)} -pin "ACC1:acc#624" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load inst "ACC1:acc#637" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 64397 -attr oid 1587 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
+load net {ACC1:acc#625.itm(0)} -pin "ACC1:acc#637" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(1)} -pin "ACC1:acc#637" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(2)} -pin "ACC1:acc#637" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(3)} -pin "ACC1:acc#637" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(4)} -pin "ACC1:acc#637" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(5)} -pin "ACC1:acc#637" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#625.itm(6)} -pin "ACC1:acc#637" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#625.itm}
+load net {ACC1:acc#624.itm(0)} -pin "ACC1:acc#637" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(1)} -pin "ACC1:acc#637" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(2)} -pin "ACC1:acc#637" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(3)} -pin "ACC1:acc#637" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(4)} -pin "ACC1:acc#637" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(5)} -pin "ACC1:acc#637" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#624.itm(6)} -pin "ACC1:acc#637" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#624.itm}
+load net {ACC1:acc#637.itm(0)} -pin "ACC1:acc#637" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(1)} -pin "ACC1:acc#637" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(2)} -pin "ACC1:acc#637" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(3)} -pin "ACC1:acc#637" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(4)} -pin "ACC1:acc#637" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(5)} -pin "ACC1:acc#637" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(6)} -pin "ACC1:acc#637" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(7)} -pin "ACC1:acc#637" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load inst "ACC1:acc#645" "add(8,1,8,0,9)" "INTERFACE" -attr xrf 64398 -attr oid 1588 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#638.itm(0)} -pin "ACC1:acc#645" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(1)} -pin "ACC1:acc#645" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(2)} -pin "ACC1:acc#645" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(3)} -pin "ACC1:acc#645" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(4)} -pin "ACC1:acc#645" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(5)} -pin "ACC1:acc#645" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(6)} -pin "ACC1:acc#645" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#638.itm(7)} -pin "ACC1:acc#645" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#638.itm}
+load net {ACC1:acc#637.itm(0)} -pin "ACC1:acc#645" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(1)} -pin "ACC1:acc#645" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(2)} -pin "ACC1:acc#645" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(3)} -pin "ACC1:acc#645" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(4)} -pin "ACC1:acc#645" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(5)} -pin "ACC1:acc#645" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(6)} -pin "ACC1:acc#645" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#637.itm(7)} -pin "ACC1:acc#645" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#637.itm}
+load net {ACC1:acc#645.itm(0)} -pin "ACC1:acc#645" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(1)} -pin "ACC1:acc#645" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(2)} -pin "ACC1:acc#645" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(3)} -pin "ACC1:acc#645" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(4)} -pin "ACC1:acc#645" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(5)} -pin "ACC1:acc#645" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(6)} -pin "ACC1:acc#645" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(7)} -pin "ACC1:acc#645" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(8)} -pin "ACC1:acc#645" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load inst "ACC1:acc#650" "add(10,0,9,1,11)" "INTERFACE" -attr xrf 64399 -attr oid 1589 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,12)"
+load net {ACC1:acc#228.psp.sva(3)} -pin "ACC1:acc#650" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1:acc#650" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {GND} -pin "ACC1:acc#650" {A(2)} -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1:acc#650" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {GND} -pin "ACC1:acc#650" {A(4)} -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1:acc#650" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {GND} -pin "ACC1:acc#650" {A(6)} -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1:acc#650" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {GND} -pin "ACC1:acc#650" {A(8)} -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1:acc#650" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#955.itm}
+load net {ACC1:acc#645.itm(0)} -pin "ACC1:acc#650" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(1)} -pin "ACC1:acc#650" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(2)} -pin "ACC1:acc#650" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(3)} -pin "ACC1:acc#650" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(4)} -pin "ACC1:acc#650" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(5)} -pin "ACC1:acc#650" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(6)} -pin "ACC1:acc#650" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(7)} -pin "ACC1:acc#650" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#645.itm(8)} -pin "ACC1:acc#650" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#645.itm}
+load net {ACC1:acc#650.itm(0)} -pin "ACC1:acc#650" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(1)} -pin "ACC1:acc#650" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(2)} -pin "ACC1:acc#650" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(3)} -pin "ACC1:acc#650" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(4)} -pin "ACC1:acc#650" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(5)} -pin "ACC1:acc#650" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(6)} -pin "ACC1:acc#650" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(7)} -pin "ACC1:acc#650" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(8)} -pin "ACC1:acc#650" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(9)} -pin "ACC1:acc#650" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(10)} -pin "ACC1:acc#650" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load inst "ACC1:acc#517" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64400 -attr oid 1590 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#228.psp.sva(10)} -pin "ACC1:acc#517" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#20.itm}
+load net {ACC1:acc#228.psp.sva(10)} -pin "ACC1:acc#517" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#20.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#517" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1049.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#517" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1049.itm}
+load net {ACC1:acc#517.itm(0)} -pin "ACC1:acc#517" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load net {ACC1:acc#517.itm(1)} -pin "ACC1:acc#517" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load net {ACC1:acc#517.itm(2)} -pin "ACC1:acc#517" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load inst "ACC1:acc#568" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64401 -attr oid 1591 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#517.itm(0)} -pin "ACC1:acc#568" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load net {ACC1:acc#517.itm(1)} -pin "ACC1:acc#568" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load net {ACC1:acc#517.itm(2)} -pin "ACC1:acc#568" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#517.itm}
+load net {ACC1:acc#516.cse(0)} -pin "ACC1:acc#568" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(1)} -pin "ACC1:acc#568" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(2)} -pin "ACC1:acc#568" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#568.itm(0)} -pin "ACC1:acc#568" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#568.itm(1)} -pin "ACC1:acc#568" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#568.itm(2)} -pin "ACC1:acc#568" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#568.itm(3)} -pin "ACC1:acc#568" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load inst "ACC1:acc#567" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64402 -attr oid 1592 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#516.cse(0)} -pin "ACC1:acc#567" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(1)} -pin "ACC1:acc#567" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(2)} -pin "ACC1:acc#567" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(0)} -pin "ACC1:acc#567" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(1)} -pin "ACC1:acc#567" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(2)} -pin "ACC1:acc#567" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#567.itm(0)} -pin "ACC1:acc#567" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#567.itm(1)} -pin "ACC1:acc#567" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#567.itm(2)} -pin "ACC1:acc#567" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#567.itm(3)} -pin "ACC1:acc#567" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load inst "ACC1:acc#599" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64403 -attr oid 1593 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#568.itm(0)} -pin "ACC1:acc#599" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#568.itm(1)} -pin "ACC1:acc#599" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#568.itm(2)} -pin "ACC1:acc#599" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#568.itm(3)} -pin "ACC1:acc#599" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#568.itm}
+load net {ACC1:acc#567.itm(0)} -pin "ACC1:acc#599" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#567.itm(1)} -pin "ACC1:acc#599" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#567.itm(2)} -pin "ACC1:acc#599" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#567.itm(3)} -pin "ACC1:acc#599" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#567.itm}
+load net {ACC1:acc#599.itm(0)} -pin "ACC1:acc#599" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(1)} -pin "ACC1:acc#599" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(2)} -pin "ACC1:acc#599" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(3)} -pin "ACC1:acc#599" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(4)} -pin "ACC1:acc#599" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load inst "ACC1:acc#513" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64404 -attr oid 1594 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#513" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1050.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#513" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1050.itm}
+load net {ACC1:acc#228.psp.sva(4)} -pin "ACC1:acc#513" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1031.itm}
+load net {ACC1:acc#228.psp.sva(4)} -pin "ACC1:acc#513" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1031.itm}
+load net {ACC1:acc#513.itm(0)} -pin "ACC1:acc#513" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load net {ACC1:acc#513.itm(1)} -pin "ACC1:acc#513" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load net {ACC1:acc#513.itm(2)} -pin "ACC1:acc#513" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load inst "ACC1:acc#566" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64405 -attr oid 1595 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#513.itm(0)} -pin "ACC1:acc#566" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load net {ACC1:acc#513.itm(1)} -pin "ACC1:acc#566" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load net {ACC1:acc#513.itm(2)} -pin "ACC1:acc#566" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#513.itm}
+load net {ACC1:acc#516.cse(0)} -pin "ACC1:acc#566" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(1)} -pin "ACC1:acc#566" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(2)} -pin "ACC1:acc#566" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#566.itm(0)} -pin "ACC1:acc#566" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#566.itm(1)} -pin "ACC1:acc#566" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#566.itm(2)} -pin "ACC1:acc#566" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#566.itm(3)} -pin "ACC1:acc#566" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load inst "ACC1:acc#510" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64406 -attr oid 1596 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#510" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1060.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#510" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1060.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#510" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1049.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#510" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1049.itm}
+load net {ACC1:acc#510.itm(0)} -pin "ACC1:acc#510" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load net {ACC1:acc#510.itm(1)} -pin "ACC1:acc#510" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load net {ACC1:acc#510.itm(2)} -pin "ACC1:acc#510" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load inst "ACC1:acc#565" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64407 -attr oid 1597 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#509.cse(0)} -pin "ACC1:acc#565" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(1)} -pin "ACC1:acc#565" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(2)} -pin "ACC1:acc#565" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#510.itm(0)} -pin "ACC1:acc#565" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load net {ACC1:acc#510.itm(1)} -pin "ACC1:acc#565" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load net {ACC1:acc#510.itm(2)} -pin "ACC1:acc#565" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#510.itm}
+load net {ACC1:acc#565.itm(0)} -pin "ACC1:acc#565" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#565.itm(1)} -pin "ACC1:acc#565" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#565.itm(2)} -pin "ACC1:acc#565" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#565.itm(3)} -pin "ACC1:acc#565" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load inst "ACC1:acc#598" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64408 -attr oid 1598 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#566.itm(0)} -pin "ACC1:acc#598" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#566.itm(1)} -pin "ACC1:acc#598" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#566.itm(2)} -pin "ACC1:acc#598" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#566.itm(3)} -pin "ACC1:acc#598" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#566.itm}
+load net {ACC1:acc#565.itm(0)} -pin "ACC1:acc#598" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#565.itm(1)} -pin "ACC1:acc#598" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#565.itm(2)} -pin "ACC1:acc#598" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#565.itm(3)} -pin "ACC1:acc#598" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#565.itm}
+load net {ACC1:acc#598.itm(0)} -pin "ACC1:acc#598" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(1)} -pin "ACC1:acc#598" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(2)} -pin "ACC1:acc#598" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(3)} -pin "ACC1:acc#598" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(4)} -pin "ACC1:acc#598" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load inst "ACC1:acc#614" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64409 -attr oid 1599 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#599.itm(0)} -pin "ACC1:acc#614" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(1)} -pin "ACC1:acc#614" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(2)} -pin "ACC1:acc#614" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(3)} -pin "ACC1:acc#614" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#599.itm(4)} -pin "ACC1:acc#614" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#599.itm}
+load net {ACC1:acc#598.itm(0)} -pin "ACC1:acc#614" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(1)} -pin "ACC1:acc#614" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(2)} -pin "ACC1:acc#614" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(3)} -pin "ACC1:acc#614" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#598.itm(4)} -pin "ACC1:acc#614" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#598.itm}
+load net {ACC1:acc#614.itm(0)} -pin "ACC1:acc#614" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(1)} -pin "ACC1:acc#614" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(2)} -pin "ACC1:acc#614" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(3)} -pin "ACC1:acc#614" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(4)} -pin "ACC1:acc#614" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(5)} -pin "ACC1:acc#614" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load inst "ACC1:acc#627" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 64410 -attr oid 1600 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#627" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#627" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {GND} -pin "ACC1:acc#627" {A(2)} -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {ACC1:acc#224.psp.sva(6)} -pin "ACC1:acc#627" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {GND} -pin "ACC1:acc#627" {A(4)} -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {ACC1:acc#227.psp.sva(7)} -pin "ACC1:acc#627" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1:acc#627" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#982.itm}
+load net {ACC1:acc#614.itm(0)} -pin "ACC1:acc#627" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(1)} -pin "ACC1:acc#627" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(2)} -pin "ACC1:acc#627" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(3)} -pin "ACC1:acc#627" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(4)} -pin "ACC1:acc#627" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#614.itm(5)} -pin "ACC1:acc#627" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#614.itm}
+load net {ACC1:acc#627.itm(0)} -pin "ACC1:acc#627" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(1)} -pin "ACC1:acc#627" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(2)} -pin "ACC1:acc#627" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(3)} -pin "ACC1:acc#627" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(4)} -pin "ACC1:acc#627" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(5)} -pin "ACC1:acc#627" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(6)} -pin "ACC1:acc#627" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(7)} -pin "ACC1:acc#627" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load inst "ACC1:not#368" "not(1)" "INTERFACE" -attr xrf 64411 -attr oid 1601 -attr @path {/sobel/sobel:core/ACC1:not#368} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#412.itm(4)} -pin "ACC1:not#368" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#214.psp#1.sva)#6.itm}
+load net {ACC1:not#368.itm} -pin "ACC1:not#368" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#368.itm}
+load inst "ACC1:not#369" "not(1)" "INTERFACE" -attr xrf 64412 -attr oid 1602 -attr @path {/sobel/sobel:core/ACC1:not#369} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#423.itm(3)} -pin "ACC1:not#369" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva).itm}
+load net {ACC1:not#369.itm} -pin "ACC1:not#369" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#369.itm}
+load inst "ACC1:not#370" "not(1)" "INTERFACE" -attr xrf 64413 -attr oid 1603 -attr @path {/sobel/sobel:core/ACC1:not#370} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#338.itm(3)} -pin "ACC1:not#370" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#26.sva)#1.itm}
+load net {ACC1:not#370.itm} -pin "ACC1:not#370" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#370.itm}
+load inst "ACC1:not#371" "not(1)" "INTERFACE" -attr xrf 64414 -attr oid 1604 -attr @path {/sobel/sobel:core/ACC1:not#371} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#375.itm(4)} -pin "ACC1:not#371" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-2:acc#212.psp.sva)#8.itm}
+load net {ACC1:not#371.itm} -pin "ACC1:not#371" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#371.itm}
+load inst "ACC1:not#390" "not(1)" "INTERFACE" -attr xrf 64415 -attr oid 1605 -attr @path {/sobel/sobel:core/ACC1:not#390} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#395.itm(3)} -pin "ACC1:not#390" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#2.sva)#4.itm}
+load net {ACC1:not#390.itm} -pin "ACC1:not#390" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#390.itm}
+load inst "ACC1:acc#523" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64416 -attr oid 1606 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:not#370.itm} -pin "ACC1:acc#523" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1098.itm}
+load net {ACC1:not#369.itm} -pin "ACC1:acc#523" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1098.itm}
+load net {ACC1:not#368.itm} -pin "ACC1:acc#523" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1098.itm}
+load net {ACC1:not#390.itm} -pin "ACC1:acc#523" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#983.itm}
+load net {PWR} -pin "ACC1:acc#523" {B(1)} -attr @path {/sobel/sobel:core/conc#983.itm}
+load net {ACC1:not#371.itm} -pin "ACC1:acc#523" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#983.itm}
+load net {ACC1:acc#523.itm(0)} -pin "ACC1:acc#523" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#523.itm(1)} -pin "ACC1:acc#523" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#523.itm(2)} -pin "ACC1:acc#523" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#523.itm(3)} -pin "ACC1:acc#523" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load inst "ACC1:not#373" "not(1)" "INTERFACE" -attr xrf 64417 -attr oid 1607 -attr @path {/sobel/sobel:core/ACC1:not#373} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#384.itm(4)} -pin "ACC1:not#373" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-2:acc#208.psp.sva)#6.itm}
+load net {ACC1:not#373.itm} -pin "ACC1:not#373" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#373.itm}
+load inst "ACC1:not#375" "not(1)" "INTERFACE" -attr xrf 64418 -attr oid 1608 -attr @path {/sobel/sobel:core/ACC1:not#375} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-3:acc#212.psp.sva(3)} -pin "ACC1:not#375" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-3:acc#212.psp.sva)#6.itm}
+load net {ACC1:not#375.itm} -pin "ACC1:not#375" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#375.itm}
+load inst "acc" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64419 -attr oid 1609 -attr vt d -attr @path {/sobel/sobel:core/acc} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "acc" {A(0)} -attr @path {/sobel/sobel:core/conc#984.itm}
+load net {ACC1:not#373.itm} -pin "acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#984.itm}
+load net {PWR} -pin "acc" {B(0)} -attr @path {/sobel/sobel:core/conc#985.itm}
+load net {ACC1:not#375.itm} -pin "acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#985.itm}
+load net {acc.itm(0)} -pin "acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
+load net {acc.itm(1)} -pin "acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
+load net {acc.itm(2)} -pin "acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
+load inst "ACC1:not#374" "not(1)" "INTERFACE" -attr xrf 64420 -attr oid 1610 -attr @path {/sobel/sobel:core/ACC1:not#374} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#414.itm(3)} -pin "ACC1:not#374" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#10.sva)#4.itm}
+load net {ACC1:not#374.itm} -pin "ACC1:not#374" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#374.itm}
+load inst "ACC1:not#376" "not(1)" "INTERFACE" -attr xrf 64421 -attr oid 1611 -attr @path {/sobel/sobel:core/ACC1:not#376} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#377.itm(3)} -pin "ACC1:not#376" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#31.sva)#4.itm}
+load net {ACC1:not#376.itm} -pin "ACC1:not#376" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#376.itm}
+load inst "ACC1:acc#732" "add(2,-1,2,-1,2)" "INTERFACE" -attr xrf 64422 -attr oid 1612 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#732} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,2)"
+load net {ACC1:not#374.itm} -pin "ACC1:acc#732" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#986.itm}
+load net {PWR} -pin "ACC1:acc#732" {A(1)} -attr @path {/sobel/sobel:core/conc#986.itm}
+load net {ACC1:not#376.itm} -pin "ACC1:acc#732" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#987.itm}
+load net {PWR} -pin "ACC1:acc#732" {B(1)} -attr @path {/sobel/sobel:core/conc#987.itm}
+load net {ACC1:acc#732.itm(0)} -pin "ACC1:acc#732" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#732.itm}
+load net {ACC1:acc#732.itm(1)} -pin "ACC1:acc#732" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#732.itm}
+load inst "ACC1:acc#577" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64423 -attr oid 1613 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#523.itm(0)} -pin "ACC1:acc#577" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#523.itm(1)} -pin "ACC1:acc#577" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#523.itm(2)} -pin "ACC1:acc#577" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#523.itm(3)} -pin "ACC1:acc#577" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#523.itm}
+load net {ACC1:acc#732.itm(0)} -pin "ACC1:acc#577" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1457.itm}
+load net {ACC1:acc#732.itm(1)} -pin "ACC1:acc#577" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1457.itm}
+load net {acc.itm(1)} -pin "ACC1:acc#577" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1457.itm}
+load net {acc.itm(2)} -pin "ACC1:acc#577" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1457.itm}
+load net {ACC1:acc#577.itm(0)} -pin "ACC1:acc#577" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(1)} -pin "ACC1:acc#577" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(2)} -pin "ACC1:acc#577" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(3)} -pin "ACC1:acc#577" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(4)} -pin "ACC1:acc#577" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load inst "ACC1:not#377" "not(1)" "INTERFACE" -attr xrf 64424 -attr oid 1614 -attr @path {/sobel/sobel:core/ACC1:not#377} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#346.itm(4)} -pin "ACC1:not#377" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#214.psp#2.sva)#6.itm}
+load net {ACC1:not#377.itm} -pin "ACC1:not#377" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#377.itm}
+load inst "ACC1:not#379" "not(1)" "INTERFACE" -attr xrf 64425 -attr oid 1615 -attr @path {/sobel/sobel:core/ACC1:not#379} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#208.psp.sva(3)} -pin "ACC1:not#379" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#208.psp.sva).itm}
+load net {ACC1:not#379.itm} -pin "ACC1:not#379" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#379.itm}
+load inst "acc#31" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64426 -attr oid 1616 -attr vt d -attr @path {/sobel/sobel:core/acc#31} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "acc#31" {A(0)} -attr @path {/sobel/sobel:core/conc#988.itm}
+load net {ACC1:not#377.itm} -pin "acc#31" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#988.itm}
+load net {PWR} -pin "acc#31" {B(0)} -attr @path {/sobel/sobel:core/conc#989.itm}
+load net {ACC1:not#379.itm} -pin "acc#31" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#989.itm}
+load net {acc#31.itm(0)} -pin "acc#31" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc#31.itm}
+load net {acc#31.itm(1)} -pin "acc#31" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc#31.itm}
+load net {acc#31.itm(2)} -pin "acc#31" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc#31.itm}
+load inst "ACC1:not#378" "not(1)" "INTERFACE" -attr xrf 64427 -attr oid 1617 -attr @path {/sobel/sobel:core/ACC1:not#378} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#386.itm(3)} -pin "ACC1:not#378" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#43.sva)#4.itm}
+load net {ACC1:not#378.itm} -pin "ACC1:not#378" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#378.itm}
+load inst "ACC1:not#380" "not(1)" "INTERFACE" -attr xrf 64428 -attr oid 1618 -attr @path {/sobel/sobel:core/ACC1:not#380} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#405.itm(3)} -pin "ACC1:not#380" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#4.itm}
+load net {ACC1:not#380.itm} -pin "ACC1:not#380" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#380.itm}
+load inst "ACC1:acc#734" "add(2,-1,2,-1,2)" "INTERFACE" -attr xrf 64429 -attr oid 1619 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#734} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,2)"
+load net {ACC1:not#378.itm} -pin "ACC1:acc#734" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#990.itm}
+load net {PWR} -pin "ACC1:acc#734" {A(1)} -attr @path {/sobel/sobel:core/conc#990.itm}
+load net {ACC1:not#380.itm} -pin "ACC1:acc#734" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#991.itm}
+load net {PWR} -pin "ACC1:acc#734" {B(1)} -attr @path {/sobel/sobel:core/conc#991.itm}
+load net {ACC1:acc#734.itm(0)} -pin "ACC1:acc#734" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#734.itm}
+load net {ACC1:acc#734.itm(1)} -pin "ACC1:acc#734" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#734.itm}
+load inst "ACC1:not#381" "not(1)" "INTERFACE" -attr xrf 64430 -attr oid 1620 -attr @path {/sobel/sobel:core/ACC1:not#381} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#210.psp#2.sva(3)} -pin "ACC1:not#381" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#210.psp#2.sva)#12.itm}
+load net {ACC1:not#381.itm} -pin "ACC1:not#381" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#381.itm}
+load inst "ACC1:not#392" "not(1)" "INTERFACE" -attr xrf 64431 -attr oid 1621 -attr @path {/sobel/sobel:core/ACC1:not#392} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#348.itm(3)} -pin "ACC1:not#392" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#34.sva)#4.itm}
+load net {ACC1:not#392.itm} -pin "ACC1:not#392" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#392.itm}
+load inst "ACC1:acc#520" "add(3,0,2,1,4)" "INTERFACE" -attr xrf 64432 -attr oid 1622 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:not#392.itm} -pin "ACC1:acc#520" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#992.itm}
+load net {PWR} -pin "ACC1:acc#520" {A(1)} -attr @path {/sobel/sobel:core/conc#992.itm}
+load net {ACC1:not#381.itm} -pin "ACC1:acc#520" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#992.itm}
+load net {ACC1:acc#221.psp.sva(1)} -pin "ACC1:acc#520" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp.sva)#2.itm}
+load net {ACC1:acc#221.psp.sva(2)} -pin "ACC1:acc#520" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp.sva)#2.itm}
+load net {ACC1:acc#520.itm(0)} -pin "ACC1:acc#520" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#520.itm(1)} -pin "ACC1:acc#520" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#520.itm(2)} -pin "ACC1:acc#520" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#520.itm(3)} -pin "ACC1:acc#520" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load inst "ACC1:acc#576" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64433 -attr oid 1623 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#734.itm(0)} -pin "ACC1:acc#576" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1458.itm}
+load net {ACC1:acc#734.itm(1)} -pin "ACC1:acc#576" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1458.itm}
+load net {acc#31.itm(1)} -pin "ACC1:acc#576" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1458.itm}
+load net {acc#31.itm(2)} -pin "ACC1:acc#576" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1458.itm}
+load net {ACC1:acc#520.itm(0)} -pin "ACC1:acc#576" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#520.itm(1)} -pin "ACC1:acc#576" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#520.itm(2)} -pin "ACC1:acc#576" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#520.itm(3)} -pin "ACC1:acc#576" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#520.itm}
+load net {ACC1:acc#576.itm(0)} -pin "ACC1:acc#576" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(1)} -pin "ACC1:acc#576" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(2)} -pin "ACC1:acc#576" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(3)} -pin "ACC1:acc#576" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(4)} -pin "ACC1:acc#576" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load inst "ACC1:acc#603" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 64434 -attr oid 1624 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#577.itm(0)} -pin "ACC1:acc#603" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(1)} -pin "ACC1:acc#603" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(2)} -pin "ACC1:acc#603" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(3)} -pin "ACC1:acc#603" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#577.itm(4)} -pin "ACC1:acc#603" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#577.itm}
+load net {ACC1:acc#576.itm(0)} -pin "ACC1:acc#603" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(1)} -pin "ACC1:acc#603" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(2)} -pin "ACC1:acc#603" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(3)} -pin "ACC1:acc#603" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#576.itm(4)} -pin "ACC1:acc#603" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#576.itm}
+load net {ACC1:acc#603.itm(0)} -pin "ACC1:acc#603" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(1)} -pin "ACC1:acc#603" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(2)} -pin "ACC1:acc#603" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(3)} -pin "ACC1:acc#603" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(4)} -pin "ACC1:acc#603" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(5)} -pin "ACC1:acc#603" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load inst "ACC1:acc#518" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64435 -attr oid 1625 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#221.psp#2.sva(1)} -pin "ACC1:acc#518" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp#2.sva)#2.itm}
+load net {ACC1:acc#221.psp#2.sva(2)} -pin "ACC1:acc#518" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp#2.sva)#2.itm}
+load net {ACC1:acc#228.psp.sva(8)} -pin "ACC1:acc#518" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#19.itm}
+load net {ACC1:acc#228.psp.sva(8)} -pin "ACC1:acc#518" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#19.itm}
+load net {ACC1:acc#518.itm(0)} -pin "ACC1:acc#518" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#518.itm(1)} -pin "ACC1:acc#518" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#518.itm(2)} -pin "ACC1:acc#518" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#518.itm(3)} -pin "ACC1:acc#518" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load inst "ACC1:acc#490" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64436 -attr oid 1626 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#219.psp#2.sva(1)} -pin "ACC1:acc#490" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#2.sva)#2.itm}
+load net {ACC1:acc#219.psp#2.sva(2)} -pin "ACC1:acc#490" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#2.sva)#2.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#490" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1058.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#490" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1058.itm}
+load net {ACC1:acc#490.itm(0)} -pin "ACC1:acc#490" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#490.itm(1)} -pin "ACC1:acc#490" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#490.itm(2)} -pin "ACC1:acc#490" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#490.itm(3)} -pin "ACC1:acc#490" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load inst "ACC1:acc#575" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64437 -attr oid 1627 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#518.itm(0)} -pin "ACC1:acc#575" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#518.itm(1)} -pin "ACC1:acc#575" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#518.itm(2)} -pin "ACC1:acc#575" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#518.itm(3)} -pin "ACC1:acc#575" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#518.itm}
+load net {ACC1:acc#490.itm(0)} -pin "ACC1:acc#575" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#490.itm(1)} -pin "ACC1:acc#575" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#490.itm(2)} -pin "ACC1:acc#575" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#490.itm(3)} -pin "ACC1:acc#575" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#490.itm}
+load net {ACC1:acc#575.itm(0)} -pin "ACC1:acc#575" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(1)} -pin "ACC1:acc#575" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(2)} -pin "ACC1:acc#575" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(3)} -pin "ACC1:acc#575" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(4)} -pin "ACC1:acc#575" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load inst "ACC1:acc#471" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64438 -attr oid 1628 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#471" {A(0)} -attr @path {/sobel/sobel:core/exs#90.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#471" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#90.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#471" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#90.itm}
+load net {acc.psp#2.sva(2)} -pin "ACC1:acc#471" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1401.itm}
+load net {ACC1:acc#222.psp#1.sva(1)} -pin "ACC1:acc#471" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1401.itm}
+load net {ACC1:acc#222.psp#1.sva(2)} -pin "ACC1:acc#471" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1401.itm}
+load net {ACC1:acc#471.itm(0)} -pin "ACC1:acc#471" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471.itm}
+load net {ACC1:acc#471.itm(1)} -pin "ACC1:acc#471" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471.itm}
+load net {ACC1:acc#471.itm(2)} -pin "ACC1:acc#471" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471.itm}
+load net {ACC1:acc#471.itm(3)} -pin "ACC1:acc#471" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471.itm}
+load net {ACC1:acc#471.itm(4)} -pin "ACC1:acc#471" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#471.itm}
+load inst "ACC1-1:not#92" "not(1)" "INTERFACE" -attr xrf 64439 -attr oid 1629 -attr @path {/sobel/sobel:core/ACC1-1:not#92} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#349.itm(2)} -pin "ACC1-1:not#92" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#36.sva).itm}
+load net {ACC1-1:not#92.itm} -pin "ACC1-1:not#92" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#92.itm}
+load inst "ACC1-1:and#5" "and(3,1)" "INTERFACE" -attr xrf 64440 -attr oid 1630 -attr @path {/sobel/sobel:core/ACC1-1:and#5} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1-1:and#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#27.itm}
+load net {ACC1-1:not#92.itm} -pin "ACC1-1:and#5" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#92.itm}
+load net {ACC1:acc#349.itm(1)} -pin "ACC1-1:and#5" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#36.sva)#1.itm}
+load net {ACC1-1:and#5.itm} -pin "ACC1-1:and#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#5.itm}
+load inst "ACC1:acc#461" "add(3,1,3,0,5)" "INTERFACE" -attr xrf 64441 -attr oid 1631 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#461" {A(0)} -attr @path {/sobel/sobel:core/conc#994.itm}
+load net {ACC1:acc#219.psp#1.sva(1)} -pin "ACC1:acc#461" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#994.itm}
+load net {ACC1:acc#219.psp#1.sva(2)} -pin "ACC1:acc#461" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#994.itm}
+load net {ACC1-1:and#5.itm} -pin "ACC1:acc#461" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1590.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#461" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1590.itm}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1:acc#461" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1590.itm}
+load net {ACC1:acc#461.itm(0)} -pin "ACC1:acc#461" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461.itm}
+load net {ACC1:acc#461.itm(1)} -pin "ACC1:acc#461" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461.itm}
+load net {ACC1:acc#461.itm(2)} -pin "ACC1:acc#461" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461.itm}
+load net {ACC1:acc#461.itm(3)} -pin "ACC1:acc#461" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461.itm}
+load net {ACC1:acc#461.itm(4)} -pin "ACC1:acc#461" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#461.itm}
+load inst "ACC1:acc#574" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64442 -attr oid 1632 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#471.itm(1)} -pin "ACC1:acc#574" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#139.itm}
+load net {ACC1:acc#471.itm(2)} -pin "ACC1:acc#574" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#139.itm}
+load net {ACC1:acc#471.itm(3)} -pin "ACC1:acc#574" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#139.itm}
+load net {ACC1:acc#471.itm(4)} -pin "ACC1:acc#574" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#139.itm}
+load net {ACC1:acc#461.itm(1)} -pin "ACC1:acc#574" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#129.itm}
+load net {ACC1:acc#461.itm(2)} -pin "ACC1:acc#574" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#129.itm}
+load net {ACC1:acc#461.itm(3)} -pin "ACC1:acc#574" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#129.itm}
+load net {ACC1:acc#461.itm(4)} -pin "ACC1:acc#574" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#129.itm}
+load net {ACC1:acc#574.itm(0)} -pin "ACC1:acc#574" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(1)} -pin "ACC1:acc#574" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(2)} -pin "ACC1:acc#574" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(3)} -pin "ACC1:acc#574" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(4)} -pin "ACC1:acc#574" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load inst "ACC1:acc#602" "add(5,1,5,1,6)" "INTERFACE" -attr xrf 64443 -attr oid 1633 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,1,5,1,6)"
+load net {ACC1:acc#575.itm(0)} -pin "ACC1:acc#602" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(1)} -pin "ACC1:acc#602" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(2)} -pin "ACC1:acc#602" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(3)} -pin "ACC1:acc#602" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#575.itm(4)} -pin "ACC1:acc#602" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#575.itm}
+load net {ACC1:acc#574.itm(0)} -pin "ACC1:acc#602" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(1)} -pin "ACC1:acc#602" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(2)} -pin "ACC1:acc#602" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(3)} -pin "ACC1:acc#602" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#574.itm(4)} -pin "ACC1:acc#602" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#574.itm}
+load net {ACC1:acc#602.itm(0)} -pin "ACC1:acc#602" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(1)} -pin "ACC1:acc#602" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(2)} -pin "ACC1:acc#602" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(3)} -pin "ACC1:acc#602" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(4)} -pin "ACC1:acc#602" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(5)} -pin "ACC1:acc#602" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load inst "ACC1:acc#621" "add(6,0,6,1,7)" "INTERFACE" -attr xrf 64444 -attr oid 1634 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {ACC1:acc#603.itm(0)} -pin "ACC1:acc#621" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(1)} -pin "ACC1:acc#621" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(2)} -pin "ACC1:acc#621" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(3)} -pin "ACC1:acc#621" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(4)} -pin "ACC1:acc#621" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#603.itm(5)} -pin "ACC1:acc#621" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#603.itm}
+load net {ACC1:acc#602.itm(0)} -pin "ACC1:acc#621" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(1)} -pin "ACC1:acc#621" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(2)} -pin "ACC1:acc#621" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(3)} -pin "ACC1:acc#621" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(4)} -pin "ACC1:acc#621" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#602.itm(5)} -pin "ACC1:acc#621" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#602.itm}
+load net {ACC1:acc#621.itm(0)} -pin "ACC1:acc#621" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(1)} -pin "ACC1:acc#621" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(2)} -pin "ACC1:acc#621" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(3)} -pin "ACC1:acc#621" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(4)} -pin "ACC1:acc#621" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(5)} -pin "ACC1:acc#621" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(6)} -pin "ACC1:acc#621" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load inst "ACC1:acc#640" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 64445 -attr oid 1635 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#627.itm(0)} -pin "ACC1:acc#640" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(1)} -pin "ACC1:acc#640" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(2)} -pin "ACC1:acc#640" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(3)} -pin "ACC1:acc#640" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(4)} -pin "ACC1:acc#640" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(5)} -pin "ACC1:acc#640" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(6)} -pin "ACC1:acc#640" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#627.itm(7)} -pin "ACC1:acc#640" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#627.itm}
+load net {ACC1:acc#621.itm(0)} -pin "ACC1:acc#640" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(1)} -pin "ACC1:acc#640" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(2)} -pin "ACC1:acc#640" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(3)} -pin "ACC1:acc#640" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(4)} -pin "ACC1:acc#640" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(5)} -pin "ACC1:acc#640" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#621.itm(6)} -pin "ACC1:acc#640" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#621.itm}
+load net {ACC1:acc#640.itm(0)} -pin "ACC1:acc#640" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(1)} -pin "ACC1:acc#640" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(2)} -pin "ACC1:acc#640" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(3)} -pin "ACC1:acc#640" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(4)} -pin "ACC1:acc#640" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(5)} -pin "ACC1:acc#640" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(6)} -pin "ACC1:acc#640" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(7)} -pin "ACC1:acc#640" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load inst "ACC1:acc#301" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64446 -attr oid 1636 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#227.psp.sva(6)} -pin "ACC1:acc#301" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#38.itm}
+load net {acc.psp#1.sva(6)} -pin "ACC1:acc#301" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#46.itm}
+load net {ACC1:acc#301.itm(0)} -pin "ACC1:acc#301" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {ACC1:acc#301.itm(1)} -pin "ACC1:acc#301" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load inst "ACC1:acc#300" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64447 -attr oid 1637 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#301.itm(0)} -pin "ACC1:acc#300" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {ACC1:acc#301.itm(1)} -pin "ACC1:acc#300" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {ACC1:acc#224.psp.sva(5)} -pin "ACC1:acc#300" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#19.itm}
+load net {ACC1:acc#300.itm(0)} -pin "ACC1:acc#300" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#300.itm(1)} -pin "ACC1:acc#300" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load inst "ACC1:acc#299" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 64448 -attr oid 1638 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#300.itm(0)} -pin "ACC1:acc#299" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#300.itm(1)} -pin "ACC1:acc#299" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#228.psp.sva(5)} -pin "ACC1:acc#299" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#22.itm}
+load net {ACC1:acc#299.itm(0)} -pin "ACC1:acc#299" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(1)} -pin "ACC1:acc#299" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(2)} -pin "ACC1:acc#299" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load inst "ACC1:acc#298" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64449 -attr oid 1639 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#299.itm(0)} -pin "ACC1:acc#298" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(1)} -pin "ACC1:acc#298" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(2)} -pin "ACC1:acc#298" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#226.psp.sva(5)} -pin "ACC1:acc#298" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#17.itm}
+load net {ACC1:acc#298.itm(0)} -pin "ACC1:acc#298" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(1)} -pin "ACC1:acc#298" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(2)} -pin "ACC1:acc#298" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load inst "ACC1:acc#297" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64450 -attr oid 1640 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#298.itm(0)} -pin "ACC1:acc#297" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(1)} -pin "ACC1:acc#297" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(2)} -pin "ACC1:acc#297" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#224.psp#1.sva(5)} -pin "ACC1:acc#297" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#38.itm}
+load net {ACC1:acc#297.itm(0)} -pin "ACC1:acc#297" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(1)} -pin "ACC1:acc#297" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(2)} -pin "ACC1:acc#297" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load inst "ACC1:acc#296" "add(3,-1,1,0,3)" "INTERFACE" -attr xrf 64451 -attr oid 1641 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#297.itm(0)} -pin "ACC1:acc#296" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(1)} -pin "ACC1:acc#296" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(2)} -pin "ACC1:acc#296" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1-1:acc#25.psp.sva(6)} -pin "ACC1:acc#296" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#50.itm}
+load net {ACC1:acc#296.itm(0)} -pin "ACC1:acc#296" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(1)} -pin "ACC1:acc#296" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(2)} -pin "ACC1:acc#296" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load inst "ACC1:acc#295" "add(3,0,1,0,4)" "INTERFACE" -attr xrf 64452 -attr oid 1642 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {ACC1:acc#296.itm(0)} -pin "ACC1:acc#295" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(1)} -pin "ACC1:acc#295" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(2)} -pin "ACC1:acc#295" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#295" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#73.itm}
+load net {ACC1:acc#295.itm(0)} -pin "ACC1:acc#295" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(1)} -pin "ACC1:acc#295" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(2)} -pin "ACC1:acc#295" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(3)} -pin "ACC1:acc#295" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load inst "ACC1:mul" "mul(4,0,5,0,8)" "INTERFACE" -attr xrf 64453 -attr oid 1643 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(4,0,5,0,8)"
+load net {ACC1:acc#295.itm(0)} -pin "ACC1:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(1)} -pin "ACC1:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(2)} -pin "ACC1:mul" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(3)} -pin "ACC1:mul" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {PWR} -pin "ACC1:mul" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
+load net {ACC1:mul.itm(0)} -pin "ACC1:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(1)} -pin "ACC1:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(2)} -pin "ACC1:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(3)} -pin "ACC1:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(4)} -pin "ACC1:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(5)} -pin "ACC1:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(6)} -pin "ACC1:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(7)} -pin "ACC1:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load inst "ACC1:acc#639" "add(8,0,8,0,9)" "INTERFACE" -attr xrf 64454 -attr oid 1644 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639} -attr area 9.258614 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,0,9)"
+load net {ACC1:mul.itm(0)} -pin "ACC1:acc#639" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(1)} -pin "ACC1:acc#639" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(2)} -pin "ACC1:acc#639" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(3)} -pin "ACC1:acc#639" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(4)} -pin "ACC1:acc#639" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(5)} -pin "ACC1:acc#639" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(6)} -pin "ACC1:acc#639" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(7)} -pin "ACC1:acc#639" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:acc#228.psp.sva(2)} -pin "ACC1:acc#639" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {acc#20.psp#1.sva(8)} -pin "ACC1:acc#639" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {GND} -pin "ACC1:acc#639" {B(2)} -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {acc#20.psp#1.sva(8)} -pin "ACC1:acc#639" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {GND} -pin "ACC1:acc#639" {B(4)} -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {acc#20.psp#1.sva(8)} -pin "ACC1:acc#639" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {GND} -pin "ACC1:acc#639" {B(6)} -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {acc#20.psp#1.sva(8)} -pin "ACC1:acc#639" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#995.itm}
+load net {ACC1:acc#639.itm(0)} -pin "ACC1:acc#639" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(1)} -pin "ACC1:acc#639" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(2)} -pin "ACC1:acc#639" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(3)} -pin "ACC1:acc#639" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(4)} -pin "ACC1:acc#639" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(5)} -pin "ACC1:acc#639" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(6)} -pin "ACC1:acc#639" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(7)} -pin "ACC1:acc#639" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(8)} -pin "ACC1:acc#639" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load inst "ACC1:acc#647" "add(8,0,9,0,10)" "INTERFACE" -attr xrf 64455 -attr oid 1645 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,11)"
+load net {ACC1:acc#640.itm(0)} -pin "ACC1:acc#647" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(1)} -pin "ACC1:acc#647" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(2)} -pin "ACC1:acc#647" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(3)} -pin "ACC1:acc#647" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(4)} -pin "ACC1:acc#647" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(5)} -pin "ACC1:acc#647" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(6)} -pin "ACC1:acc#647" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#640.itm(7)} -pin "ACC1:acc#647" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#640.itm}
+load net {ACC1:acc#639.itm(0)} -pin "ACC1:acc#647" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(1)} -pin "ACC1:acc#647" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(2)} -pin "ACC1:acc#647" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(3)} -pin "ACC1:acc#647" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(4)} -pin "ACC1:acc#647" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(5)} -pin "ACC1:acc#647" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(6)} -pin "ACC1:acc#647" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(7)} -pin "ACC1:acc#647" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#639.itm(8)} -pin "ACC1:acc#647" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#639.itm}
+load net {ACC1:acc#647.itm(0)} -pin "ACC1:acc#647" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(1)} -pin "ACC1:acc#647" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(2)} -pin "ACC1:acc#647" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(3)} -pin "ACC1:acc#647" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(4)} -pin "ACC1:acc#647" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(5)} -pin "ACC1:acc#647" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(6)} -pin "ACC1:acc#647" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(7)} -pin "ACC1:acc#647" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(8)} -pin "ACC1:acc#647" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(9)} -pin "ACC1:acc#647" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load inst "ACC1:acc#655" "add(11,1,10,0,12)" "INTERFACE" -attr xrf 64456 -attr oid 1646 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#650.itm(0)} -pin "ACC1:acc#655" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(1)} -pin "ACC1:acc#655" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(2)} -pin "ACC1:acc#655" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(3)} -pin "ACC1:acc#655" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(4)} -pin "ACC1:acc#655" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(5)} -pin "ACC1:acc#655" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(6)} -pin "ACC1:acc#655" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(7)} -pin "ACC1:acc#655" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(8)} -pin "ACC1:acc#655" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(9)} -pin "ACC1:acc#655" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#650.itm(10)} -pin "ACC1:acc#655" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#650.itm}
+load net {ACC1:acc#647.itm(0)} -pin "ACC1:acc#655" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(1)} -pin "ACC1:acc#655" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(2)} -pin "ACC1:acc#655" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(3)} -pin "ACC1:acc#655" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(4)} -pin "ACC1:acc#655" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(5)} -pin "ACC1:acc#655" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(6)} -pin "ACC1:acc#655" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(7)} -pin "ACC1:acc#655" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(8)} -pin "ACC1:acc#655" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#647.itm(9)} -pin "ACC1:acc#655" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#647.itm}
+load net {ACC1:acc#655.itm(0)} -pin "ACC1:acc#655" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(1)} -pin "ACC1:acc#655" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(2)} -pin "ACC1:acc#655" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(3)} -pin "ACC1:acc#655" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(4)} -pin "ACC1:acc#655" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(5)} -pin "ACC1:acc#655" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(6)} -pin "ACC1:acc#655" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(7)} -pin "ACC1:acc#655" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(8)} -pin "ACC1:acc#655" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(9)} -pin "ACC1:acc#655" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(10)} -pin "ACC1:acc#655" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(11)} -pin "ACC1:acc#655" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load inst "reg(ACC1:acc#655.itm#1)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 64457 -attr oid 1647 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#655.itm#1)}
+load net {ACC1:acc#655.itm(0)} -pin "reg(ACC1:acc#655.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(1)} -pin "reg(ACC1:acc#655.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(2)} -pin "reg(ACC1:acc#655.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(3)} -pin "reg(ACC1:acc#655.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(4)} -pin "reg(ACC1:acc#655.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(5)} -pin "reg(ACC1:acc#655.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(6)} -pin "reg(ACC1:acc#655.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(7)} -pin "reg(ACC1:acc#655.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(8)} -pin "reg(ACC1:acc#655.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(9)} -pin "reg(ACC1:acc#655.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(10)} -pin "reg(ACC1:acc#655.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {ACC1:acc#655.itm(11)} -pin "reg(ACC1:acc#655.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#655.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
+load net {clk} -pin "reg(ACC1:acc#655.itm#1)" {clk} -attr xrf 64458 -attr oid 1648 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#655.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#655.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#655.itm#1(0)} -pin "reg(ACC1:acc#655.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(1)} -pin "reg(ACC1:acc#655.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(2)} -pin "reg(ACC1:acc#655.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(3)} -pin "reg(ACC1:acc#655.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(4)} -pin "reg(ACC1:acc#655.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(5)} -pin "reg(ACC1:acc#655.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(6)} -pin "reg(ACC1:acc#655.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(7)} -pin "reg(ACC1:acc#655.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(8)} -pin "reg(ACC1:acc#655.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(9)} -pin "reg(ACC1:acc#655.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(10)} -pin "reg(ACC1:acc#655.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(11)} -pin "reg(ACC1:acc#655.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load inst "reg(main.stage_0#2)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 64459 -attr oid 1649 -attr @path {/sobel/sobel:core/reg(main.stage_0#2)}
+load net {PWR} -pin "reg(main.stage_0#2)" {D(0)} -attr @path {/sobel/sobel:core/C0_10#10_Not}
+load net {GND} -pin "reg(main.stage_0#2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#10}
+load net {clk} -pin "reg(main.stage_0#2)" {clk} -attr xrf 64460 -attr oid 1650 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(main.stage_0#2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(main.stage_0#2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {main.stage_0#2} -pin "reg(main.stage_0#2)" {Z(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load inst "reg(regs.regs:slc(regs.regs(2))#10.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64461 -attr oid 1651 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#10.itm)}
+load net {reg(regs.regs(0).sva).cse(70)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(71)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(72)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(73)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(74)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(75)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(76)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(77)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(78)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(79)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {clk} -attr xrf 64462 -attr oid 1652 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#10.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#10.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#11.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64463 -attr oid 1653 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#11.itm)}
+load net {reg(regs.regs(0).sva).cse(60)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(61)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(62)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(63)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(64)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(65)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(66)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(67)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(68)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(69)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {clk} -attr xrf 64464 -attr oid 1654 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#11.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#11.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#9.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64465 -attr oid 1655 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#9.itm)}
+load net {reg(regs.regs(0).sva).cse(80)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(81)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(82)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(83)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(84)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(85)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(86)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(87)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(88)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {reg(regs.regs(0).sva).cse(89)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {clk} -attr xrf 64466 -attr oid 1656 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#9.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#9.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#4.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64467 -attr oid 1657 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#4.itm)}
+load net {reg(regs.regs(0).sva).cse(40)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(41)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(42)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(43)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(44)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(45)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(46)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(47)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(48)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(49)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {clk} -attr xrf 64468 -attr oid 1658 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#4.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#4.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#5.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64469 -attr oid 1659 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#5.itm)}
+load net {reg(regs.regs(0).sva).cse(30)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(31)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(32)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(33)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(34)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(35)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(36)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(37)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(38)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(39)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {clk} -attr xrf 64470 -attr oid 1660 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#5.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#5.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#3.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64471 -attr oid 1661 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#3.itm)}
+load net {reg(regs.regs(0).sva).cse(50)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(51)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(52)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(53)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(54)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(55)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(56)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(57)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(58)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(59)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {clk} -attr xrf 64472 -attr oid 1662 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#3.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#3.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load inst "reg(regs.regs:slc(regs.regs(2)).itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64473 -attr oid 1663 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2)).itm)}
+load net {reg(regs.regs(0).sva).cse(20)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(21)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(22)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(23)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(24)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(25)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(26)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(27)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(28)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {reg(regs.regs(0).sva).cse(29)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {clk} -attr xrf 64474 -attr oid 1664 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2)).itm(0)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(1)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(2)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(3)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(4)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(5)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(6)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(7)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(8)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(9)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#1.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64475 -attr oid 1665 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#1.itm)}
+load net {reg(regs.regs(0).sva).cse(10)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(11)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(12)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(13)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(14)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(15)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(16)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(17)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(18)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {reg(regs.regs(0).sva).cse(19)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {clk} -attr xrf 64476 -attr oid 1666 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#1.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#1.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load inst "reg(regs.regs:slc(regs.regs(2))#2.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 64477 -attr oid 1667 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#2.itm)}
+load net {reg(regs.regs(0).sva).cse(0)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(1)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(2)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(3)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(4)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(5)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(6)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(7)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(8)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {reg(regs.regs(0).sva).cse(9)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#11}
+load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {clk} -attr xrf 64478 -attr oid 1668 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs:slc(regs.regs(2))#2.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#2.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load inst "reg(regs.regs(0).sva)" "reg(90,1,1,-1,0)" "INTERFACE" -attr xrf 64479 -attr oid 1669 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva)}
+load net {vin:rsc:mgc_in_wire.d(0)} -pin "reg(regs.regs(0).sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(1)} -pin "reg(regs.regs(0).sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(2)} -pin "reg(regs.regs(0).sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(3)} -pin "reg(regs.regs(0).sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(4)} -pin "reg(regs.regs(0).sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(5)} -pin "reg(regs.regs(0).sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(6)} -pin "reg(regs.regs(0).sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(7)} -pin "reg(regs.regs(0).sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(8)} -pin "reg(regs.regs(0).sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(9)} -pin "reg(regs.regs(0).sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(10)} -pin "reg(regs.regs(0).sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(11)} -pin "reg(regs.regs(0).sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(12)} -pin "reg(regs.regs(0).sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(13)} -pin "reg(regs.regs(0).sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(14)} -pin "reg(regs.regs(0).sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(15)} -pin "reg(regs.regs(0).sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(16)} -pin "reg(regs.regs(0).sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(17)} -pin "reg(regs.regs(0).sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(18)} -pin "reg(regs.regs(0).sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(19)} -pin "reg(regs.regs(0).sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(20)} -pin "reg(regs.regs(0).sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(21)} -pin "reg(regs.regs(0).sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(22)} -pin "reg(regs.regs(0).sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(23)} -pin "reg(regs.regs(0).sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(24)} -pin "reg(regs.regs(0).sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(25)} -pin "reg(regs.regs(0).sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(26)} -pin "reg(regs.regs(0).sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(27)} -pin "reg(regs.regs(0).sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(28)} -pin "reg(regs.regs(0).sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(29)} -pin "reg(regs.regs(0).sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(30)} -pin "reg(regs.regs(0).sva)" {D(30)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(31)} -pin "reg(regs.regs(0).sva)" {D(31)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(32)} -pin "reg(regs.regs(0).sva)" {D(32)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(33)} -pin "reg(regs.regs(0).sva)" {D(33)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(34)} -pin "reg(regs.regs(0).sva)" {D(34)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(35)} -pin "reg(regs.regs(0).sva)" {D(35)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(36)} -pin "reg(regs.regs(0).sva)" {D(36)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(37)} -pin "reg(regs.regs(0).sva)" {D(37)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(38)} -pin "reg(regs.regs(0).sva)" {D(38)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(39)} -pin "reg(regs.regs(0).sva)" {D(39)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(40)} -pin "reg(regs.regs(0).sva)" {D(40)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(41)} -pin "reg(regs.regs(0).sva)" {D(41)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(42)} -pin "reg(regs.regs(0).sva)" {D(42)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(43)} -pin "reg(regs.regs(0).sva)" {D(43)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(44)} -pin "reg(regs.regs(0).sva)" {D(44)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(45)} -pin "reg(regs.regs(0).sva)" {D(45)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(46)} -pin "reg(regs.regs(0).sva)" {D(46)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(47)} -pin "reg(regs.regs(0).sva)" {D(47)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(48)} -pin "reg(regs.regs(0).sva)" {D(48)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(49)} -pin "reg(regs.regs(0).sva)" {D(49)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(50)} -pin "reg(regs.regs(0).sva)" {D(50)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(51)} -pin "reg(regs.regs(0).sva)" {D(51)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(52)} -pin "reg(regs.regs(0).sva)" {D(52)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(53)} -pin "reg(regs.regs(0).sva)" {D(53)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(54)} -pin "reg(regs.regs(0).sva)" {D(54)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(55)} -pin "reg(regs.regs(0).sva)" {D(55)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(56)} -pin "reg(regs.regs(0).sva)" {D(56)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(57)} -pin "reg(regs.regs(0).sva)" {D(57)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(58)} -pin "reg(regs.regs(0).sva)" {D(58)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(59)} -pin "reg(regs.regs(0).sva)" {D(59)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(60)} -pin "reg(regs.regs(0).sva)" {D(60)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(61)} -pin "reg(regs.regs(0).sva)" {D(61)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(62)} -pin "reg(regs.regs(0).sva)" {D(62)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(63)} -pin "reg(regs.regs(0).sva)" {D(63)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(64)} -pin "reg(regs.regs(0).sva)" {D(64)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(65)} -pin "reg(regs.regs(0).sva)" {D(65)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(66)} -pin "reg(regs.regs(0).sva)" {D(66)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(67)} -pin "reg(regs.regs(0).sva)" {D(67)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(68)} -pin "reg(regs.regs(0).sva)" {D(68)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(69)} -pin "reg(regs.regs(0).sva)" {D(69)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(70)} -pin "reg(regs.regs(0).sva)" {D(70)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(71)} -pin "reg(regs.regs(0).sva)" {D(71)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(72)} -pin "reg(regs.regs(0).sva)" {D(72)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(73)} -pin "reg(regs.regs(0).sva)" {D(73)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(74)} -pin "reg(regs.regs(0).sva)" {D(74)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(75)} -pin "reg(regs.regs(0).sva)" {D(75)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(76)} -pin "reg(regs.regs(0).sva)" {D(76)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(77)} -pin "reg(regs.regs(0).sva)" {D(77)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(78)} -pin "reg(regs.regs(0).sva)" {D(78)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(79)} -pin "reg(regs.regs(0).sva)" {D(79)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(80)} -pin "reg(regs.regs(0).sva)" {D(80)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(81)} -pin "reg(regs.regs(0).sva)" {D(81)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(82)} -pin "reg(regs.regs(0).sva)" {D(82)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(83)} -pin "reg(regs.regs(0).sva)" {D(83)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(84)} -pin "reg(regs.regs(0).sva)" {D(84)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(85)} -pin "reg(regs.regs(0).sva)" {D(85)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(86)} -pin "reg(regs.regs(0).sva)" {D(86)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(87)} -pin "reg(regs.regs(0).sva)" {D(87)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(88)} -pin "reg(regs.regs(0).sva)" {D(88)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(89)} -pin "reg(regs.regs(0).sva)" {D(89)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(10)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(11)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(12)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(13)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(14)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(15)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(16)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(17)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(18)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(19)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(20)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(21)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(22)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(23)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(24)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(25)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(26)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(27)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(28)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(29)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(30)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(31)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(32)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(33)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(34)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(35)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(36)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(37)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(38)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(39)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(40)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(41)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(42)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(43)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(44)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(45)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(46)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(47)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(48)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(49)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(50)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(51)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(52)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(53)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(54)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(55)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(56)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(57)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(58)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(59)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(60)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(61)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(62)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(63)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(64)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(65)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(66)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(67)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(68)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(69)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(70)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(71)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(72)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(73)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(74)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(75)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(76)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(77)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(78)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(79)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(80)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(81)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(82)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(83)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(84)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(85)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(86)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(87)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(88)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(89)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {clk} -pin "reg(regs.regs(0).sva)" {clk} -attr xrf 64480 -attr oid 1670 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(0).sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(0).sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {reg(regs.regs(0).sva).cse(0)} -pin "reg(regs.regs(0).sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(1)} -pin "reg(regs.regs(0).sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(2)} -pin "reg(regs.regs(0).sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(3)} -pin "reg(regs.regs(0).sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(4)} -pin "reg(regs.regs(0).sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(5)} -pin "reg(regs.regs(0).sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(6)} -pin "reg(regs.regs(0).sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(7)} -pin "reg(regs.regs(0).sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(8)} -pin "reg(regs.regs(0).sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(9)} -pin "reg(regs.regs(0).sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(10)} -pin "reg(regs.regs(0).sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(11)} -pin "reg(regs.regs(0).sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(12)} -pin "reg(regs.regs(0).sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(13)} -pin "reg(regs.regs(0).sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(14)} -pin "reg(regs.regs(0).sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(15)} -pin "reg(regs.regs(0).sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(16)} -pin "reg(regs.regs(0).sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(17)} -pin "reg(regs.regs(0).sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(18)} -pin "reg(regs.regs(0).sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(19)} -pin "reg(regs.regs(0).sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(20)} -pin "reg(regs.regs(0).sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(21)} -pin "reg(regs.regs(0).sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(22)} -pin "reg(regs.regs(0).sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(23)} -pin "reg(regs.regs(0).sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(24)} -pin "reg(regs.regs(0).sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(25)} -pin "reg(regs.regs(0).sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(26)} -pin "reg(regs.regs(0).sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(27)} -pin "reg(regs.regs(0).sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(28)} -pin "reg(regs.regs(0).sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(29)} -pin "reg(regs.regs(0).sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(30)} -pin "reg(regs.regs(0).sva)" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(31)} -pin "reg(regs.regs(0).sva)" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(32)} -pin "reg(regs.regs(0).sva)" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(33)} -pin "reg(regs.regs(0).sva)" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(34)} -pin "reg(regs.regs(0).sva)" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(35)} -pin "reg(regs.regs(0).sva)" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(36)} -pin "reg(regs.regs(0).sva)" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(37)} -pin "reg(regs.regs(0).sva)" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(38)} -pin "reg(regs.regs(0).sva)" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(39)} -pin "reg(regs.regs(0).sva)" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(40)} -pin "reg(regs.regs(0).sva)" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(41)} -pin "reg(regs.regs(0).sva)" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(42)} -pin "reg(regs.regs(0).sva)" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(43)} -pin "reg(regs.regs(0).sva)" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(44)} -pin "reg(regs.regs(0).sva)" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(45)} -pin "reg(regs.regs(0).sva)" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(46)} -pin "reg(regs.regs(0).sva)" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(47)} -pin "reg(regs.regs(0).sva)" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(48)} -pin "reg(regs.regs(0).sva)" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(49)} -pin "reg(regs.regs(0).sva)" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(50)} -pin "reg(regs.regs(0).sva)" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(51)} -pin "reg(regs.regs(0).sva)" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(52)} -pin "reg(regs.regs(0).sva)" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(53)} -pin "reg(regs.regs(0).sva)" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(54)} -pin "reg(regs.regs(0).sva)" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(55)} -pin "reg(regs.regs(0).sva)" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(56)} -pin "reg(regs.regs(0).sva)" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(57)} -pin "reg(regs.regs(0).sva)" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(58)} -pin "reg(regs.regs(0).sva)" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(59)} -pin "reg(regs.regs(0).sva)" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(60)} -pin "reg(regs.regs(0).sva)" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(61)} -pin "reg(regs.regs(0).sva)" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(62)} -pin "reg(regs.regs(0).sva)" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(63)} -pin "reg(regs.regs(0).sva)" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(64)} -pin "reg(regs.regs(0).sva)" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(65)} -pin "reg(regs.regs(0).sva)" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(66)} -pin "reg(regs.regs(0).sva)" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(67)} -pin "reg(regs.regs(0).sva)" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(68)} -pin "reg(regs.regs(0).sva)" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(69)} -pin "reg(regs.regs(0).sva)" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(70)} -pin "reg(regs.regs(0).sva)" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(71)} -pin "reg(regs.regs(0).sva)" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(72)} -pin "reg(regs.regs(0).sva)" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(73)} -pin "reg(regs.regs(0).sva)" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(74)} -pin "reg(regs.regs(0).sva)" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(75)} -pin "reg(regs.regs(0).sva)" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(76)} -pin "reg(regs.regs(0).sva)" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(77)} -pin "reg(regs.regs(0).sva)" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(78)} -pin "reg(regs.regs(0).sva)" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(79)} -pin "reg(regs.regs(0).sva)" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(80)} -pin "reg(regs.regs(0).sva)" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(81)} -pin "reg(regs.regs(0).sva)" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(82)} -pin "reg(regs.regs(0).sva)" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(83)} -pin "reg(regs.regs(0).sva)" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(84)} -pin "reg(regs.regs(0).sva)" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(85)} -pin "reg(regs.regs(0).sva)" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(86)} -pin "reg(regs.regs(0).sva)" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(87)} -pin "reg(regs.regs(0).sva)" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(88)} -pin "reg(regs.regs(0).sva)" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load net {reg(regs.regs(0).sva).cse(89)} -pin "reg(regs.regs(0).sva)" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva).cse}
+load inst "FRAME:mul" "mul(2,0,9,0,11)" "INTERFACE" -attr xrf 64481 -attr oid 1671 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,11,1,13)"
+load net {ACC1:acc.itm(13)} -pin "FRAME:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#13.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#13.itm}
+load net {PWR} -pin "FRAME:mul" {B(0)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(1)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(2)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(3)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(4)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(5)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(6)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(7)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(8)} -attr @path {/sobel/sobel:core/C455_9}
+load net {FRAME:mul.itm(0)} -pin "FRAME:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(1)} -pin "FRAME:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(2)} -pin "FRAME:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(3)} -pin "FRAME:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(4)} -pin "FRAME:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(5)} -pin "FRAME:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(6)} -pin "FRAME:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(7)} -pin "FRAME:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(8)} -pin "FRAME:mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(9)} -pin "FRAME:mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(10)} -pin "FRAME:mul" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load inst "FRAME:mul#1" "mul(3,0,6,0,9)" "INTERFACE" -attr xrf 64482 -attr oid 1672 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
+load net {ACC1:acc.itm(10)} -pin "FRAME:mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
+load net {ACC1:acc.itm(11)} -pin "FRAME:mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
+load net {ACC1:acc.itm(12)} -pin "FRAME:mul#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
+load net {PWR} -pin "FRAME:mul#1" {B(0)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#1" {B(1)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#1" {B(2)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(3)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(4)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(5)} -attr @path {/sobel/sobel:core/C57_6}
+load net {FRAME:mul#1.itm(0)} -pin "FRAME:mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(1)} -pin "FRAME:mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(2)} -pin "FRAME:mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(3)} -pin "FRAME:mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(4)} -pin "FRAME:mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(5)} -pin "FRAME:mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(6)} -pin "FRAME:mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(7)} -pin "FRAME:mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(8)} -pin "FRAME:mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load inst "FRAME:not#7" "not(1)" "INTERFACE" -attr xrf 64483 -attr oid 1673 -attr @path {/sobel/sobel:core/FRAME:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#24.sva(5)} -pin "FRAME:not#7" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#6.itm}
+load net {FRAME:not#7.itm} -pin "FRAME:not#7" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#7.itm}
+load inst "FRAME:not#5" "not(3)" "INTERFACE" -attr xrf 64484 -attr oid 1674 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {acc.imod#24.sva(3)} -pin "FRAME:not#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#2.itm}
+load net {acc.imod#24.sva(4)} -pin "FRAME:not#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#2.itm}
+load net {acc.imod#24.sva(5)} -pin "FRAME:not#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#2.itm}
+load net {FRAME:not#5.itm(0)} -pin "FRAME:not#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {FRAME:not#5.itm(1)} -pin "FRAME:not#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {FRAME:not#5.itm(2)} -pin "FRAME:not#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load inst "FRAME:not#4" "not(1)" "INTERFACE" -attr xrf 64485 -attr oid 1675 -attr @path {/sobel/sobel:core/FRAME:not#4} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#24.sva(5)} -pin "FRAME:not#4" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#3.itm}
+load net {FRAME:not#4.itm} -pin "FRAME:not#4" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#4.itm}
+load inst "FRAME:acc#16" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 64486 -attr oid 1676 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "FRAME:acc#16" {A(0)} -attr @path {/sobel/sobel:core/conc#998.itm}
+load net {acc.imod#24.sva(0)} -pin "FRAME:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#998.itm}
+load net {acc.imod#24.sva(1)} -pin "FRAME:acc#16" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#998.itm}
+load net {acc.imod#24.sva(2)} -pin "FRAME:acc#16" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#998.itm}
+load net {PWR} -pin "FRAME:acc#16" {A(4)} -attr @path {/sobel/sobel:core/conc#998.itm}
+load net {FRAME:not#4.itm} -pin "FRAME:acc#16" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(0)} -pin "FRAME:acc#16" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(1)} -pin "FRAME:acc#16" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(2)} -pin "FRAME:acc#16" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:acc#16.itm(0)} -pin "FRAME:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(1)} -pin "FRAME:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(2)} -pin "FRAME:acc#16" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(3)} -pin "FRAME:acc#16" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(4)} -pin "FRAME:acc#16" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load inst "FRAME:not#14" "not(1)" "INTERFACE" -attr xrf 64487 -attr oid 1677 -attr @path {/sobel/sobel:core/FRAME:not#14} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc#16.itm(4)} -pin "FRAME:not#14" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc#3.itm}
+load net {FRAME:not#14.itm} -pin "FRAME:not#14" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load inst "FRAME:acc#10" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 64488 -attr oid 1678 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {FRAME:not#14.itm} -pin "FRAME:acc#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#997.itm}
+load net {PWR} -pin "FRAME:acc#10" {A(1)} -attr @path {/sobel/sobel:core/conc#997.itm}
+load net {FRAME:not#7.itm} -pin "FRAME:acc#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#997.itm}
+load net {acc.imod#24.sva(3)} -pin "FRAME:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#4.itm}
+load net {acc.imod#24.sva(4)} -pin "FRAME:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#4.itm}
+load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load inst "FRAME:not#6" "not(3)" "INTERFACE" -attr xrf 64489 -attr oid 1679 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC1:acc.itm(7)} -pin "FRAME:not#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
+load net {ACC1:acc.itm(8)} -pin "FRAME:not#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
+load net {ACC1:acc.itm(9)} -pin "FRAME:not#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
+load net {FRAME:not#6.itm(0)} -pin "FRAME:not#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(1)} -pin "FRAME:not#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(2)} -pin "FRAME:not#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load inst "FRAME:acc#11" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64490 -attr oid 1680 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#11" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#11" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#11" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#11" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:not#6.itm(0)} -pin "FRAME:acc#11" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(1)} -pin "FRAME:acc#11" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(2)} -pin "FRAME:acc#11" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#11" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#11" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#11" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#11" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load inst "FRAME:acc#12" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 64491 -attr oid 1681 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc.imod#24.sva(5)} -pin "FRAME:acc#12" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#996.itm}
+load net {PWR} -pin "FRAME:acc#12" {A(1)} -attr @path {/sobel/sobel:core/conc#996.itm}
+load net {GND} -pin "FRAME:acc#12" {A(2)} -attr @path {/sobel/sobel:core/conc#996.itm}
+load net {GND} -pin "FRAME:acc#12" {A(3)} -attr @path {/sobel/sobel:core/conc#996.itm}
+load net {PWR} -pin "FRAME:acc#12" {A(4)} -attr @path {/sobel/sobel:core/conc#996.itm}
+load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#12" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#12" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#12" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load inst "FRAME:acc#13" "add(6,0,5,1,7)" "INTERFACE" -attr xrf 64492 -attr oid 1682 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {ACC1:acc.itm(4)} -pin "FRAME:acc#13" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {ACC1:acc.itm(5)} -pin "FRAME:acc#13" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {ACC1:acc.itm(6)} -pin "FRAME:acc#13" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {ACC1:acc.itm(7)} -pin "FRAME:acc#13" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {ACC1:acc.itm(8)} -pin "FRAME:acc#13" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {ACC1:acc.itm(9)} -pin "FRAME:acc#13" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#2.itm}
+load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#13" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#13" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#13" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#13" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#13" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(4)} -pin "FRAME:acc#13" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(5)} -pin "FRAME:acc#13" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(6)} -pin "FRAME:acc#13" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load inst "FRAME:acc#14" "add(9,0,7,1,10)" "INTERFACE" -attr xrf 64493 -attr oid 1683 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,11)"
+load net {FRAME:mul#1.itm(0)} -pin "FRAME:acc#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(1)} -pin "FRAME:acc#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(2)} -pin "FRAME:acc#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(3)} -pin "FRAME:acc#14" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(4)} -pin "FRAME:acc#14" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(5)} -pin "FRAME:acc#14" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(6)} -pin "FRAME:acc#14" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(7)} -pin "FRAME:acc#14" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(8)} -pin "FRAME:acc#14" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#14" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(4)} -pin "FRAME:acc#14" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(5)} -pin "FRAME:acc#14" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(6)} -pin "FRAME:acc#14" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#14" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(5)} -pin "FRAME:acc#14" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(6)} -pin "FRAME:acc#14" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(7)} -pin "FRAME:acc#14" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(8)} -pin "FRAME:acc#14" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(9)} -pin "FRAME:acc#14" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load inst "FRAME:acc#15" "add(11,0,10,1,12)" "INTERFACE" -attr xrf 64494 -attr oid 1684 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,12)"
+load net {FRAME:mul.itm(0)} -pin "FRAME:acc#15" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(1)} -pin "FRAME:acc#15" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(2)} -pin "FRAME:acc#15" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(3)} -pin "FRAME:acc#15" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(4)} -pin "FRAME:acc#15" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(5)} -pin "FRAME:acc#15" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(6)} -pin "FRAME:acc#15" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(7)} -pin "FRAME:acc#15" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(8)} -pin "FRAME:acc#15" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(9)} -pin "FRAME:acc#15" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(10)} -pin "FRAME:acc#15" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#15" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#15" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#15" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#15" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#15" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(5)} -pin "FRAME:acc#15" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(6)} -pin "FRAME:acc#15" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(7)} -pin "FRAME:acc#15" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(8)} -pin "FRAME:acc#15" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(9)} -pin "FRAME:acc#15" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#15" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#15" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(5)} -pin "FRAME:acc#15" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(6)} -pin "FRAME:acc#15" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(7)} -pin "FRAME:acc#15" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(8)} -pin "FRAME:acc#15" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(9)} -pin "FRAME:acc#15" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(10)} -pin "FRAME:acc#15" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(11)} -pin "FRAME:acc#15" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load inst "FRAME:acc#2" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 64495 -attr oid 1685 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(5)} -pin "FRAME:acc#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(6)} -pin "FRAME:acc#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(7)} -pin "FRAME:acc#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(8)} -pin "FRAME:acc#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(9)} -pin "FRAME:acc#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(10)} -pin "FRAME:acc#2" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(11)} -pin "FRAME:acc#2" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {GND} -pin "FRAME:acc#2" {B(1)} -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {GND} -pin "FRAME:acc#2" {B(5)} -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {GND} -pin "FRAME:acc#2" {B(6)} -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {GND} -pin "FRAME:acc#2" {B(7)} -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#2" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/exs#103.itm}
+load net {FRAME:acc#2.psp.sva(0)} -pin "FRAME:acc#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(1)} -pin "FRAME:acc#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(2)} -pin "FRAME:acc#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(3)} -pin "FRAME:acc#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(4)} -pin "FRAME:acc#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(5)} -pin "FRAME:acc#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(6)} -pin "FRAME:acc#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(7)} -pin "FRAME:acc#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(8)} -pin "FRAME:acc#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(9)} -pin "FRAME:acc#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(10)} -pin "FRAME:acc#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(11)} -pin "FRAME:acc#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load inst "ACC1:acc#662" "add(13,1,13,1,14)" "INTERFACE" -attr xrf 64496 -attr oid 1686 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662} -attr area 14.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,1,13,1,14)"
+load net {ACC1:acc#659.itm#1(0)} -pin "ACC1:acc#662" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(1)} -pin "ACC1:acc#662" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(2)} -pin "ACC1:acc#662" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(3)} -pin "ACC1:acc#662" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(4)} -pin "ACC1:acc#662" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(5)} -pin "ACC1:acc#662" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(6)} -pin "ACC1:acc#662" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(7)} -pin "ACC1:acc#662" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(8)} -pin "ACC1:acc#662" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(9)} -pin "ACC1:acc#662" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(10)} -pin "ACC1:acc#662" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(11)} -pin "ACC1:acc#662" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#659.itm#1(12)} -pin "ACC1:acc#662" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#659.itm#1}
+load net {ACC1:acc#658.itm#1(0)} -pin "ACC1:acc#662" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(1)} -pin "ACC1:acc#662" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(2)} -pin "ACC1:acc#662" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(3)} -pin "ACC1:acc#662" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(4)} -pin "ACC1:acc#662" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(5)} -pin "ACC1:acc#662" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(6)} -pin "ACC1:acc#662" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(7)} -pin "ACC1:acc#662" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(8)} -pin "ACC1:acc#662" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(9)} -pin "ACC1:acc#662" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(10)} -pin "ACC1:acc#662" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(11)} -pin "ACC1:acc#662" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#658.itm#1(12)} -pin "ACC1:acc#662" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#658.itm#1}
+load net {ACC1:acc#662.itm(0)} -pin "ACC1:acc#662" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(1)} -pin "ACC1:acc#662" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(2)} -pin "ACC1:acc#662" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(3)} -pin "ACC1:acc#662" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(4)} -pin "ACC1:acc#662" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(5)} -pin "ACC1:acc#662" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(6)} -pin "ACC1:acc#662" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(7)} -pin "ACC1:acc#662" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(8)} -pin "ACC1:acc#662" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(9)} -pin "ACC1:acc#662" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(10)} -pin "ACC1:acc#662" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(11)} -pin "ACC1:acc#662" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(12)} -pin "ACC1:acc#662" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(13)} -pin "ACC1:acc#662" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load inst "ACC1:acc#664" "add(14,1,14,1,15)" "INTERFACE" -attr xrf 64497 -attr oid 1687 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664} -attr area 15.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(14,1,14,1,15)"
+load net {ACC1:acc#662.itm(0)} -pin "ACC1:acc#664" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(1)} -pin "ACC1:acc#664" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(2)} -pin "ACC1:acc#664" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(3)} -pin "ACC1:acc#664" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(4)} -pin "ACC1:acc#664" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(5)} -pin "ACC1:acc#664" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(6)} -pin "ACC1:acc#664" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(7)} -pin "ACC1:acc#664" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(8)} -pin "ACC1:acc#664" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(9)} -pin "ACC1:acc#664" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(10)} -pin "ACC1:acc#664" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(11)} -pin "ACC1:acc#664" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(12)} -pin "ACC1:acc#664" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#662.itm(13)} -pin "ACC1:acc#664" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#662.itm}
+load net {ACC1:acc#661.itm#1(0)} -pin "ACC1:acc#664" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(1)} -pin "ACC1:acc#664" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(2)} -pin "ACC1:acc#664" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(3)} -pin "ACC1:acc#664" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(4)} -pin "ACC1:acc#664" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(5)} -pin "ACC1:acc#664" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(6)} -pin "ACC1:acc#664" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(7)} -pin "ACC1:acc#664" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(8)} -pin "ACC1:acc#664" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(9)} -pin "ACC1:acc#664" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(10)} -pin "ACC1:acc#664" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(11)} -pin "ACC1:acc#664" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(12)} -pin "ACC1:acc#664" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#661.itm#1(13)} -pin "ACC1:acc#664" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#661.itm#1}
+load net {ACC1:acc#664.itm(0)} -pin "ACC1:acc#664" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(1)} -pin "ACC1:acc#664" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(2)} -pin "ACC1:acc#664" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(3)} -pin "ACC1:acc#664" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(4)} -pin "ACC1:acc#664" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(5)} -pin "ACC1:acc#664" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(6)} -pin "ACC1:acc#664" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(7)} -pin "ACC1:acc#664" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(8)} -pin "ACC1:acc#664" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(9)} -pin "ACC1:acc#664" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(10)} -pin "ACC1:acc#664" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(11)} -pin "ACC1:acc#664" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(12)} -pin "ACC1:acc#664" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(13)} -pin "ACC1:acc#664" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(14)} -pin "ACC1:acc#664" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load inst "ACC1:acc#656" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 64498 -attr oid 1688 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {ACC1-3:slc(acc#10.psp)#62.itm#1} -pin "ACC1:acc#656" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {ACC1-3:slc(acc#10.psp)#62.itm#1} -pin "ACC1:acc#656" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {GND} -pin "ACC1:acc#656" {A(2)} -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {ACC1:slc(ACC1:acc#228.psp)#55.itm#1} -pin "ACC1:acc#656" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {slc(acc#20.psp#1)#93.itm#1} -pin "ACC1:acc#656" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {GND} -pin "ACC1:acc#656" {A(5)} -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {slc(acc#20.psp#1)#93.itm#1} -pin "ACC1:acc#656" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {GND} -pin "ACC1:acc#656" {A(7)} -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {slc(acc#20.psp#1)#93.itm#1} -pin "ACC1:acc#656" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {GND} -pin "ACC1:acc#656" {A(9)} -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {GND} -pin "ACC1:acc#656" {A(10)} -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {slc(acc#20.psp#1)#93.itm#1} -pin "ACC1:acc#656" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#1002.itm}
+load net {ACC1:acc#652.itm#1(0)} -pin "ACC1:acc#656" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(1)} -pin "ACC1:acc#656" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(2)} -pin "ACC1:acc#656" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(3)} -pin "ACC1:acc#656" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(4)} -pin "ACC1:acc#656" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(5)} -pin "ACC1:acc#656" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(6)} -pin "ACC1:acc#656" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(7)} -pin "ACC1:acc#656" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(8)} -pin "ACC1:acc#656" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(9)} -pin "ACC1:acc#656" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#652.itm#1(10)} -pin "ACC1:acc#656" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#652.itm#1}
+load net {ACC1:acc#656.itm(0)} -pin "ACC1:acc#656" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(1)} -pin "ACC1:acc#656" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(2)} -pin "ACC1:acc#656" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(3)} -pin "ACC1:acc#656" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(4)} -pin "ACC1:acc#656" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(5)} -pin "ACC1:acc#656" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(6)} -pin "ACC1:acc#656" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(7)} -pin "ACC1:acc#656" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(8)} -pin "ACC1:acc#656" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(9)} -pin "ACC1:acc#656" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(10)} -pin "ACC1:acc#656" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(11)} -pin "ACC1:acc#656" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load inst "ACC1:acc#660" "add(12,1,12,1,13)" "INTERFACE" -attr xrf 64499 -attr oid 1689 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {ACC1:acc#656.itm(0)} -pin "ACC1:acc#660" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(1)} -pin "ACC1:acc#660" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(2)} -pin "ACC1:acc#660" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(3)} -pin "ACC1:acc#660" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(4)} -pin "ACC1:acc#660" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(5)} -pin "ACC1:acc#660" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(6)} -pin "ACC1:acc#660" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(7)} -pin "ACC1:acc#660" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(8)} -pin "ACC1:acc#660" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(9)} -pin "ACC1:acc#660" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(10)} -pin "ACC1:acc#660" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#656.itm(11)} -pin "ACC1:acc#660" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#656.itm}
+load net {ACC1:acc#655.itm#1(0)} -pin "ACC1:acc#660" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(1)} -pin "ACC1:acc#660" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(2)} -pin "ACC1:acc#660" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(3)} -pin "ACC1:acc#660" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(4)} -pin "ACC1:acc#660" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(5)} -pin "ACC1:acc#660" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(6)} -pin "ACC1:acc#660" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(7)} -pin "ACC1:acc#660" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(8)} -pin "ACC1:acc#660" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(9)} -pin "ACC1:acc#660" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(10)} -pin "ACC1:acc#660" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#655.itm#1(11)} -pin "ACC1:acc#660" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#655.itm#1}
+load net {ACC1:acc#660.itm(0)} -pin "ACC1:acc#660" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(1)} -pin "ACC1:acc#660" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(2)} -pin "ACC1:acc#660" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(3)} -pin "ACC1:acc#660" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(4)} -pin "ACC1:acc#660" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(5)} -pin "ACC1:acc#660" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(6)} -pin "ACC1:acc#660" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(7)} -pin "ACC1:acc#660" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(8)} -pin "ACC1:acc#660" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(9)} -pin "ACC1:acc#660" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(10)} -pin "ACC1:acc#660" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(11)} -pin "ACC1:acc#660" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(12)} -pin "ACC1:acc#660" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load inst "ACC1:acc#663" "add(14,-1,13,1,14)" "INTERFACE" -attr xrf 64500 -attr oid 1690 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663} -attr area 15.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(14,1,14,1,15)"
+load net {ACC1:mul#57.itm#2(0)} -pin "ACC1:acc#663" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:mul#57.itm#2(1)} -pin "ACC1:acc#663" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(2)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(3)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(4)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(5)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(6)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(7)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {GND} -pin "ACC1:acc#663" {A(8)} -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:mul#57.itm#1.sg2(0)} -pin "ACC1:acc#663" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:mul#57.itm#1.sg2(1)} -pin "ACC1:acc#663" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:mul#57.itm#1.sg2(2)} -pin "ACC1:acc#663" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:mul#57.itm#1.sg2(3)} -pin "ACC1:acc#663" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:mul#57.itm#1.sg2(4)} -pin "ACC1:acc#663" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/conc#1001.itm}
+load net {ACC1:acc#660.itm(0)} -pin "ACC1:acc#663" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(1)} -pin "ACC1:acc#663" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(2)} -pin "ACC1:acc#663" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(3)} -pin "ACC1:acc#663" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(4)} -pin "ACC1:acc#663" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(5)} -pin "ACC1:acc#663" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(6)} -pin "ACC1:acc#663" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(7)} -pin "ACC1:acc#663" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(8)} -pin "ACC1:acc#663" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(9)} -pin "ACC1:acc#663" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(10)} -pin "ACC1:acc#663" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(11)} -pin "ACC1:acc#663" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#660.itm(12)} -pin "ACC1:acc#663" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#660.itm}
+load net {ACC1:acc#663.itm(0)} -pin "ACC1:acc#663" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(1)} -pin "ACC1:acc#663" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(2)} -pin "ACC1:acc#663" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(3)} -pin "ACC1:acc#663" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(4)} -pin "ACC1:acc#663" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(5)} -pin "ACC1:acc#663" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(6)} -pin "ACC1:acc#663" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(7)} -pin "ACC1:acc#663" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(8)} -pin "ACC1:acc#663" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(9)} -pin "ACC1:acc#663" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(10)} -pin "ACC1:acc#663" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(11)} -pin "ACC1:acc#663" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(12)} -pin "ACC1:acc#663" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(13)} -pin "ACC1:acc#663" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load inst "ACC1:acc" "add(15,-1,14,1,15)" "INTERFACE" -attr xrf 64501 -attr oid 1691 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc} -attr area 16.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,14,1,15)"
+load net {ACC1:acc#664.itm(0)} -pin "ACC1:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(1)} -pin "ACC1:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(2)} -pin "ACC1:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(3)} -pin "ACC1:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(4)} -pin "ACC1:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(5)} -pin "ACC1:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(6)} -pin "ACC1:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(7)} -pin "ACC1:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(8)} -pin "ACC1:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(9)} -pin "ACC1:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(10)} -pin "ACC1:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(11)} -pin "ACC1:acc" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(12)} -pin "ACC1:acc" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(13)} -pin "ACC1:acc" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#664.itm(14)} -pin "ACC1:acc" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#664.itm}
+load net {ACC1:acc#663.itm(0)} -pin "ACC1:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(1)} -pin "ACC1:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(2)} -pin "ACC1:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(3)} -pin "ACC1:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(4)} -pin "ACC1:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(5)} -pin "ACC1:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(6)} -pin "ACC1:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(7)} -pin "ACC1:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(8)} -pin "ACC1:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(9)} -pin "ACC1:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(10)} -pin "ACC1:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(11)} -pin "ACC1:acc" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(12)} -pin "ACC1:acc" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc#663.itm(13)} -pin "ACC1:acc" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#663.itm}
+load net {ACC1:acc.itm(0)} -pin "ACC1:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(1)} -pin "ACC1:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(2)} -pin "ACC1:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(3)} -pin "ACC1:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(4)} -pin "ACC1:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(5)} -pin "ACC1:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(6)} -pin "ACC1:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(7)} -pin "ACC1:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(8)} -pin "ACC1:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(9)} -pin "ACC1:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(10)} -pin "ACC1:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(11)} -pin "ACC1:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(12)} -pin "ACC1:acc" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(13)} -pin "ACC1:acc" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(14)} -pin "ACC1:acc" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load inst "FRAME:not#2" "not(3)" "INTERFACE" -attr xrf 64502 -attr oid 1692 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC1:acc.itm(10)} -pin "FRAME:not#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#6.itm}
+load net {ACC1:acc.itm(11)} -pin "FRAME:not#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#6.itm}
+load net {ACC1:acc.itm(12)} -pin "FRAME:not#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#6.itm}
+load net {FRAME:not#2.itm(0)} -pin "FRAME:not#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(1)} -pin "FRAME:not#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(2)} -pin "FRAME:not#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load inst "FRAME:acc#6" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64503 -attr oid 1693 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc.itm(7)} -pin "FRAME:acc#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#5.itm}
+load net {ACC1:acc.itm(8)} -pin "FRAME:acc#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#5.itm}
+load net {ACC1:acc.itm(9)} -pin "FRAME:acc#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#5.itm}
+load net {FRAME:not#2.itm(0)} -pin "FRAME:acc#6" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(1)} -pin "FRAME:acc#6" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(2)} -pin "FRAME:acc#6" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:acc#6.itm(0)} -pin "FRAME:acc#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(1)} -pin "FRAME:acc#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(2)} -pin "FRAME:acc#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(3)} -pin "FRAME:acc#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load inst "FRAME:not#12" "not(1)" "INTERFACE" -attr xrf 64504 -attr oid 1694 -attr @path {/sobel/sobel:core/FRAME:not#12} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc.itm(14)} -pin "FRAME:not#12" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#29.itm}
+load net {FRAME:not#12.itm} -pin "FRAME:not#12" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#12.itm}
+load inst "FRAME:not#16" "not(1)" "INTERFACE" -attr xrf 64505 -attr oid 1695 -attr @path {/sobel/sobel:core/FRAME:not#16} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc.itm(14)} -pin "FRAME:not#16" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#11.itm}
+load net {FRAME:not#16.itm} -pin "FRAME:not#16" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#16.itm}
+load inst "FRAME:acc#5" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 64506 -attr oid 1696 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {FRAME:not#16.itm} -pin "FRAME:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1003.itm}
+load net {PWR} -pin "FRAME:acc#5" {A(1)} -attr @path {/sobel/sobel:core/conc#1003.itm}
+load net {FRAME:not#12.itm} -pin "FRAME:acc#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1003.itm}
+load net {ACC1:acc.itm(13)} -pin "FRAME:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva).itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#5" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva).itm}
+load net {FRAME:acc#5.itm(0)} -pin "FRAME:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(1)} -pin "FRAME:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(2)} -pin "FRAME:acc#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(3)} -pin "FRAME:acc#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load inst "FRAME:acc#8" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 64507 -attr oid 1697 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#6.itm(0)} -pin "FRAME:acc#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(1)} -pin "FRAME:acc#8" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(2)} -pin "FRAME:acc#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(3)} -pin "FRAME:acc#8" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#5.itm(0)} -pin "FRAME:acc#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(1)} -pin "FRAME:acc#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(2)} -pin "FRAME:acc#8" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(3)} -pin "FRAME:acc#8" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(4)} -pin "FRAME:acc#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load inst "FRAME:not#1" "not(3)" "INTERFACE" -attr xrf 64508 -attr oid 1698 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC1:acc.itm(4)} -pin "FRAME:not#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
+load net {ACC1:acc.itm(5)} -pin "FRAME:not#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
+load net {ACC1:acc.itm(6)} -pin "FRAME:not#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
+load net {FRAME:not#1.itm(0)} -pin "FRAME:not#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(1)} -pin "FRAME:not#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(2)} -pin "FRAME:not#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load inst "FRAME:acc#7" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64509 -attr oid 1699 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc.itm(1)} -pin "FRAME:acc#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#7.itm}
+load net {ACC1:acc.itm(2)} -pin "FRAME:acc#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#7.itm}
+load net {ACC1:acc.itm(3)} -pin "FRAME:acc#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#7.itm}
+load net {FRAME:not#1.itm(0)} -pin "FRAME:acc#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(1)} -pin "FRAME:acc#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(2)} -pin "FRAME:acc#7" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:acc#7.itm(0)} -pin "FRAME:acc#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(1)} -pin "FRAME:acc#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(2)} -pin "FRAME:acc#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(3)} -pin "FRAME:acc#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load inst "FRAME:acc#9" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 64510 -attr oid 1700 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#9" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#9" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#9" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#9" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(4)} -pin "FRAME:acc#9" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#7.itm(0)} -pin "FRAME:acc#9" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(1)} -pin "FRAME:acc#9" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(2)} -pin "FRAME:acc#9" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(3)} -pin "FRAME:acc#9" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#9.itm(0)} -pin "FRAME:acc#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(1)} -pin "FRAME:acc#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(2)} -pin "FRAME:acc#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(3)} -pin "FRAME:acc#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(4)} -pin "FRAME:acc#9" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(5)} -pin "FRAME:acc#9" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load inst "acc#30" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 64511 -attr oid 1701 -attr vt d -attr @path {/sobel/sobel:core/acc#30} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {FRAME:acc#9.itm(0)} -pin "acc#30" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(1)} -pin "acc#30" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(2)} -pin "acc#30" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(3)} -pin "acc#30" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(4)} -pin "acc#30" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(5)} -pin "acc#30" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {PWR} -pin "acc#30" {B(0)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#30" {B(1)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#30" {B(2)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#30" {B(3)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#30" {B(4)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#30" {B(5)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {acc.imod#24.sva(0)} -pin "acc#30" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load net {acc.imod#24.sva(1)} -pin "acc#30" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load net {acc.imod#24.sva(2)} -pin "acc#30" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load net {acc.imod#24.sva(3)} -pin "acc#30" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load net {acc.imod#24.sva(4)} -pin "acc#30" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load net {acc.imod#24.sva(5)} -pin "acc#30" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#24.sva}
+load inst "ACC1:acc#416" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64512 -attr oid 1702 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.regs:slc(regs.regs(2))#10.itm(0)} -pin "ACC1:acc#416" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(1)} -pin "ACC1:acc#416" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(2)} -pin "ACC1:acc#416" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(3)} -pin "ACC1:acc#416" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(4)} -pin "ACC1:acc#416" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(5)} -pin "ACC1:acc#416" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(6)} -pin "ACC1:acc#416" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(7)} -pin "ACC1:acc#416" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(8)} -pin "ACC1:acc#416" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#10.itm(9)} -pin "ACC1:acc#416" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#10.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(0)} -pin "ACC1:acc#416" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(1)} -pin "ACC1:acc#416" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(2)} -pin "ACC1:acc#416" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(3)} -pin "ACC1:acc#416" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(4)} -pin "ACC1:acc#416" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(5)} -pin "ACC1:acc#416" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(6)} -pin "ACC1:acc#416" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(7)} -pin "ACC1:acc#416" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(8)} -pin "ACC1:acc#416" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {regs.regs:slc(regs.regs(2))#11.itm(9)} -pin "ACC1:acc#416" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#11.itm}
+load net {ACC1:acc#416.itm(0)} -pin "ACC1:acc#416" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(1)} -pin "ACC1:acc#416" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(2)} -pin "ACC1:acc#416" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(3)} -pin "ACC1:acc#416" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(4)} -pin "ACC1:acc#416" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(5)} -pin "ACC1:acc#416" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(6)} -pin "ACC1:acc#416" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(7)} -pin "ACC1:acc#416" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(8)} -pin "ACC1:acc#416" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(9)} -pin "ACC1:acc#416" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(10)} -pin "ACC1:acc#416" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load inst "ACC1-3:acc#20" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 64513 -attr oid 1703 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#20} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#416.itm(0)} -pin "ACC1-3:acc#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(1)} -pin "ACC1-3:acc#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(2)} -pin "ACC1-3:acc#20" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(3)} -pin "ACC1-3:acc#20" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(4)} -pin "ACC1-3:acc#20" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(5)} -pin "ACC1-3:acc#20" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(6)} -pin "ACC1-3:acc#20" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(7)} -pin "ACC1-3:acc#20" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(8)} -pin "ACC1-3:acc#20" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(9)} -pin "ACC1-3:acc#20" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {ACC1:acc#416.itm(10)} -pin "ACC1-3:acc#20" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#416.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(0)} -pin "ACC1-3:acc#20" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(1)} -pin "ACC1-3:acc#20" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(2)} -pin "ACC1-3:acc#20" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(3)} -pin "ACC1-3:acc#20" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(4)} -pin "ACC1-3:acc#20" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(5)} -pin "ACC1-3:acc#20" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(6)} -pin "ACC1-3:acc#20" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(7)} -pin "ACC1-3:acc#20" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(8)} -pin "ACC1-3:acc#20" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {regs.regs:slc(regs.regs(2))#9.itm(9)} -pin "ACC1-3:acc#20" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#9.itm}
+load net {acc#20.psp#1.sva(0)} -pin "ACC1-3:acc#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(1)} -pin "ACC1-3:acc#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(2)} -pin "ACC1-3:acc#20" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(3)} -pin "ACC1-3:acc#20" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(4)} -pin "ACC1-3:acc#20" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(5)} -pin "ACC1-3:acc#20" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(6)} -pin "ACC1-3:acc#20" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(7)} -pin "ACC1-3:acc#20" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(8)} -pin "ACC1-3:acc#20" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(9)} -pin "ACC1-3:acc#20" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1-3:acc#20" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1-3:acc#20" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#1.sva}
+load inst "ACC1:not#309" "not(10)" "INTERFACE" -attr xrf 64514 -attr oid 1704 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {reg(regs.regs(0).sva).cse(0)} -pin "ACC1:not#309" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(1)} -pin "ACC1:not#309" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(2)} -pin "ACC1:not#309" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(3)} -pin "ACC1:not#309" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(4)} -pin "ACC1:not#309" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(5)} -pin "ACC1:not#309" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(6)} -pin "ACC1:not#309" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(7)} -pin "ACC1:not#309" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(8)} -pin "ACC1:not#309" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {reg(regs.regs(0).sva).cse(9)} -pin "ACC1:not#309" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {ACC1:not#309.itm(0)} -pin "ACC1:not#309" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(1)} -pin "ACC1:not#309" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(2)} -pin "ACC1:not#309" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(3)} -pin "ACC1:not#309" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(4)} -pin "ACC1:not#309" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(5)} -pin "ACC1:not#309" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(6)} -pin "ACC1:not#309" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(7)} -pin "ACC1:not#309" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(8)} -pin "ACC1:not#309" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(9)} -pin "ACC1:not#309" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load inst "ACC1:not#310" "not(10)" "INTERFACE" -attr xrf 64515 -attr oid 1705 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {reg(regs.regs(0).sva).cse(10)} -pin "ACC1:not#310" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(11)} -pin "ACC1:not#310" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(12)} -pin "ACC1:not#310" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(13)} -pin "ACC1:not#310" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(14)} -pin "ACC1:not#310" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(15)} -pin "ACC1:not#310" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(16)} -pin "ACC1:not#310" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(17)} -pin "ACC1:not#310" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(18)} -pin "ACC1:not#310" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {reg(regs.regs(0).sva).cse(19)} -pin "ACC1:not#310" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {ACC1:not#310.itm(0)} -pin "ACC1:not#310" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(1)} -pin "ACC1:not#310" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(2)} -pin "ACC1:not#310" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(3)} -pin "ACC1:not#310" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(4)} -pin "ACC1:not#310" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(5)} -pin "ACC1:not#310" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(6)} -pin "ACC1:not#310" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(7)} -pin "ACC1:not#310" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(8)} -pin "ACC1:not#310" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(9)} -pin "ACC1:not#310" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load inst "ACC1:acc#370" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64516 -attr oid 1706 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#309.itm(0)} -pin "ACC1:acc#370" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(1)} -pin "ACC1:acc#370" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(2)} -pin "ACC1:acc#370" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(3)} -pin "ACC1:acc#370" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(4)} -pin "ACC1:acc#370" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(5)} -pin "ACC1:acc#370" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(6)} -pin "ACC1:acc#370" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(7)} -pin "ACC1:acc#370" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(8)} -pin "ACC1:acc#370" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#309.itm(9)} -pin "ACC1:acc#370" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#309.itm}
+load net {ACC1:not#310.itm(0)} -pin "ACC1:acc#370" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(1)} -pin "ACC1:acc#370" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(2)} -pin "ACC1:acc#370" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(3)} -pin "ACC1:acc#370" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(4)} -pin "ACC1:acc#370" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(5)} -pin "ACC1:acc#370" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(6)} -pin "ACC1:acc#370" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(7)} -pin "ACC1:acc#370" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(8)} -pin "ACC1:acc#370" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:not#310.itm(9)} -pin "ACC1:acc#370" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#310.itm}
+load net {ACC1:acc#370.itm(0)} -pin "ACC1:acc#370" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(1)} -pin "ACC1:acc#370" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(2)} -pin "ACC1:acc#370" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(3)} -pin "ACC1:acc#370" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(4)} -pin "ACC1:acc#370" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(5)} -pin "ACC1:acc#370" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(6)} -pin "ACC1:acc#370" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(7)} -pin "ACC1:acc#370" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(8)} -pin "ACC1:acc#370" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(9)} -pin "ACC1:acc#370" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(10)} -pin "ACC1:acc#370" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load inst "ACC1:not#311" "not(10)" "INTERFACE" -attr xrf 64517 -attr oid 1707 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {reg(regs.regs(0).sva).cse(20)} -pin "ACC1:not#311" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(21)} -pin "ACC1:not#311" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(22)} -pin "ACC1:not#311" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(23)} -pin "ACC1:not#311" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(24)} -pin "ACC1:not#311" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(25)} -pin "ACC1:not#311" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(26)} -pin "ACC1:not#311" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(27)} -pin "ACC1:not#311" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(28)} -pin "ACC1:not#311" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {reg(regs.regs(0).sva).cse(29)} -pin "ACC1:not#311" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {ACC1:not#311.itm(0)} -pin "ACC1:not#311" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(1)} -pin "ACC1:not#311" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(2)} -pin "ACC1:not#311" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(3)} -pin "ACC1:not#311" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(4)} -pin "ACC1:not#311" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(5)} -pin "ACC1:not#311" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(6)} -pin "ACC1:not#311" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(7)} -pin "ACC1:not#311" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(8)} -pin "ACC1:not#311" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(9)} -pin "ACC1:not#311" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load inst "ACC1:acc#369" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 64518 -attr oid 1708 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#311.itm(0)} -pin "ACC1:acc#369" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(1)} -pin "ACC1:acc#369" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(2)} -pin "ACC1:acc#369" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(3)} -pin "ACC1:acc#369" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(4)} -pin "ACC1:acc#369" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(5)} -pin "ACC1:acc#369" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(6)} -pin "ACC1:acc#369" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(7)} -pin "ACC1:acc#369" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(8)} -pin "ACC1:acc#369" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {ACC1:not#311.itm(9)} -pin "ACC1:acc#369" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#311.itm}
+load net {PWR} -pin "ACC1:acc#369" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#369" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#369.itm(0)} -pin "ACC1:acc#369" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(1)} -pin "ACC1:acc#369" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(2)} -pin "ACC1:acc#369" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(3)} -pin "ACC1:acc#369" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(4)} -pin "ACC1:acc#369" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(5)} -pin "ACC1:acc#369" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(6)} -pin "ACC1:acc#369" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(7)} -pin "ACC1:acc#369" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(8)} -pin "ACC1:acc#369" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(9)} -pin "ACC1:acc#369" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(10)} -pin "ACC1:acc#369" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load inst "ACC1:acc#228" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 64519 -attr oid 1709 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#370.itm(0)} -pin "ACC1:acc#228" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(1)} -pin "ACC1:acc#228" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(2)} -pin "ACC1:acc#228" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(3)} -pin "ACC1:acc#228" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(4)} -pin "ACC1:acc#228" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(5)} -pin "ACC1:acc#228" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(6)} -pin "ACC1:acc#228" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(7)} -pin "ACC1:acc#228" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(8)} -pin "ACC1:acc#228" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(9)} -pin "ACC1:acc#228" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#370.itm(10)} -pin "ACC1:acc#228" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#370.itm}
+load net {ACC1:acc#369.itm(0)} -pin "ACC1:acc#228" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(1)} -pin "ACC1:acc#228" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(2)} -pin "ACC1:acc#228" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(3)} -pin "ACC1:acc#228" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(4)} -pin "ACC1:acc#228" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(5)} -pin "ACC1:acc#228" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(6)} -pin "ACC1:acc#228" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(7)} -pin "ACC1:acc#228" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(8)} -pin "ACC1:acc#228" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(9)} -pin "ACC1:acc#228" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#369.itm(10)} -pin "ACC1:acc#228" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#369.itm}
+load net {ACC1:acc#228.psp.sva(0)} -pin "ACC1:acc#228" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(1)} -pin "ACC1:acc#228" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(2)} -pin "ACC1:acc#228" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(3)} -pin "ACC1:acc#228" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(4)} -pin "ACC1:acc#228" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(5)} -pin "ACC1:acc#228" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(6)} -pin "ACC1:acc#228" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(7)} -pin "ACC1:acc#228" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(8)} -pin "ACC1:acc#228" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(9)} -pin "ACC1:acc#228" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(10)} -pin "ACC1:acc#228" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#228" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.psp.sva}
+load inst "ACC1:acc#360" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64520 -attr oid 1710 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {vin:rsc:mgc_in_wire.d(70)} -pin "ACC1:acc#360" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(71)} -pin "ACC1:acc#360" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(72)} -pin "ACC1:acc#360" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(73)} -pin "ACC1:acc#360" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(74)} -pin "ACC1:acc#360" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(75)} -pin "ACC1:acc#360" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(76)} -pin "ACC1:acc#360" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(77)} -pin "ACC1:acc#360" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(78)} -pin "ACC1:acc#360" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#360" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(60)} -pin "ACC1:acc#360" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(61)} -pin "ACC1:acc#360" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(62)} -pin "ACC1:acc#360" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(63)} -pin "ACC1:acc#360" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(64)} -pin "ACC1:acc#360" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(65)} -pin "ACC1:acc#360" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(66)} -pin "ACC1:acc#360" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(67)} -pin "ACC1:acc#360" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(68)} -pin "ACC1:acc#360" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#360" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
+load net {ACC1:acc#360.itm(0)} -pin "ACC1:acc#360" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(1)} -pin "ACC1:acc#360" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(2)} -pin "ACC1:acc#360" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(3)} -pin "ACC1:acc#360" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(4)} -pin "ACC1:acc#360" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(5)} -pin "ACC1:acc#360" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(6)} -pin "ACC1:acc#360" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(7)} -pin "ACC1:acc#360" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(8)} -pin "ACC1:acc#360" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(9)} -pin "ACC1:acc#360" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(10)} -pin "ACC1:acc#360" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load inst "ACC1-1:acc#25" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 64521 -attr oid 1711 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#360.itm(0)} -pin "ACC1-1:acc#25" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(1)} -pin "ACC1-1:acc#25" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(2)} -pin "ACC1-1:acc#25" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(3)} -pin "ACC1-1:acc#25" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(4)} -pin "ACC1-1:acc#25" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(5)} -pin "ACC1-1:acc#25" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(6)} -pin "ACC1-1:acc#25" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(7)} -pin "ACC1-1:acc#25" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(8)} -pin "ACC1-1:acc#25" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(9)} -pin "ACC1-1:acc#25" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {ACC1:acc#360.itm(10)} -pin "ACC1-1:acc#25" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#360.itm}
+load net {vin:rsc:mgc_in_wire.d(80)} -pin "ACC1-1:acc#25" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(81)} -pin "ACC1-1:acc#25" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(82)} -pin "ACC1-1:acc#25" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(83)} -pin "ACC1-1:acc#25" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(84)} -pin "ACC1-1:acc#25" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(85)} -pin "ACC1-1:acc#25" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(86)} -pin "ACC1-1:acc#25" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(87)} -pin "ACC1-1:acc#25" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(88)} -pin "ACC1-1:acc#25" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#25" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
+load net {ACC1-1:acc#25.psp.sva(0)} -pin "ACC1-1:acc#25" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(1)} -pin "ACC1-1:acc#25" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(2)} -pin "ACC1-1:acc#25" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(3)} -pin "ACC1-1:acc#25" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(4)} -pin "ACC1-1:acc#25" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(5)} -pin "ACC1-1:acc#25" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(6)} -pin "ACC1-1:acc#25" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(7)} -pin "ACC1-1:acc#25" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(8)} -pin "ACC1-1:acc#25" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(9)} -pin "ACC1-1:acc#25" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(10)} -pin "ACC1-1:acc#25" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1-1:acc#25" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#25.psp.sva}
+load inst "ACC1:acc#509" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64522 -attr oid 1712 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#509" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1059.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#509" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1059.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#509" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1047.itm}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#509" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1047.itm}
+load net {ACC1:acc#509.cse(0)} -pin "ACC1:acc#509" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(1)} -pin "ACC1:acc#509" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load net {ACC1:acc#509.cse(2)} -pin "ACC1:acc#509" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#509.cse}
+load inst "regs.operator[]:not#5" "not(10)" "INTERFACE" -attr xrf 64523 -attr oid 1713 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {regs.regs:slc(regs.regs(2)).itm(0)} -pin "regs.operator[]:not#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(1)} -pin "regs.operator[]:not#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(2)} -pin "regs.operator[]:not#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(3)} -pin "regs.operator[]:not#5" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(4)} -pin "regs.operator[]:not#5" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(5)} -pin "regs.operator[]:not#5" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(6)} -pin "regs.operator[]:not#5" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(7)} -pin "regs.operator[]:not#5" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(8)} -pin "regs.operator[]:not#5" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(9)} -pin "regs.operator[]:not#5" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.operator[]:not#5.itm(0)} -pin "regs.operator[]:not#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(1)} -pin "regs.operator[]:not#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(2)} -pin "regs.operator[]:not#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(3)} -pin "regs.operator[]:not#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(4)} -pin "regs.operator[]:not#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(5)} -pin "regs.operator[]:not#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(6)} -pin "regs.operator[]:not#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(7)} -pin "regs.operator[]:not#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(8)} -pin "regs.operator[]:not#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(9)} -pin "regs.operator[]:not#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load inst "regs.operator[]#1:not#5" "not(10)" "INTERFACE" -attr xrf 64524 -attr oid 1714 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {regs.regs:slc(regs.regs(2))#1.itm(0)} -pin "regs.operator[]#1:not#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(1)} -pin "regs.operator[]#1:not#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(2)} -pin "regs.operator[]#1:not#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(3)} -pin "regs.operator[]#1:not#5" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(4)} -pin "regs.operator[]#1:not#5" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(5)} -pin "regs.operator[]#1:not#5" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(6)} -pin "regs.operator[]#1:not#5" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(7)} -pin "regs.operator[]#1:not#5" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(8)} -pin "regs.operator[]#1:not#5" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(9)} -pin "regs.operator[]#1:not#5" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.operator[]#1:not#5.itm(0)} -pin "regs.operator[]#1:not#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(1)} -pin "regs.operator[]#1:not#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(2)} -pin "regs.operator[]#1:not#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(3)} -pin "regs.operator[]#1:not#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(4)} -pin "regs.operator[]#1:not#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(5)} -pin "regs.operator[]#1:not#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(6)} -pin "regs.operator[]#1:not#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(7)} -pin "regs.operator[]#1:not#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(8)} -pin "regs.operator[]#1:not#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(9)} -pin "regs.operator[]#1:not#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load inst "ACC1:acc#398" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64525 -attr oid 1715 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.operator[]:not#5.itm(0)} -pin "ACC1:acc#398" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(1)} -pin "ACC1:acc#398" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(2)} -pin "ACC1:acc#398" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(3)} -pin "ACC1:acc#398" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(4)} -pin "ACC1:acc#398" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(5)} -pin "ACC1:acc#398" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(6)} -pin "ACC1:acc#398" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(7)} -pin "ACC1:acc#398" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(8)} -pin "ACC1:acc#398" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]:not#5.itm(9)} -pin "ACC1:acc#398" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(0)} -pin "ACC1:acc#398" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(1)} -pin "ACC1:acc#398" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(2)} -pin "ACC1:acc#398" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(3)} -pin "ACC1:acc#398" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(4)} -pin "ACC1:acc#398" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(5)} -pin "ACC1:acc#398" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(6)} -pin "ACC1:acc#398" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(7)} -pin "ACC1:acc#398" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(8)} -pin "ACC1:acc#398" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {regs.operator[]#1:not#5.itm(9)} -pin "ACC1:acc#398" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not#5.itm}
+load net {ACC1:acc#398.itm(0)} -pin "ACC1:acc#398" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(1)} -pin "ACC1:acc#398" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(2)} -pin "ACC1:acc#398" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(3)} -pin "ACC1:acc#398" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(4)} -pin "ACC1:acc#398" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(5)} -pin "ACC1:acc#398" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(6)} -pin "ACC1:acc#398" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(7)} -pin "ACC1:acc#398" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(8)} -pin "ACC1:acc#398" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(9)} -pin "ACC1:acc#398" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(10)} -pin "ACC1:acc#398" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load inst "regs.operator[]#2:not#5" "not(10)" "INTERFACE" -attr xrf 64526 -attr oid 1716 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {regs.regs:slc(regs.regs(2))#2.itm(0)} -pin "regs.operator[]#2:not#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(1)} -pin "regs.operator[]#2:not#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(2)} -pin "regs.operator[]#2:not#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(3)} -pin "regs.operator[]#2:not#5" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(4)} -pin "regs.operator[]#2:not#5" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(5)} -pin "regs.operator[]#2:not#5" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(6)} -pin "regs.operator[]#2:not#5" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(7)} -pin "regs.operator[]#2:not#5" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(8)} -pin "regs.operator[]#2:not#5" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(9)} -pin "regs.operator[]#2:not#5" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.operator[]#2:not#5.itm(0)} -pin "regs.operator[]#2:not#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(1)} -pin "regs.operator[]#2:not#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(2)} -pin "regs.operator[]#2:not#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(3)} -pin "regs.operator[]#2:not#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(4)} -pin "regs.operator[]#2:not#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(5)} -pin "regs.operator[]#2:not#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(6)} -pin "regs.operator[]#2:not#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(7)} -pin "regs.operator[]#2:not#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(8)} -pin "regs.operator[]#2:not#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(9)} -pin "regs.operator[]#2:not#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load inst "ACC1:acc#397" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 64527 -attr oid 1717 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.operator[]#2:not#5.itm(0)} -pin "ACC1:acc#397" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(1)} -pin "ACC1:acc#397" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(2)} -pin "ACC1:acc#397" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(3)} -pin "ACC1:acc#397" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(4)} -pin "ACC1:acc#397" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(5)} -pin "ACC1:acc#397" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(6)} -pin "ACC1:acc#397" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(7)} -pin "ACC1:acc#397" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(8)} -pin "ACC1:acc#397" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {regs.operator[]#2:not#5.itm(9)} -pin "ACC1:acc#397" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not#5.itm}
+load net {PWR} -pin "ACC1:acc#397" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#397" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#397.itm(0)} -pin "ACC1:acc#397" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(1)} -pin "ACC1:acc#397" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(2)} -pin "ACC1:acc#397" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(3)} -pin "ACC1:acc#397" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(4)} -pin "ACC1:acc#397" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(5)} -pin "ACC1:acc#397" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(6)} -pin "ACC1:acc#397" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(7)} -pin "ACC1:acc#397" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(8)} -pin "ACC1:acc#397" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(9)} -pin "ACC1:acc#397" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(10)} -pin "ACC1:acc#397" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load inst "ACC1:acc#227" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 64528 -attr oid 1718 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#398.itm(0)} -pin "ACC1:acc#227" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(1)} -pin "ACC1:acc#227" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(2)} -pin "ACC1:acc#227" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(3)} -pin "ACC1:acc#227" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(4)} -pin "ACC1:acc#227" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(5)} -pin "ACC1:acc#227" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(6)} -pin "ACC1:acc#227" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(7)} -pin "ACC1:acc#227" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(8)} -pin "ACC1:acc#227" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(9)} -pin "ACC1:acc#227" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#398.itm(10)} -pin "ACC1:acc#227" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#398.itm}
+load net {ACC1:acc#397.itm(0)} -pin "ACC1:acc#227" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(1)} -pin "ACC1:acc#227" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(2)} -pin "ACC1:acc#227" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(3)} -pin "ACC1:acc#227" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(4)} -pin "ACC1:acc#227" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(5)} -pin "ACC1:acc#227" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(6)} -pin "ACC1:acc#227" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(7)} -pin "ACC1:acc#227" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(8)} -pin "ACC1:acc#227" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(9)} -pin "ACC1:acc#227" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#397.itm(10)} -pin "ACC1:acc#227" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#397.itm}
+load net {ACC1:acc#227.psp.sva(0)} -pin "ACC1:acc#227" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(1)} -pin "ACC1:acc#227" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(2)} -pin "ACC1:acc#227" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(3)} -pin "ACC1:acc#227" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(4)} -pin "ACC1:acc#227" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(5)} -pin "ACC1:acc#227" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(6)} -pin "ACC1:acc#227" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(7)} -pin "ACC1:acc#227" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(8)} -pin "ACC1:acc#227" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(9)} -pin "ACC1:acc#227" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(10)} -pin "ACC1:acc#227" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1:acc#227" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.psp.sva}
+load inst "ACC1:acc#506" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64529 -attr oid 1719 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#506" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1056.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#506" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1056.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#506" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1043.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#506" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1043.itm}
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#506" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#506" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#506" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load inst "ACC1:acc#562" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64530 -attr oid 1720 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#562" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#562" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#562" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(0)} -pin "ACC1:acc#562" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(1)} -pin "ACC1:acc#562" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#506.cse(2)} -pin "ACC1:acc#562" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#506.cse}
+load net {ACC1:acc#562.ncse(0)} -pin "ACC1:acc#562" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(1)} -pin "ACC1:acc#562" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(2)} -pin "ACC1:acc#562" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load net {ACC1:acc#562.ncse(3)} -pin "ACC1:acc#562" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#562.ncse}
+load inst "ACC1:acc#502" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64531 -attr oid 1721 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#20.psp#1.sva(5)} -pin "ACC1:acc#502" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#963.itm}
+load net {acc#20.psp#1.sva(5)} -pin "ACC1:acc#502" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#963.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#502" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1055.itm}
+load net {acc#20.psp#1.sva(11)} -pin "ACC1:acc#502" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1055.itm}
+load net {ACC1:acc#502.cse(0)} -pin "ACC1:acc#502" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#502.cse(1)} -pin "ACC1:acc#502" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load net {ACC1:acc#502.cse(2)} -pin "ACC1:acc#502" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#502.cse}
+load inst "ACC1:acc#489" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64532 -attr oid 1722 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#489" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1059.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#489" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1059.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#489" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1047.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#489" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1047.itm}
+load net {ACC1:acc#489.cse(0)} -pin "ACC1:acc#489" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(1)} -pin "ACC1:acc#489" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(2)} -pin "ACC1:acc#489" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load inst "ACC1:acc#379" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64533 -attr oid 1723 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {reg(regs.regs(0).sva).cse(70)} -pin "ACC1:acc#379" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(71)} -pin "ACC1:acc#379" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(72)} -pin "ACC1:acc#379" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(73)} -pin "ACC1:acc#379" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(74)} -pin "ACC1:acc#379" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(75)} -pin "ACC1:acc#379" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(76)} -pin "ACC1:acc#379" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(77)} -pin "ACC1:acc#379" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(78)} -pin "ACC1:acc#379" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(79)} -pin "ACC1:acc#379" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {reg(regs.regs(0).sva).cse(60)} -pin "ACC1:acc#379" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(61)} -pin "ACC1:acc#379" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(62)} -pin "ACC1:acc#379" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(63)} -pin "ACC1:acc#379" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(64)} -pin "ACC1:acc#379" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(65)} -pin "ACC1:acc#379" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(66)} -pin "ACC1:acc#379" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(67)} -pin "ACC1:acc#379" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(68)} -pin "ACC1:acc#379" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {reg(regs.regs(0).sva).cse(69)} -pin "ACC1:acc#379" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {ACC1:acc#379.itm(0)} -pin "ACC1:acc#379" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(1)} -pin "ACC1:acc#379" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(2)} -pin "ACC1:acc#379" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(3)} -pin "ACC1:acc#379" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(4)} -pin "ACC1:acc#379" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(5)} -pin "ACC1:acc#379" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(6)} -pin "ACC1:acc#379" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(7)} -pin "ACC1:acc#379" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(8)} -pin "ACC1:acc#379" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(9)} -pin "ACC1:acc#379" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(10)} -pin "ACC1:acc#379" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load inst "ACC1:acc#226" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 64534 -attr oid 1724 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#379.itm(0)} -pin "ACC1:acc#226" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(1)} -pin "ACC1:acc#226" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(2)} -pin "ACC1:acc#226" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(3)} -pin "ACC1:acc#226" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(4)} -pin "ACC1:acc#226" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(5)} -pin "ACC1:acc#226" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(6)} -pin "ACC1:acc#226" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(7)} -pin "ACC1:acc#226" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(8)} -pin "ACC1:acc#226" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(9)} -pin "ACC1:acc#226" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {ACC1:acc#379.itm(10)} -pin "ACC1:acc#226" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#379.itm}
+load net {reg(regs.regs(0).sva).cse(80)} -pin "ACC1:acc#226" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(81)} -pin "ACC1:acc#226" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(82)} -pin "ACC1:acc#226" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(83)} -pin "ACC1:acc#226" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(84)} -pin "ACC1:acc#226" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(85)} -pin "ACC1:acc#226" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(86)} -pin "ACC1:acc#226" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(87)} -pin "ACC1:acc#226" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(88)} -pin "ACC1:acc#226" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {reg(regs.regs(0).sva).cse(89)} -pin "ACC1:acc#226" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {ACC1:acc#226.psp.sva(0)} -pin "ACC1:acc#226" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(1)} -pin "ACC1:acc#226" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(2)} -pin "ACC1:acc#226" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(3)} -pin "ACC1:acc#226" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(4)} -pin "ACC1:acc#226" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(5)} -pin "ACC1:acc#226" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(6)} -pin "ACC1:acc#226" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(7)} -pin "ACC1:acc#226" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(8)} -pin "ACC1:acc#226" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(9)} -pin "ACC1:acc#226" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(10)} -pin "ACC1:acc#226" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1:acc#226" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.psp.sva}
+load inst "ACC1:acc#553" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64535 -attr oid 1725 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#489.cse(0)} -pin "ACC1:acc#553" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(1)} -pin "ACC1:acc#553" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(2)} -pin "ACC1:acc#553" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(0)} -pin "ACC1:acc#553" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(1)} -pin "ACC1:acc#553" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#489.cse(2)} -pin "ACC1:acc#553" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#489.cse}
+load net {ACC1:acc#553.ncse(0)} -pin "ACC1:acc#553" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(1)} -pin "ACC1:acc#553" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(2)} -pin "ACC1:acc#553" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load net {ACC1:acc#553.ncse(3)} -pin "ACC1:acc#553" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#553.ncse}
+load inst "ACC1-1:not#60" "not(1)" "INTERFACE" -attr xrf 64536 -attr oid 1726 -attr @path {/sobel/sobel:core/ACC1-1:not#60} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#339.itm(2)} -pin "ACC1-1:not#60" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#32.sva)#2.itm}
+load net {ACC1-1:not#60.itm} -pin "ACC1-1:not#60" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#60.itm}
+load inst "ACC1-1:and#3" "and(3,1)" "INTERFACE" -attr xrf 64537 -attr oid 1727 -attr @path {/sobel/sobel:core/ACC1-1:and#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:and#3" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#50.itm}
+load net {ACC1-1:not#60.itm} -pin "ACC1-1:and#3" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#60.itm}
+load net {ACC1:acc#339.itm(1)} -pin "ACC1-1:and#3" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#32.sva)#1.itm}
+load net {ACC1-1:and#3.cse.sva} -pin "ACC1-1:and#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#3.cse.sva}
+load inst "ACC1-1:not#319" "not(1)" "INTERFACE" -attr xrf 64538 -attr oid 1728 -attr @path {/sobel/sobel:core/ACC1-1:not#319} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:not#319" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#71.itm}
+load net {ACC1-1:not#319.itm} -pin "ACC1-1:not#319" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#319.itm}
+load inst "ACC1-1:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 64539 -attr oid 1729 -attr vt c -attr @path {/sobel/sobel:core/ACC1-1:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#339.itm(2)} -pin "ACC1-1:nand#1" {A0(0)} -attr vt c -attr @path {/sobel/sobel:core/slc(acc.imod#32.sva).itm}
+load net {ACC1-1:not#319.itm} -pin "ACC1-1:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#319.itm}
+load net {ACC1-1:nand#1.cse.sva} -pin "ACC1-1:nand#1" {Z(0)} -attr vt c -attr @path {/sobel/sobel:core/ACC1-1:nand#1.cse.sva}
+load inst "ACC1:acc#388" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64540 -attr oid 1730 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.regs:slc(regs.regs(2))#1.itm(0)} -pin "ACC1:acc#388" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(1)} -pin "ACC1:acc#388" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(2)} -pin "ACC1:acc#388" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(3)} -pin "ACC1:acc#388" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(4)} -pin "ACC1:acc#388" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(5)} -pin "ACC1:acc#388" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(6)} -pin "ACC1:acc#388" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(7)} -pin "ACC1:acc#388" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(8)} -pin "ACC1:acc#388" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#1.itm(9)} -pin "ACC1:acc#388" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#1.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(0)} -pin "ACC1:acc#388" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(1)} -pin "ACC1:acc#388" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(2)} -pin "ACC1:acc#388" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(3)} -pin "ACC1:acc#388" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(4)} -pin "ACC1:acc#388" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(5)} -pin "ACC1:acc#388" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(6)} -pin "ACC1:acc#388" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(7)} -pin "ACC1:acc#388" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(8)} -pin "ACC1:acc#388" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {regs.regs:slc(regs.regs(2))#2.itm(9)} -pin "ACC1:acc#388" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#2.itm}
+load net {ACC1:acc#388.itm(0)} -pin "ACC1:acc#388" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(1)} -pin "ACC1:acc#388" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(2)} -pin "ACC1:acc#388" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(3)} -pin "ACC1:acc#388" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(4)} -pin "ACC1:acc#388" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(5)} -pin "ACC1:acc#388" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(6)} -pin "ACC1:acc#388" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(7)} -pin "ACC1:acc#388" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(8)} -pin "ACC1:acc#388" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(9)} -pin "ACC1:acc#388" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(10)} -pin "ACC1:acc#388" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load inst "ACC1-3:acc" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 64541 -attr oid 1731 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#388.itm(0)} -pin "ACC1-3:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(1)} -pin "ACC1-3:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(2)} -pin "ACC1-3:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(3)} -pin "ACC1-3:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(4)} -pin "ACC1-3:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(5)} -pin "ACC1-3:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(6)} -pin "ACC1-3:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(7)} -pin "ACC1-3:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(8)} -pin "ACC1-3:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(9)} -pin "ACC1-3:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {ACC1:acc#388.itm(10)} -pin "ACC1-3:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#388.itm}
+load net {regs.regs:slc(regs.regs(2)).itm(0)} -pin "ACC1-3:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(1)} -pin "ACC1-3:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(2)} -pin "ACC1-3:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(3)} -pin "ACC1-3:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(4)} -pin "ACC1-3:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(5)} -pin "ACC1-3:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(6)} -pin "ACC1-3:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(7)} -pin "ACC1-3:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(8)} -pin "ACC1-3:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {regs.regs:slc(regs.regs(2)).itm(9)} -pin "ACC1-3:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
+load net {acc.psp#1.sva(0)} -pin "ACC1-3:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(1)} -pin "ACC1-3:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(2)} -pin "ACC1-3:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(3)} -pin "ACC1-3:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(4)} -pin "ACC1-3:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(5)} -pin "ACC1-3:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(6)} -pin "ACC1-3:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(7)} -pin "ACC1-3:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(8)} -pin "ACC1-3:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(9)} -pin "ACC1-3:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(10)} -pin "ACC1-3:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load inst "ACC1-1:not#293" "not(2)" "INTERFACE" -attr xrf 64542 -attr oid 1732 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:not#293} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#220.psp#1.sva(1)} -pin "ACC1-1:not#293" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva).itm}
+load net {ACC1:acc#220.psp#1.sva(2)} -pin "ACC1-1:not#293" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp#1.sva).itm}
+load net {ACC1-1:not#293.itm(0)} -pin "ACC1-1:not#293" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:not#293.itm}
+load net {ACC1-1:not#293.itm(1)} -pin "ACC1-1:not#293" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:not#293.itm}
+load inst "ACC1:acc#338" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64543 -attr oid 1733 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#338} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#338" {A(0)} -attr @path {/sobel/sobel:core/conc#1004.itm}
+load net {ACC1-1:not#293.itm(0)} -pin "ACC1:acc#338" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1004.itm}
+load net {ACC1-1:not#293.itm(1)} -pin "ACC1:acc#338" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1004.itm}
+load net {PWR} -pin "ACC1:acc#338" {B(0)} -attr @path {/sobel/sobel:core/conc#1005.itm}
+load net {ACC1:acc#220.psp#1.sva(0)} -pin "ACC1:acc#338" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1005.itm}
+load net {ACC1:acc#338.itm(0)} -pin "ACC1:acc#338" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(1)} -pin "ACC1:acc#338" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(2)} -pin "ACC1:acc#338" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(3)} -pin "ACC1:acc#338" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load inst "ACC1-1:not#311" "not(1)" "INTERFACE" -attr xrf 64544 -attr oid 1734 -attr @path {/sobel/sobel:core/ACC1-1:not#311} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:not#311" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#51.itm}
+load net {ACC1-1:not#311.itm} -pin "ACC1-1:not#311" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#311.itm}
+load inst "ACC1-1:not#229" "not(1)" "INTERFACE" -attr xrf 64545 -attr oid 1735 -attr @path {/sobel/sobel:core/ACC1-1:not#229} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(1)} -pin "ACC1-1:not#229" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#17.itm}
+load net {ACC1-1:not#229.itm} -pin "ACC1-1:not#229" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#229.itm}
+load inst "ACC1:acc#334" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64546 -attr oid 1736 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#334" {A(0)} -attr @path {/sobel/sobel:core/conc#1007.itm}
+load net {ACC1-1:not#311.itm} -pin "ACC1:acc#334" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1007.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#334" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1133.itm}
+load net {ACC1-1:not#229.itm} -pin "ACC1:acc#334" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1133.itm}
+load net {ACC1:acc#334.itm(0)} -pin "ACC1:acc#334" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(1)} -pin "ACC1:acc#334" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(2)} -pin "ACC1:acc#334" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(3)} -pin "ACC1:acc#334" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load inst "ACC1:acc#336" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64547 -attr oid 1737 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#336" {A(0)} -attr @path {/sobel/sobel:core/conc#1006.itm}
+load net {ACC1:acc#334.itm(1)} -pin "ACC1:acc#336" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1006.itm}
+load net {ACC1:acc#334.itm(2)} -pin "ACC1:acc#336" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1006.itm}
+load net {ACC1:acc#334.itm(3)} -pin "ACC1:acc#336" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1006.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#336" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1008.itm}
+load net {acc.psp#2.sva(0)} -pin "ACC1:acc#336" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1008.itm}
+load net {GND} -pin "ACC1:acc#336" {B(2)} -attr @path {/sobel/sobel:core/conc#1008.itm}
+load net {PWR} -pin "ACC1:acc#336" {B(3)} -attr @path {/sobel/sobel:core/conc#1008.itm}
+load net {ACC1:acc#336.itm(0)} -pin "ACC1:acc#336" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(1)} -pin "ACC1:acc#336" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(2)} -pin "ACC1:acc#336" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(3)} -pin "ACC1:acc#336" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(4)} -pin "ACC1:acc#336" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load inst "ACC1-1:not#230" "not(1)" "INTERFACE" -attr xrf 64548 -attr oid 1738 -attr @path {/sobel/sobel:core/ACC1-1:not#230} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(3)} -pin "ACC1-1:not#230" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#16.itm}
+load net {ACC1-1:not#230.itm} -pin "ACC1-1:not#230" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#230.itm}
+load inst "ACC1-1:not#232" "not(1)" "INTERFACE" -attr xrf 64549 -attr oid 1739 -attr @path {/sobel/sobel:core/ACC1-1:not#232} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(7)} -pin "ACC1-1:not#232" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#6.itm}
+load net {ACC1-1:not#232.itm} -pin "ACC1-1:not#232" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#232.itm}
+load inst "ACC1:acc#333" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64550 -attr oid 1740 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#333} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#333" {A(0)} -attr @path {/sobel/sobel:core/conc#1010.itm}
+load net {acc.psp#2.sva(2)} -pin "ACC1:acc#333" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1010.itm}
+load net {ACC1-1:not#232.itm} -pin "ACC1:acc#333" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1131.itm}
+load net {ACC1-1:not#230.itm} -pin "ACC1:acc#333" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1131.itm}
+load net {ACC1:acc#333.itm(0)} -pin "ACC1:acc#333" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(1)} -pin "ACC1:acc#333" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(2)} -pin "ACC1:acc#333" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load inst "ACC1-1:not#231" "not(1)" "INTERFACE" -attr xrf 64551 -attr oid 1741 -attr @path {/sobel/sobel:core/ACC1-1:not#231} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(5)} -pin "ACC1-1:not#231" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#8.itm}
+load net {ACC1-1:not#231.itm} -pin "ACC1-1:not#231" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#231.itm}
+load inst "ACC1:acc#332" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64552 -attr oid 1742 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#332" {A(0)} -attr @path {/sobel/sobel:core/conc#1011.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#332" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1011.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#332" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1129.itm}
+load net {ACC1-1:not#231.itm} -pin "ACC1:acc#332" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1129.itm}
+load net {ACC1:acc#332.itm(0)} -pin "ACC1:acc#332" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(1)} -pin "ACC1:acc#332" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(2)} -pin "ACC1:acc#332" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load inst "ACC1-1:not#233" "not(1)" "INTERFACE" -attr xrf 64553 -attr oid 1743 -attr @path {/sobel/sobel:core/ACC1-1:not#233} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(9)} -pin "ACC1-1:not#233" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#93.itm}
+load net {ACC1-1:not#233.itm} -pin "ACC1-1:not#233" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#233.itm}
+load inst "ACC1:acc#335" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64554 -attr oid 1744 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#335} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#335" {A(0)} -attr @path {/sobel/sobel:core/conc#1009.itm}
+load net {ACC1:acc#333.itm(1)} -pin "ACC1:acc#335" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1009.itm}
+load net {ACC1:acc#333.itm(2)} -pin "ACC1:acc#335" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1009.itm}
+load net {ACC1-1:not#233.itm} -pin "ACC1:acc#335" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1135.itm}
+load net {ACC1:acc#332.itm(1)} -pin "ACC1:acc#335" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1135.itm}
+load net {ACC1:acc#332.itm(2)} -pin "ACC1:acc#335" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1135.itm}
+load net {ACC1:acc#335.itm(0)} -pin "ACC1:acc#335" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(1)} -pin "ACC1:acc#335" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(2)} -pin "ACC1:acc#335" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(3)} -pin "ACC1:acc#335" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load inst "ACC1-1:acc#210" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64555 -attr oid 1745 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#210} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#336.itm(1)} -pin "ACC1-1:acc#210" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
+load net {ACC1:acc#336.itm(2)} -pin "ACC1-1:acc#210" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
+load net {ACC1:acc#336.itm(3)} -pin "ACC1-1:acc#210" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
+load net {ACC1:acc#336.itm(4)} -pin "ACC1-1:acc#210" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
+load net {ACC1:acc#335.itm(1)} -pin "ACC1-1:acc#210" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#16.itm}
+load net {ACC1:acc#335.itm(2)} -pin "ACC1-1:acc#210" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#16.itm}
+load net {ACC1:acc#335.itm(3)} -pin "ACC1-1:acc#210" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#16.itm}
+load net {ACC1:acc#210.psp#2.sva(0)} -pin "ACC1-1:acc#210" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#2.sva}
+load net {ACC1:acc#210.psp#2.sva(1)} -pin "ACC1-1:acc#210" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#2.sva}
+load net {ACC1:acc#210.psp#2.sva(2)} -pin "ACC1-1:acc#210" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#2.sva}
+load net {ACC1:acc#210.psp#2.sva(3)} -pin "ACC1-1:acc#210" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#2.sva}
+load inst "regs.operator[]:not" "not(10)" "INTERFACE" -attr xrf 64556 -attr oid 1746 -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(20)} -pin "regs.operator[]:not" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(21)} -pin "regs.operator[]:not" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(22)} -pin "regs.operator[]:not" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(23)} -pin "regs.operator[]:not" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(24)} -pin "regs.operator[]:not" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(25)} -pin "regs.operator[]:not" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(26)} -pin "regs.operator[]:not" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(27)} -pin "regs.operator[]:not" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(28)} -pin "regs.operator[]:not" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(29)} -pin "regs.operator[]:not" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {regs.operator[]:not.itm(0)} -pin "regs.operator[]:not" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(1)} -pin "regs.operator[]:not" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(2)} -pin "regs.operator[]:not" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(3)} -pin "regs.operator[]:not" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(4)} -pin "regs.operator[]:not" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(5)} -pin "regs.operator[]:not" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(6)} -pin "regs.operator[]:not" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(7)} -pin "regs.operator[]:not" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(8)} -pin "regs.operator[]:not" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(9)} -pin "regs.operator[]:not" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load inst "regs.operator[]#1:not" "not(10)" "INTERFACE" -attr xrf 64557 -attr oid 1747 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(10)} -pin "regs.operator[]#1:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(11)} -pin "regs.operator[]#1:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(12)} -pin "regs.operator[]#1:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(13)} -pin "regs.operator[]#1:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(14)} -pin "regs.operator[]#1:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(15)} -pin "regs.operator[]#1:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(16)} -pin "regs.operator[]#1:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(17)} -pin "regs.operator[]#1:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(18)} -pin "regs.operator[]#1:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(19)} -pin "regs.operator[]#1:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {regs.operator[]#1:not.itm(0)} -pin "regs.operator[]#1:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(1)} -pin "regs.operator[]#1:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(2)} -pin "regs.operator[]#1:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(3)} -pin "regs.operator[]#1:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(4)} -pin "regs.operator[]#1:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(5)} -pin "regs.operator[]#1:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(6)} -pin "regs.operator[]#1:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(7)} -pin "regs.operator[]#1:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(8)} -pin "regs.operator[]#1:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(9)} -pin "regs.operator[]#1:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load inst "ACC1:acc#331" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64558 -attr oid 1748 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.operator[]:not.itm(0)} -pin "ACC1:acc#331" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(1)} -pin "ACC1:acc#331" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(2)} -pin "ACC1:acc#331" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(3)} -pin "ACC1:acc#331" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(4)} -pin "ACC1:acc#331" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(5)} -pin "ACC1:acc#331" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(6)} -pin "ACC1:acc#331" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(7)} -pin "ACC1:acc#331" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(8)} -pin "ACC1:acc#331" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]:not.itm(9)} -pin "ACC1:acc#331" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]:not.itm}
+load net {regs.operator[]#1:not.itm(0)} -pin "ACC1:acc#331" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(1)} -pin "ACC1:acc#331" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(2)} -pin "ACC1:acc#331" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(3)} -pin "ACC1:acc#331" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(4)} -pin "ACC1:acc#331" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(5)} -pin "ACC1:acc#331" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(6)} -pin "ACC1:acc#331" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(7)} -pin "ACC1:acc#331" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(8)} -pin "ACC1:acc#331" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {regs.operator[]#1:not.itm(9)} -pin "ACC1:acc#331" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#1:not.itm}
+load net {ACC1:acc#331.itm(0)} -pin "ACC1:acc#331" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(1)} -pin "ACC1:acc#331" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(2)} -pin "ACC1:acc#331" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(3)} -pin "ACC1:acc#331" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(4)} -pin "ACC1:acc#331" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(5)} -pin "ACC1:acc#331" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(6)} -pin "ACC1:acc#331" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(7)} -pin "ACC1:acc#331" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(8)} -pin "ACC1:acc#331" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(9)} -pin "ACC1:acc#331" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(10)} -pin "ACC1:acc#331" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load inst "regs.operator[]#2:not" "not(10)" "INTERFACE" -attr xrf 64559 -attr oid 1749 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(0)} -pin "regs.operator[]#2:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(1)} -pin "regs.operator[]#2:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(2)} -pin "regs.operator[]#2:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(3)} -pin "regs.operator[]#2:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(4)} -pin "regs.operator[]#2:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(5)} -pin "regs.operator[]#2:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(6)} -pin "regs.operator[]#2:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(7)} -pin "regs.operator[]#2:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(8)} -pin "regs.operator[]#2:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(9)} -pin "regs.operator[]#2:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {regs.operator[]#2:not.itm(0)} -pin "regs.operator[]#2:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(1)} -pin "regs.operator[]#2:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(2)} -pin "regs.operator[]#2:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(3)} -pin "regs.operator[]#2:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(4)} -pin "regs.operator[]#2:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(5)} -pin "regs.operator[]#2:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(6)} -pin "regs.operator[]#2:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(7)} -pin "regs.operator[]#2:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(8)} -pin "regs.operator[]#2:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(9)} -pin "regs.operator[]#2:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load inst "ACC1:acc#330" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 64560 -attr oid 1750 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.operator[]#2:not.itm(0)} -pin "ACC1:acc#330" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(1)} -pin "ACC1:acc#330" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(2)} -pin "ACC1:acc#330" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(3)} -pin "ACC1:acc#330" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(4)} -pin "ACC1:acc#330" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(5)} -pin "ACC1:acc#330" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(6)} -pin "ACC1:acc#330" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(7)} -pin "ACC1:acc#330" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(8)} -pin "ACC1:acc#330" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {regs.operator[]#2:not.itm(9)} -pin "ACC1:acc#330" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#2:not.itm}
+load net {PWR} -pin "ACC1:acc#330" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#330" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#330.itm(0)} -pin "ACC1:acc#330" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(1)} -pin "ACC1:acc#330" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(2)} -pin "ACC1:acc#330" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(3)} -pin "ACC1:acc#330" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(4)} -pin "ACC1:acc#330" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(5)} -pin "ACC1:acc#330" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(6)} -pin "ACC1:acc#330" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(7)} -pin "ACC1:acc#330" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(8)} -pin "ACC1:acc#330" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(9)} -pin "ACC1:acc#330" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(10)} -pin "ACC1:acc#330" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load inst "ACC1-1:acc" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 64561 -attr oid 1751 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#331.itm(0)} -pin "ACC1-1:acc" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(1)} -pin "ACC1-1:acc" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(2)} -pin "ACC1-1:acc" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(3)} -pin "ACC1-1:acc" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(4)} -pin "ACC1-1:acc" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(5)} -pin "ACC1-1:acc" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(6)} -pin "ACC1-1:acc" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(7)} -pin "ACC1-1:acc" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(8)} -pin "ACC1-1:acc" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(9)} -pin "ACC1-1:acc" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(10)} -pin "ACC1-1:acc" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#330.itm(0)} -pin "ACC1-1:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(1)} -pin "ACC1-1:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(2)} -pin "ACC1-1:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(3)} -pin "ACC1-1:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(4)} -pin "ACC1-1:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(5)} -pin "ACC1-1:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(6)} -pin "ACC1-1:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(7)} -pin "ACC1-1:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(8)} -pin "ACC1-1:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(9)} -pin "ACC1-1:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(10)} -pin "ACC1-1:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {acc.psp#2.sva(0)} -pin "ACC1-1:acc" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(1)} -pin "ACC1-1:acc" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(2)} -pin "ACC1-1:acc" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(3)} -pin "ACC1-1:acc" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(4)} -pin "ACC1-1:acc" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(5)} -pin "ACC1-1:acc" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(6)} -pin "ACC1-1:acc" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(7)} -pin "ACC1-1:acc" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(8)} -pin "ACC1-1:acc" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(9)} -pin "ACC1-1:acc" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(10)} -pin "ACC1-1:acc" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load inst "ACC1:not" "not(10)" "INTERFACE" -attr xrf 64562 -attr oid 1752 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(30)} -pin "ACC1:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(31)} -pin "ACC1:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(32)} -pin "ACC1:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(33)} -pin "ACC1:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(34)} -pin "ACC1:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(35)} -pin "ACC1:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(36)} -pin "ACC1:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(37)} -pin "ACC1:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(38)} -pin "ACC1:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(39)} -pin "ACC1:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {ACC1:not.itm(0)} -pin "ACC1:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(1)} -pin "ACC1:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(2)} -pin "ACC1:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(3)} -pin "ACC1:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(4)} -pin "ACC1:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(5)} -pin "ACC1:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(6)} -pin "ACC1:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(7)} -pin "ACC1:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(8)} -pin "ACC1:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(9)} -pin "ACC1:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load inst "ACC1:not#307" "not(10)" "INTERFACE" -attr xrf 64563 -attr oid 1753 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(40)} -pin "ACC1:not#307" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(41)} -pin "ACC1:not#307" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(42)} -pin "ACC1:not#307" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(43)} -pin "ACC1:not#307" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(44)} -pin "ACC1:not#307" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(45)} -pin "ACC1:not#307" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(46)} -pin "ACC1:not#307" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(47)} -pin "ACC1:not#307" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(48)} -pin "ACC1:not#307" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(49)} -pin "ACC1:not#307" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {ACC1:not#307.itm(0)} -pin "ACC1:not#307" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(1)} -pin "ACC1:not#307" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(2)} -pin "ACC1:not#307" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(3)} -pin "ACC1:not#307" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(4)} -pin "ACC1:not#307" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(5)} -pin "ACC1:not#307" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(6)} -pin "ACC1:not#307" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(7)} -pin "ACC1:not#307" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(8)} -pin "ACC1:not#307" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(9)} -pin "ACC1:not#307" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load inst "ACC1:acc#341" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64564 -attr oid 1754 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not.itm(0)} -pin "ACC1:acc#341" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(1)} -pin "ACC1:acc#341" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(2)} -pin "ACC1:acc#341" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(3)} -pin "ACC1:acc#341" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(4)} -pin "ACC1:acc#341" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(5)} -pin "ACC1:acc#341" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(6)} -pin "ACC1:acc#341" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(7)} -pin "ACC1:acc#341" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(8)} -pin "ACC1:acc#341" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(9)} -pin "ACC1:acc#341" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not#307.itm(0)} -pin "ACC1:acc#341" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(1)} -pin "ACC1:acc#341" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(2)} -pin "ACC1:acc#341" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(3)} -pin "ACC1:acc#341" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(4)} -pin "ACC1:acc#341" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(5)} -pin "ACC1:acc#341" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(6)} -pin "ACC1:acc#341" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(7)} -pin "ACC1:acc#341" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(8)} -pin "ACC1:acc#341" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:not#307.itm(9)} -pin "ACC1:acc#341" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#307.itm}
+load net {ACC1:acc#341.itm(0)} -pin "ACC1:acc#341" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(1)} -pin "ACC1:acc#341" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(2)} -pin "ACC1:acc#341" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(3)} -pin "ACC1:acc#341" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(4)} -pin "ACC1:acc#341" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(5)} -pin "ACC1:acc#341" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(6)} -pin "ACC1:acc#341" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(7)} -pin "ACC1:acc#341" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(8)} -pin "ACC1:acc#341" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(9)} -pin "ACC1:acc#341" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(10)} -pin "ACC1:acc#341" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load inst "ACC1:not#308" "not(10)" "INTERFACE" -attr xrf 64565 -attr oid 1755 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(50)} -pin "ACC1:not#308" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(51)} -pin "ACC1:not#308" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(52)} -pin "ACC1:not#308" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(53)} -pin "ACC1:not#308" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(54)} -pin "ACC1:not#308" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(55)} -pin "ACC1:not#308" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(56)} -pin "ACC1:not#308" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(57)} -pin "ACC1:not#308" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(58)} -pin "ACC1:not#308" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(59)} -pin "ACC1:not#308" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {ACC1:not#308.itm(0)} -pin "ACC1:not#308" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(1)} -pin "ACC1:not#308" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(2)} -pin "ACC1:not#308" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(3)} -pin "ACC1:not#308" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(4)} -pin "ACC1:not#308" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(5)} -pin "ACC1:not#308" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(6)} -pin "ACC1:not#308" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(7)} -pin "ACC1:not#308" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(8)} -pin "ACC1:not#308" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(9)} -pin "ACC1:not#308" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load inst "ACC1:acc#340" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 64566 -attr oid 1756 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#308.itm(0)} -pin "ACC1:acc#340" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(1)} -pin "ACC1:acc#340" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(2)} -pin "ACC1:acc#340" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(3)} -pin "ACC1:acc#340" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(4)} -pin "ACC1:acc#340" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(5)} -pin "ACC1:acc#340" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(6)} -pin "ACC1:acc#340" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(7)} -pin "ACC1:acc#340" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(8)} -pin "ACC1:acc#340" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {ACC1:not#308.itm(9)} -pin "ACC1:acc#340" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#308.itm}
+load net {PWR} -pin "ACC1:acc#340" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#340" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#340.itm(0)} -pin "ACC1:acc#340" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(1)} -pin "ACC1:acc#340" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(2)} -pin "ACC1:acc#340" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(3)} -pin "ACC1:acc#340" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(4)} -pin "ACC1:acc#340" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(5)} -pin "ACC1:acc#340" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(6)} -pin "ACC1:acc#340" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(7)} -pin "ACC1:acc#340" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(8)} -pin "ACC1:acc#340" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(9)} -pin "ACC1:acc#340" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(10)} -pin "ACC1:acc#340" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load inst "ACC1-1:acc#224" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 64567 -attr oid 1757 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#224} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#341.itm(0)} -pin "ACC1-1:acc#224" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(1)} -pin "ACC1-1:acc#224" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(2)} -pin "ACC1-1:acc#224" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(3)} -pin "ACC1-1:acc#224" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(4)} -pin "ACC1-1:acc#224" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(5)} -pin "ACC1-1:acc#224" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(6)} -pin "ACC1-1:acc#224" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(7)} -pin "ACC1-1:acc#224" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(8)} -pin "ACC1-1:acc#224" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(9)} -pin "ACC1-1:acc#224" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(10)} -pin "ACC1-1:acc#224" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#340.itm(0)} -pin "ACC1-1:acc#224" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(1)} -pin "ACC1-1:acc#224" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(2)} -pin "ACC1-1:acc#224" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(3)} -pin "ACC1-1:acc#224" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(4)} -pin "ACC1-1:acc#224" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(5)} -pin "ACC1-1:acc#224" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(6)} -pin "ACC1-1:acc#224" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(7)} -pin "ACC1-1:acc#224" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(8)} -pin "ACC1-1:acc#224" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(9)} -pin "ACC1-1:acc#224" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(10)} -pin "ACC1-1:acc#224" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#224.psp#1.sva(0)} -pin "ACC1-1:acc#224" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(1)} -pin "ACC1-1:acc#224" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(2)} -pin "ACC1-1:acc#224" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(3)} -pin "ACC1-1:acc#224" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(4)} -pin "ACC1-1:acc#224" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(5)} -pin "ACC1-1:acc#224" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(6)} -pin "ACC1-1:acc#224" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(7)} -pin "ACC1-1:acc#224" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(8)} -pin "ACC1-1:acc#224" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(9)} -pin "ACC1-1:acc#224" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(10)} -pin "ACC1-1:acc#224" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1-1:acc#224" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp#1.sva}
+load inst "ACC1-3:not#57" "not(1)" "INTERFACE" -attr xrf 64568 -attr oid 1758 -attr @path {/sobel/sobel:core/ACC1-3:not#57} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#405.itm(2)} -pin "ACC1-3:not#57" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#3.itm}
+load net {ACC1-3:not#57.itm} -pin "ACC1-3:not#57" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#57.itm}
+load inst "ACC1-3:not#58" "not(1)" "INTERFACE" -attr xrf 64569 -attr oid 1759 -attr @path {/sobel/sobel:core/ACC1-3:not#58} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#405.itm(3)} -pin "ACC1-3:not#58" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva).itm}
+load net {ACC1-3:not#58.itm} -pin "ACC1-3:not#58" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#58.itm}
+load inst "ACC1:acc#406" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64570 -attr oid 1760 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#406} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#406" {A(0)} -attr @path {/sobel/sobel:core/conc#1012.itm}
+load net {ACC1:acc#405.itm(1)} -pin "ACC1:acc#406" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1012.itm}
+load net {PWR} -pin "ACC1:acc#406" {A(2)} -attr @path {/sobel/sobel:core/conc#1012.itm}
+load net {ACC1-3:not#58.itm} -pin "ACC1:acc#406" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1270.itm}
+load net {ACC1-3:not#57.itm} -pin "ACC1:acc#406" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1270.itm}
+load net {ACC1:acc#406.itm(0)} -pin "ACC1:acc#406" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#406.itm}
+load net {ACC1:acc#406.itm(1)} -pin "ACC1:acc#406" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#406.itm}
+load net {ACC1:acc#406.itm(2)} -pin "ACC1:acc#406" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#406.itm}
+load inst "ACC1-1:not#185" "not(1)" "INTERFACE" -attr xrf 64571 -attr oid 1761 -attr @path {/sobel/sobel:core/ACC1-1:not#185} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#367.itm(2)} -pin "ACC1-1:not#185" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#42.sva)#3.itm}
+load net {ACC1-1:not#185.itm} -pin "ACC1-1:not#185" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#185.itm}
+load inst "ACC1-1:not#186" "not(1)" "INTERFACE" -attr xrf 64572 -attr oid 1762 -attr @path {/sobel/sobel:core/ACC1-1:not#186} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#367.itm(3)} -pin "ACC1-1:not#186" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#42.sva)#1.itm}
+load net {ACC1-1:not#186.itm} -pin "ACC1-1:not#186" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#186.itm}
+load inst "ACC1:acc#368" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64573 -attr oid 1763 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#368} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#368" {A(0)} -attr @path {/sobel/sobel:core/conc#1013.itm}
+load net {ACC1:acc#367.itm(1)} -pin "ACC1:acc#368" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1013.itm}
+load net {PWR} -pin "ACC1:acc#368" {A(2)} -attr @path {/sobel/sobel:core/conc#1013.itm}
+load net {ACC1-1:not#186.itm} -pin "ACC1:acc#368" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1198.itm}
+load net {ACC1-1:not#185.itm} -pin "ACC1:acc#368" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1198.itm}
+load net {ACC1:acc#368.itm(0)} -pin "ACC1:acc#368" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#368.itm}
+load net {ACC1:acc#368.itm(1)} -pin "ACC1:acc#368" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#368.itm}
+load net {ACC1:acc#368.itm(2)} -pin "ACC1:acc#368" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#368.itm}
+load inst "ACC1-1:not#291" "not(2)" "INTERFACE" -attr xrf 64574 -attr oid 1764 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#291} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#219.psp#1.sva(1)} -pin "ACC1-1:not#291" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#1.sva).itm}
+load net {ACC1:acc#219.psp#1.sva(2)} -pin "ACC1-1:not#291" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#1.sva).itm}
+load net {ACC1-1:not#291.itm(0)} -pin "ACC1-1:not#291" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#291.itm}
+load net {ACC1-1:not#291.itm(1)} -pin "ACC1-1:not#291" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#291.itm}
+load inst "ACC1:acc#367" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64575 -attr oid 1765 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#367} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#367" {A(0)} -attr @path {/sobel/sobel:core/conc#1014.itm}
+load net {ACC1-1:not#291.itm(0)} -pin "ACC1:acc#367" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1014.itm}
+load net {ACC1-1:not#291.itm(1)} -pin "ACC1:acc#367" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1014.itm}
+load net {PWR} -pin "ACC1:acc#367" {B(0)} -attr @path {/sobel/sobel:core/conc#1015.itm}
+load net {ACC1:acc#219.psp#1.sva(0)} -pin "ACC1:acc#367" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1015.itm}
+load net {ACC1:acc#367.itm(0)} -pin "ACC1:acc#367" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#367.itm}
+load net {ACC1:acc#367.itm(1)} -pin "ACC1:acc#367" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#367.itm}
+load net {ACC1:acc#367.itm(2)} -pin "ACC1:acc#367" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#367.itm}
+load net {ACC1:acc#367.itm(3)} -pin "ACC1:acc#367" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#367.itm}
+load inst "ACC1-1:not#307" "not(1)" "INTERFACE" -attr xrf 64576 -attr oid 1766 -attr @path {/sobel/sobel:core/ACC1-1:not#307} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(11)} -pin "ACC1-1:not#307" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#23.itm}
+load net {ACC1-1:not#307.itm} -pin "ACC1-1:not#307" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#307.itm}
+load inst "ACC1-1:not#220" "not(1)" "INTERFACE" -attr xrf 64577 -attr oid 1767 -attr @path {/sobel/sobel:core/ACC1-1:not#220} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(1)} -pin "ACC1-1:not#220" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#15.itm}
+load net {ACC1-1:not#220.itm} -pin "ACC1-1:not#220" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#220.itm}
+load inst "ACC1:acc#363" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64578 -attr oid 1768 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#363} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#363" {A(0)} -attr @path {/sobel/sobel:core/conc#1017.itm}
+load net {ACC1-1:not#307.itm} -pin "ACC1:acc#363" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1017.itm}
+load net {ACC1-1:acc#25.psp.sva(8)} -pin "ACC1:acc#363" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1187.itm}
+load net {ACC1-1:not#220.itm} -pin "ACC1:acc#363" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1187.itm}
+load net {ACC1:acc#363.itm(0)} -pin "ACC1:acc#363" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#363.itm}
+load net {ACC1:acc#363.itm(1)} -pin "ACC1:acc#363" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#363.itm}
+load net {ACC1:acc#363.itm(2)} -pin "ACC1:acc#363" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#363.itm}
+load net {ACC1:acc#363.itm(3)} -pin "ACC1:acc#363" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#363.itm}
+load inst "ACC1:acc#365" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64579 -attr oid 1769 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#365" {A(0)} -attr @path {/sobel/sobel:core/conc#1016.itm}
+load net {ACC1:acc#363.itm(1)} -pin "ACC1:acc#365" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1016.itm}
+load net {ACC1:acc#363.itm(2)} -pin "ACC1:acc#365" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1016.itm}
+load net {ACC1:acc#363.itm(3)} -pin "ACC1:acc#365" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1016.itm}
+load net {ACC1-1:acc#25.psp.sva(10)} -pin "ACC1:acc#365" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1018.itm}
+load net {ACC1-1:acc#25.psp.sva(0)} -pin "ACC1:acc#365" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1018.itm}
+load net {GND} -pin "ACC1:acc#365" {B(2)} -attr @path {/sobel/sobel:core/conc#1018.itm}
+load net {PWR} -pin "ACC1:acc#365" {B(3)} -attr @path {/sobel/sobel:core/conc#1018.itm}
+load net {ACC1:acc#365.itm(0)} -pin "ACC1:acc#365" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365.itm}
+load net {ACC1:acc#365.itm(1)} -pin "ACC1:acc#365" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365.itm}
+load net {ACC1:acc#365.itm(2)} -pin "ACC1:acc#365" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365.itm}
+load net {ACC1:acc#365.itm(3)} -pin "ACC1:acc#365" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365.itm}
+load net {ACC1:acc#365.itm(4)} -pin "ACC1:acc#365" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#365.itm}
+load inst "ACC1-1:not#221" "not(1)" "INTERFACE" -attr xrf 64580 -attr oid 1770 -attr @path {/sobel/sobel:core/ACC1-1:not#221} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(3)} -pin "ACC1-1:not#221" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#17.itm}
+load net {ACC1-1:not#221.itm} -pin "ACC1-1:not#221" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#221.itm}
+load inst "ACC1-1:not#223" "not(1)" "INTERFACE" -attr xrf 64581 -attr oid 1771 -attr @path {/sobel/sobel:core/ACC1-1:not#223} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(7)} -pin "ACC1-1:not#223" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#2.itm}
+load net {ACC1-1:not#223.itm} -pin "ACC1-1:not#223" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#223.itm}
+load inst "ACC1:acc#362" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64582 -attr oid 1772 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#362} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#362" {A(0)} -attr @path {/sobel/sobel:core/conc#1020.itm}
+load net {ACC1-1:acc#25.psp.sva(2)} -pin "ACC1:acc#362" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1020.itm}
+load net {ACC1-1:not#223.itm} -pin "ACC1:acc#362" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1185.itm}
+load net {ACC1-1:not#221.itm} -pin "ACC1:acc#362" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1185.itm}
+load net {ACC1:acc#362.itm(0)} -pin "ACC1:acc#362" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#362.itm}
+load net {ACC1:acc#362.itm(1)} -pin "ACC1:acc#362" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#362.itm}
+load net {ACC1:acc#362.itm(2)} -pin "ACC1:acc#362" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#362.itm}
+load inst "ACC1-1:not#222" "not(1)" "INTERFACE" -attr xrf 64583 -attr oid 1773 -attr @path {/sobel/sobel:core/ACC1-1:not#222} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(5)} -pin "ACC1-1:not#222" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#5.itm}
+load net {ACC1-1:not#222.itm} -pin "ACC1-1:not#222" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#222.itm}
+load inst "ACC1:acc#361" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64584 -attr oid 1774 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#361} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#361" {A(0)} -attr @path {/sobel/sobel:core/conc#1021.itm}
+load net {ACC1-1:acc#25.psp.sva(4)} -pin "ACC1:acc#361" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1021.itm}
+load net {ACC1-1:acc#25.psp.sva(6)} -pin "ACC1:acc#361" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1183.itm}
+load net {ACC1-1:not#222.itm} -pin "ACC1:acc#361" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1183.itm}
+load net {ACC1:acc#361.itm(0)} -pin "ACC1:acc#361" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#361.itm}
+load net {ACC1:acc#361.itm(1)} -pin "ACC1:acc#361" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#361.itm}
+load net {ACC1:acc#361.itm(2)} -pin "ACC1:acc#361" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#361.itm}
+load inst "ACC1-1:not#224" "not(1)" "INTERFACE" -attr xrf 64585 -attr oid 1775 -attr @path {/sobel/sobel:core/ACC1-1:not#224} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#25.psp.sva(9)} -pin "ACC1-1:not#224" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#25.psp.sva)#3.itm}
+load net {ACC1-1:not#224.itm} -pin "ACC1-1:not#224" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#224.itm}
+load inst "ACC1:acc#364" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64586 -attr oid 1776 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#364} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#364" {A(0)} -attr @path {/sobel/sobel:core/conc#1019.itm}
+load net {ACC1:acc#362.itm(1)} -pin "ACC1:acc#364" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1019.itm}
+load net {ACC1:acc#362.itm(2)} -pin "ACC1:acc#364" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1019.itm}
+load net {ACC1-1:not#224.itm} -pin "ACC1:acc#364" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1189.itm}
+load net {ACC1:acc#361.itm(1)} -pin "ACC1:acc#364" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1189.itm}
+load net {ACC1:acc#361.itm(2)} -pin "ACC1:acc#364" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1189.itm}
+load net {ACC1:acc#364.itm(0)} -pin "ACC1:acc#364" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#364.itm}
+load net {ACC1:acc#364.itm(1)} -pin "ACC1:acc#364" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#364.itm}
+load net {ACC1:acc#364.itm(2)} -pin "ACC1:acc#364" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#364.itm}
+load net {ACC1:acc#364.itm(3)} -pin "ACC1:acc#364" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#364.itm}
+load inst "ACC1-1:acc#208" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64587 -attr oid 1777 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#208} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#365.itm(1)} -pin "ACC1-1:acc#208" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
+load net {ACC1:acc#365.itm(2)} -pin "ACC1-1:acc#208" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
+load net {ACC1:acc#365.itm(3)} -pin "ACC1-1:acc#208" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
+load net {ACC1:acc#365.itm(4)} -pin "ACC1-1:acc#208" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
+load net {ACC1:acc#364.itm(1)} -pin "ACC1-1:acc#208" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
+load net {ACC1:acc#364.itm(2)} -pin "ACC1-1:acc#208" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
+load net {ACC1:acc#364.itm(3)} -pin "ACC1-1:acc#208" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
+load net {ACC1-1:acc#208.psp.sva(0)} -pin "ACC1-1:acc#208" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#208.psp.sva}
+load net {ACC1-1:acc#208.psp.sva(1)} -pin "ACC1-1:acc#208" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#208.psp.sva}
+load net {ACC1-1:acc#208.psp.sva(2)} -pin "ACC1-1:acc#208" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#208.psp.sva}
+load net {ACC1-1:acc#208.psp.sva(3)} -pin "ACC1-1:acc#208" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#208.psp.sva}
+load inst "ACC1-1:not#89" "not(1)" "INTERFACE" -attr xrf 64588 -attr oid 1778 -attr @path {/sobel/sobel:core/ACC1-1:not#89} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#348.itm(2)} -pin "ACC1-1:not#89" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#34.sva)#3.itm}
+load net {ACC1-1:not#89.itm} -pin "ACC1-1:not#89" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#89.itm}
+load inst "ACC1-1:not#90" "not(1)" "INTERFACE" -attr xrf 64589 -attr oid 1779 -attr @path {/sobel/sobel:core/ACC1-1:not#90} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#348.itm(3)} -pin "ACC1-1:not#90" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#34.sva)#1.itm}
+load net {ACC1-1:not#90.itm} -pin "ACC1-1:not#90" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#90.itm}
+load inst "ACC1:acc#349" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64590 -attr oid 1780 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#349} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#349" {A(0)} -attr @path {/sobel/sobel:core/conc#1022.itm}
+load net {ACC1:acc#348.itm(1)} -pin "ACC1:acc#349" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1022.itm}
+load net {PWR} -pin "ACC1:acc#349" {A(2)} -attr @path {/sobel/sobel:core/conc#1022.itm}
+load net {ACC1-1:not#90.itm} -pin "ACC1:acc#349" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1162.itm}
+load net {ACC1-1:not#89.itm} -pin "ACC1:acc#349" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1162.itm}
+load net {ACC1:acc#349.itm(0)} -pin "ACC1:acc#349" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#349.itm}
+load net {ACC1:acc#349.itm(1)} -pin "ACC1:acc#349" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#349.itm}
+load net {ACC1:acc#349.itm(2)} -pin "ACC1:acc#349" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#349.itm}
+load inst "ACC1-1:not#297" "not(2)" "INTERFACE" -attr xrf 64591 -attr oid 1781 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#297} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#222.psp#1.sva(1)} -pin "ACC1-1:not#297" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp#1.sva).itm}
+load net {ACC1:acc#222.psp#1.sva(2)} -pin "ACC1-1:not#297" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp#1.sva).itm}
+load net {ACC1-1:not#297.itm(0)} -pin "ACC1-1:not#297" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#297.itm}
+load net {ACC1-1:not#297.itm(1)} -pin "ACC1-1:not#297" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#297.itm}
+load inst "ACC1:acc#348" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64592 -attr oid 1782 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#348" {A(0)} -attr @path {/sobel/sobel:core/conc#1023.itm}
+load net {ACC1-1:not#297.itm(0)} -pin "ACC1:acc#348" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1023.itm}
+load net {ACC1-1:not#297.itm(1)} -pin "ACC1:acc#348" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1023.itm}
+load net {PWR} -pin "ACC1:acc#348" {B(0)} -attr @path {/sobel/sobel:core/conc#1024.itm}
+load net {ACC1:acc#222.psp#1.sva(0)} -pin "ACC1:acc#348" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1024.itm}
+load net {ACC1:acc#348.itm(0)} -pin "ACC1:acc#348" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {ACC1:acc#348.itm(1)} -pin "ACC1:acc#348" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {ACC1:acc#348.itm(2)} -pin "ACC1:acc#348" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {ACC1:acc#348.itm(3)} -pin "ACC1:acc#348" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load inst "ACC1:acc#407" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64593 -attr oid 1783 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.regs:slc(regs.regs(2))#4.itm(0)} -pin "ACC1:acc#407" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(1)} -pin "ACC1:acc#407" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(2)} -pin "ACC1:acc#407" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(3)} -pin "ACC1:acc#407" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(4)} -pin "ACC1:acc#407" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(5)} -pin "ACC1:acc#407" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(6)} -pin "ACC1:acc#407" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(7)} -pin "ACC1:acc#407" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(8)} -pin "ACC1:acc#407" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#4.itm(9)} -pin "ACC1:acc#407" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#4.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(0)} -pin "ACC1:acc#407" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(1)} -pin "ACC1:acc#407" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(2)} -pin "ACC1:acc#407" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(3)} -pin "ACC1:acc#407" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(4)} -pin "ACC1:acc#407" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(5)} -pin "ACC1:acc#407" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(6)} -pin "ACC1:acc#407" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(7)} -pin "ACC1:acc#407" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(8)} -pin "ACC1:acc#407" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {regs.regs:slc(regs.regs(2))#5.itm(9)} -pin "ACC1:acc#407" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#5.itm}
+load net {ACC1:acc#407.itm(0)} -pin "ACC1:acc#407" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(1)} -pin "ACC1:acc#407" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(2)} -pin "ACC1:acc#407" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(3)} -pin "ACC1:acc#407" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(4)} -pin "ACC1:acc#407" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(5)} -pin "ACC1:acc#407" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(6)} -pin "ACC1:acc#407" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(7)} -pin "ACC1:acc#407" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(8)} -pin "ACC1:acc#407" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(9)} -pin "ACC1:acc#407" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(10)} -pin "ACC1:acc#407" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load inst "ACC1-3:acc#224" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 64594 -attr oid 1784 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#224} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#407.itm(0)} -pin "ACC1-3:acc#224" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(1)} -pin "ACC1-3:acc#224" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(2)} -pin "ACC1-3:acc#224" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(3)} -pin "ACC1-3:acc#224" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(4)} -pin "ACC1-3:acc#224" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(5)} -pin "ACC1-3:acc#224" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(6)} -pin "ACC1-3:acc#224" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(7)} -pin "ACC1-3:acc#224" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(8)} -pin "ACC1-3:acc#224" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(9)} -pin "ACC1-3:acc#224" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {ACC1:acc#407.itm(10)} -pin "ACC1-3:acc#224" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#407.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(0)} -pin "ACC1-3:acc#224" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(1)} -pin "ACC1-3:acc#224" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(2)} -pin "ACC1-3:acc#224" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(3)} -pin "ACC1-3:acc#224" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(4)} -pin "ACC1-3:acc#224" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(5)} -pin "ACC1-3:acc#224" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(6)} -pin "ACC1-3:acc#224" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(7)} -pin "ACC1-3:acc#224" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(8)} -pin "ACC1-3:acc#224" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {regs.regs:slc(regs.regs(2))#3.itm(9)} -pin "ACC1-3:acc#224" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#3.itm}
+load net {ACC1:acc#224.psp.sva(0)} -pin "ACC1-3:acc#224" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(1)} -pin "ACC1-3:acc#224" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(2)} -pin "ACC1-3:acc#224" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(3)} -pin "ACC1-3:acc#224" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(4)} -pin "ACC1-3:acc#224" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(5)} -pin "ACC1-3:acc#224" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(6)} -pin "ACC1-3:acc#224" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(7)} -pin "ACC1-3:acc#224" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(8)} -pin "ACC1-3:acc#224" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(9)} -pin "ACC1-3:acc#224" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(10)} -pin "ACC1-3:acc#224" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1-3:acc#224" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.psp.sva}
+load inst "ACC1:acc#516" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64595 -attr oid 1785 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#516" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1051.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#516" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1051.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#516" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1043.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#516" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#1043.itm}
+load net {ACC1:acc#516.cse(0)} -pin "ACC1:acc#516" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(1)} -pin "ACC1:acc#516" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load net {ACC1:acc#516.cse(2)} -pin "ACC1:acc#516" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#516.cse}
+load inst "ACC1-3:not#247" "not(1)" "INTERFACE" -attr xrf 64596 -attr oid 1786 -attr @path {/sobel/sobel:core/ACC1-3:not#247} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp.sva(0)} -pin "ACC1-3:not#247" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#12.itm}
+load net {ACC1-3:not#247.itm} -pin "ACC1-3:not#247" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#247.itm}
+load inst "ACC1-3:not#248" "not(1)" "INTERFACE" -attr xrf 64597 -attr oid 1787 -attr @path {/sobel/sobel:core/ACC1-3:not#248} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp.sva(2)} -pin "ACC1-3:not#248" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#3.itm}
+load net {ACC1-3:not#248.itm} -pin "ACC1-3:not#248" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#248.itm}
+load inst "ACC1-3:not#250" "not(1)" "INTERFACE" -attr xrf 64598 -attr oid 1788 -attr @path {/sobel/sobel:core/ACC1-3:not#250} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp.sva(6)} -pin "ACC1-3:not#250" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva).itm}
+load net {ACC1-3:not#250.itm} -pin "ACC1-3:not#250" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#250.itm}
+load inst "ACC1:acc#409" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64599 -attr oid 1789 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#409} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#409" {A(0)} -attr @path {/sobel/sobel:core/conc#1027.itm}
+load net {ACC1:acc#224.psp.sva(1)} -pin "ACC1:acc#409" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1027.itm}
+load net {ACC1-3:not#250.itm} -pin "ACC1:acc#409" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1275.itm}
+load net {ACC1-3:not#248.itm} -pin "ACC1:acc#409" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1275.itm}
+load net {ACC1:acc#409.itm(0)} -pin "ACC1:acc#409" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#409.itm}
+load net {ACC1:acc#409.itm(1)} -pin "ACC1:acc#409" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#409.itm}
+load net {ACC1:acc#409.itm(2)} -pin "ACC1:acc#409" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#409.itm}
+load inst "ACC1-3:not#251" "not(1)" "INTERFACE" -attr xrf 64600 -attr oid 1790 -attr @path {/sobel/sobel:core/ACC1-3:not#251} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp.sva(8)} -pin "ACC1-3:not#251" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#15.itm}
+load net {ACC1-3:not#251.itm} -pin "ACC1-3:not#251" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#251.itm}
+load inst "ACC1:acc#411" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64601 -attr oid 1791 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#411} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#411" {A(0)} -attr @path {/sobel/sobel:core/conc#1026.itm}
+load net {ACC1-3:not#247.itm} -pin "ACC1:acc#411" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1026.itm}
+load net {GND} -pin "ACC1:acc#411" {A(2)} -attr @path {/sobel/sobel:core/conc#1026.itm}
+load net {PWR} -pin "ACC1:acc#411" {A(3)} -attr @path {/sobel/sobel:core/conc#1026.itm}
+load net {ACC1-3:not#251.itm} -pin "ACC1:acc#411" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1279.itm}
+load net {ACC1:acc#409.itm(1)} -pin "ACC1:acc#411" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1279.itm}
+load net {ACC1:acc#409.itm(2)} -pin "ACC1:acc#411" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1279.itm}
+load net {ACC1:acc#411.itm(0)} -pin "ACC1:acc#411" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#411.itm}
+load net {ACC1:acc#411.itm(1)} -pin "ACC1:acc#411" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#411.itm}
+load net {ACC1:acc#411.itm(2)} -pin "ACC1:acc#411" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#411.itm}
+load net {ACC1:acc#411.itm(3)} -pin "ACC1:acc#411" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#411.itm}
+load inst "ACC1-3:not#249" "not(1)" "INTERFACE" -attr xrf 64602 -attr oid 1792 -attr @path {/sobel/sobel:core/ACC1-3:not#249} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp.sva(4)} -pin "ACC1-3:not#249" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#7.itm}
+load net {ACC1-3:not#249.itm} -pin "ACC1-3:not#249" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#249.itm}
+load inst "ACC1:acc#408" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64603 -attr oid 1793 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#408} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#408" {A(0)} -attr @path {/sobel/sobel:core/conc#1029.itm}
+load net {ACC1:acc#224.psp.sva(3)} -pin "ACC1:acc#408" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1029.itm}
+load net {ACC1:acc#224.psp.sva(5)} -pin "ACC1:acc#408" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1273.itm}
+load net {ACC1-3:not#249.itm} -pin "ACC1:acc#408" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1273.itm}
+load net {ACC1:acc#408.itm(0)} -pin "ACC1:acc#408" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#408.itm}
+load net {ACC1:acc#408.itm(1)} -pin "ACC1:acc#408" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#408.itm}
+load net {ACC1:acc#408.itm(2)} -pin "ACC1:acc#408" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#408.itm}
+load inst "ACC1-3:not#252" "not(2)" "INTERFACE" -attr xrf 64604 -attr oid 1794 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#252} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#224.psp.sva(10)} -pin "ACC1-3:not#252" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#14.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1-3:not#252" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#14.itm}
+load net {ACC1-3:not#252.itm(0)} -pin "ACC1-3:not#252" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#252.itm}
+load net {ACC1-3:not#252.itm(1)} -pin "ACC1-3:not#252" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#252.itm}
+load inst "ACC1:acc#410" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64605 -attr oid 1795 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#410" {A(0)} -attr @path {/sobel/sobel:core/conc#1028.itm}
+load net {ACC1:acc#408.itm(1)} -pin "ACC1:acc#410" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1028.itm}
+load net {ACC1:acc#408.itm(2)} -pin "ACC1:acc#410" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1028.itm}
+load net {ACC1:acc#224.psp.sva(7)} -pin "ACC1:acc#410" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1277.itm}
+load net {ACC1-3:not#252.itm(0)} -pin "ACC1:acc#410" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1277.itm}
+load net {ACC1-3:not#252.itm(1)} -pin "ACC1:acc#410" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1277.itm}
+load net {ACC1:acc#410.itm(0)} -pin "ACC1:acc#410" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410.itm}
+load net {ACC1:acc#410.itm(1)} -pin "ACC1:acc#410" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410.itm}
+load net {ACC1:acc#410.itm(2)} -pin "ACC1:acc#410" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410.itm}
+load net {ACC1:acc#410.itm(3)} -pin "ACC1:acc#410" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410.itm}
+load net {ACC1:acc#410.itm(4)} -pin "ACC1:acc#410" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#410.itm}
+load inst "ACC1:acc#412" "add(4,1,5,-1,5)" "INTERFACE" -attr xrf 64606 -attr oid 1796 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "ACC1:acc#412" {A(0)} -attr @path {/sobel/sobel:core/conc#1025.itm}
+load net {ACC1:acc#411.itm(1)} -pin "ACC1:acc#412" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1025.itm}
+load net {ACC1:acc#411.itm(2)} -pin "ACC1:acc#412" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1025.itm}
+load net {ACC1:acc#411.itm(3)} -pin "ACC1:acc#412" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1025.itm}
+load net {ACC1:acc#224.psp.sva(9)} -pin "ACC1:acc#412" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1281.itm}
+load net {ACC1:acc#410.itm(1)} -pin "ACC1:acc#412" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1281.itm}
+load net {ACC1:acc#410.itm(2)} -pin "ACC1:acc#412" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1281.itm}
+load net {ACC1:acc#410.itm(3)} -pin "ACC1:acc#412" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1281.itm}
+load net {ACC1:acc#410.itm(4)} -pin "ACC1:acc#412" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1281.itm}
+load net {ACC1:acc#412.itm(0)} -pin "ACC1:acc#412" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412.itm}
+load net {ACC1:acc#412.itm(1)} -pin "ACC1:acc#412" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412.itm}
+load net {ACC1:acc#412.itm(2)} -pin "ACC1:acc#412" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412.itm}
+load net {ACC1:acc#412.itm(3)} -pin "ACC1:acc#412" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412.itm}
+load net {ACC1:acc#412.itm(4)} -pin "ACC1:acc#412" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#412.itm}
+load inst "ACC1-3:not#299" "not(2)" "INTERFACE" -attr xrf 64607 -attr oid 1797 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#299} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#223.psp.sva(1)} -pin "ACC1-3:not#299" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp.sva).itm}
+load net {ACC1:acc#223.psp.sva(2)} -pin "ACC1-3:not#299" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp.sva).itm}
+load net {ACC1-3:not#299.itm(0)} -pin "ACC1-3:not#299" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#299.itm}
+load net {ACC1-3:not#299.itm(1)} -pin "ACC1-3:not#299" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#299.itm}
+load inst "ACC1:acc#423" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64608 -attr oid 1798 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#423} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#423" {A(0)} -attr @path {/sobel/sobel:core/conc#1030.itm}
+load net {ACC1-3:not#299.itm(0)} -pin "ACC1:acc#423" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1030.itm}
+load net {ACC1-3:not#299.itm(1)} -pin "ACC1:acc#423" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1030.itm}
+load net {PWR} -pin "ACC1:acc#423" {B(0)} -attr @path {/sobel/sobel:core/conc#1031.itm}
+load net {ACC1:acc#223.psp.sva(0)} -pin "ACC1:acc#423" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1031.itm}
+load net {ACC1:acc#423.itm(0)} -pin "ACC1:acc#423" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#423.itm}
+load net {ACC1:acc#423.itm(1)} -pin "ACC1:acc#423" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#423.itm}
+load net {ACC1:acc#423.itm(2)} -pin "ACC1:acc#423" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#423.itm}
+load net {ACC1:acc#423.itm(3)} -pin "ACC1:acc#423" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#423.itm}
+load inst "ACC1-2:not#238" "not(1)" "INTERFACE" -attr xrf 64609 -attr oid 1799 -attr @path {/sobel/sobel:core/ACC1-2:not#238} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#228.psp.sva(0)} -pin "ACC1-2:not#238" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#7.itm}
+load net {ACC1-2:not#238.itm} -pin "ACC1-2:not#238" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#238.itm}
+load inst "ACC1-2:not#239" "not(1)" "INTERFACE" -attr xrf 64610 -attr oid 1800 -attr @path {/sobel/sobel:core/ACC1-2:not#239} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#228.psp.sva(2)} -pin "ACC1-2:not#239" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#6.itm}
+load net {ACC1-2:not#239.itm} -pin "ACC1-2:not#239" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#239.itm}
+load inst "ACC1-2:not#241" "not(1)" "INTERFACE" -attr xrf 64611 -attr oid 1801 -attr @path {/sobel/sobel:core/ACC1-2:not#241} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#228.psp.sva(6)} -pin "ACC1-2:not#241" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#13.itm}
+load net {ACC1-2:not#241.itm} -pin "ACC1-2:not#241" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#241.itm}
+load inst "ACC1:acc#372" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64612 -attr oid 1802 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#372} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#372" {A(0)} -attr @path {/sobel/sobel:core/conc#1034.itm}
+load net {ACC1:acc#228.psp.sva(1)} -pin "ACC1:acc#372" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1034.itm}
+load net {ACC1-2:not#241.itm} -pin "ACC1:acc#372" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1203.itm}
+load net {ACC1-2:not#239.itm} -pin "ACC1:acc#372" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1203.itm}
+load net {ACC1:acc#372.itm(0)} -pin "ACC1:acc#372" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#372.itm}
+load net {ACC1:acc#372.itm(1)} -pin "ACC1:acc#372" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#372.itm}
+load net {ACC1:acc#372.itm(2)} -pin "ACC1:acc#372" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#372.itm}
+load inst "ACC1-2:not#242" "not(1)" "INTERFACE" -attr xrf 64613 -attr oid 1803 -attr @path {/sobel/sobel:core/ACC1-2:not#242} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#228.psp.sva(8)} -pin "ACC1-2:not#242" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#4.itm}
+load net {ACC1-2:not#242.itm} -pin "ACC1-2:not#242" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#242.itm}
+load inst "ACC1:acc#374" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64614 -attr oid 1804 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#374} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#374" {A(0)} -attr @path {/sobel/sobel:core/conc#1033.itm}
+load net {ACC1-2:not#238.itm} -pin "ACC1:acc#374" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1033.itm}
+load net {GND} -pin "ACC1:acc#374" {A(2)} -attr @path {/sobel/sobel:core/conc#1033.itm}
+load net {PWR} -pin "ACC1:acc#374" {A(3)} -attr @path {/sobel/sobel:core/conc#1033.itm}
+load net {ACC1-2:not#242.itm} -pin "ACC1:acc#374" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1207.itm}
+load net {ACC1:acc#372.itm(1)} -pin "ACC1:acc#374" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1207.itm}
+load net {ACC1:acc#372.itm(2)} -pin "ACC1:acc#374" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1207.itm}
+load net {ACC1:acc#374.itm(0)} -pin "ACC1:acc#374" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#374.itm}
+load net {ACC1:acc#374.itm(1)} -pin "ACC1:acc#374" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#374.itm}
+load net {ACC1:acc#374.itm(2)} -pin "ACC1:acc#374" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#374.itm}
+load net {ACC1:acc#374.itm(3)} -pin "ACC1:acc#374" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#374.itm}
+load inst "ACC1-2:not#240" "not(1)" "INTERFACE" -attr xrf 64615 -attr oid 1805 -attr @path {/sobel/sobel:core/ACC1-2:not#240} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#228.psp.sva(4)} -pin "ACC1-2:not#240" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#3.itm}
+load net {ACC1-2:not#240.itm} -pin "ACC1-2:not#240" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#240.itm}
+load inst "ACC1:acc#371" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64616 -attr oid 1806 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#371} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#371" {A(0)} -attr @path {/sobel/sobel:core/conc#1036.itm}
+load net {ACC1:acc#228.psp.sva(3)} -pin "ACC1:acc#371" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1036.itm}
+load net {ACC1:acc#228.psp.sva(5)} -pin "ACC1:acc#371" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1201.itm}
+load net {ACC1-2:not#240.itm} -pin "ACC1:acc#371" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1201.itm}
+load net {ACC1:acc#371.itm(0)} -pin "ACC1:acc#371" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#371.itm}
+load net {ACC1:acc#371.itm(1)} -pin "ACC1:acc#371" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#371.itm}
+load net {ACC1:acc#371.itm(2)} -pin "ACC1:acc#371" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#371.itm}
+load inst "ACC1-2:not#243" "not(2)" "INTERFACE" -attr xrf 64617 -attr oid 1807 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#243} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#228.psp.sva(10)} -pin "ACC1-2:not#243" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#12.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1-2:not#243" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#12.itm}
+load net {ACC1-2:not#243.itm(0)} -pin "ACC1-2:not#243" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#243.itm}
+load net {ACC1-2:not#243.itm(1)} -pin "ACC1-2:not#243" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#243.itm}
+load inst "ACC1:acc#373" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64618 -attr oid 1808 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#373" {A(0)} -attr @path {/sobel/sobel:core/conc#1035.itm}
+load net {ACC1:acc#371.itm(1)} -pin "ACC1:acc#373" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1035.itm}
+load net {ACC1:acc#371.itm(2)} -pin "ACC1:acc#373" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1035.itm}
+load net {ACC1:acc#228.psp.sva(7)} -pin "ACC1:acc#373" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1205.itm}
+load net {ACC1-2:not#243.itm(0)} -pin "ACC1:acc#373" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1205.itm}
+load net {ACC1-2:not#243.itm(1)} -pin "ACC1:acc#373" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1205.itm}
+load net {ACC1:acc#373.itm(0)} -pin "ACC1:acc#373" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373.itm}
+load net {ACC1:acc#373.itm(1)} -pin "ACC1:acc#373" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373.itm}
+load net {ACC1:acc#373.itm(2)} -pin "ACC1:acc#373" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373.itm}
+load net {ACC1:acc#373.itm(3)} -pin "ACC1:acc#373" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373.itm}
+load net {ACC1:acc#373.itm(4)} -pin "ACC1:acc#373" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#373.itm}
+load inst "ACC1:acc#375" "add(4,1,5,-1,5)" "INTERFACE" -attr xrf 64619 -attr oid 1809 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "ACC1:acc#375" {A(0)} -attr @path {/sobel/sobel:core/conc#1032.itm}
+load net {ACC1:acc#374.itm(1)} -pin "ACC1:acc#375" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1032.itm}
+load net {ACC1:acc#374.itm(2)} -pin "ACC1:acc#375" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1032.itm}
+load net {ACC1:acc#374.itm(3)} -pin "ACC1:acc#375" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1032.itm}
+load net {ACC1:acc#228.psp.sva(9)} -pin "ACC1:acc#375" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1209.itm}
+load net {ACC1:acc#373.itm(1)} -pin "ACC1:acc#375" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1209.itm}
+load net {ACC1:acc#373.itm(2)} -pin "ACC1:acc#375" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1209.itm}
+load net {ACC1:acc#373.itm(3)} -pin "ACC1:acc#375" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1209.itm}
+load net {ACC1:acc#373.itm(4)} -pin "ACC1:acc#375" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1209.itm}
+load net {ACC1:acc#375.itm(0)} -pin "ACC1:acc#375" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375.itm}
+load net {ACC1:acc#375.itm(1)} -pin "ACC1:acc#375" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375.itm}
+load net {ACC1:acc#375.itm(2)} -pin "ACC1:acc#375" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375.itm}
+load net {ACC1:acc#375.itm(3)} -pin "ACC1:acc#375" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375.itm}
+load net {ACC1:acc#375.itm(4)} -pin "ACC1:acc#375" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#375.itm}
+load inst "ACC1-3:not#293" "not(2)" "INTERFACE" -attr xrf 64620 -attr oid 1810 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#293} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#220.psp.sva(1)} -pin "ACC1-3:not#293" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp.sva).itm}
+load net {ACC1:acc#220.psp.sva(2)} -pin "ACC1-3:not#293" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#220.psp.sva).itm}
+load net {ACC1-3:not#293.itm(0)} -pin "ACC1-3:not#293" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#293.itm}
+load net {ACC1-3:not#293.itm(1)} -pin "ACC1-3:not#293" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#293.itm}
+load inst "ACC1:acc#395" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64621 -attr oid 1811 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#395} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#395" {A(0)} -attr @path {/sobel/sobel:core/conc#1037.itm}
+load net {ACC1-3:not#293.itm(0)} -pin "ACC1:acc#395" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1037.itm}
+load net {ACC1-3:not#293.itm(1)} -pin "ACC1:acc#395" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1037.itm}
+load net {PWR} -pin "ACC1:acc#395" {B(0)} -attr @path {/sobel/sobel:core/conc#1038.itm}
+load net {ACC1:acc#220.psp.sva(0)} -pin "ACC1:acc#395" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1038.itm}
+load net {ACC1:acc#395.itm(0)} -pin "ACC1:acc#395" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#395.itm}
+load net {ACC1:acc#395.itm(1)} -pin "ACC1:acc#395" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#395.itm}
+load net {ACC1:acc#395.itm(2)} -pin "ACC1:acc#395" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#395.itm}
+load net {ACC1:acc#395.itm(3)} -pin "ACC1:acc#395" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#395.itm}
+load inst "ACC1-2:not#220" "not(1)" "INTERFACE" -attr xrf 64622 -attr oid 1812 -attr @path {/sobel/sobel:core/ACC1-2:not#220} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#226.psp.sva(0)} -pin "ACC1-2:not#220" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#7.itm}
+load net {ACC1-2:not#220.itm} -pin "ACC1-2:not#220" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#220.itm}
+load inst "ACC1-2:not#221" "not(1)" "INTERFACE" -attr xrf 64623 -attr oid 1813 -attr @path {/sobel/sobel:core/ACC1-2:not#221} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#226.psp.sva(2)} -pin "ACC1-2:not#221" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#6.itm}
+load net {ACC1-2:not#221.itm} -pin "ACC1-2:not#221" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#221.itm}
+load inst "ACC1-2:not#223" "not(1)" "INTERFACE" -attr xrf 64624 -attr oid 1814 -attr @path {/sobel/sobel:core/ACC1-2:not#223} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#226.psp.sva(6)} -pin "ACC1-2:not#223" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva).itm}
+load net {ACC1-2:not#223.itm} -pin "ACC1-2:not#223" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#223.itm}
+load inst "ACC1:acc#381" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64625 -attr oid 1815 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#381} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#381" {A(0)} -attr @path {/sobel/sobel:core/conc#1041.itm}
+load net {ACC1:acc#226.psp.sva(1)} -pin "ACC1:acc#381" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1041.itm}
+load net {ACC1-2:not#223.itm} -pin "ACC1:acc#381" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1221.itm}
+load net {ACC1-2:not#221.itm} -pin "ACC1:acc#381" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1221.itm}
+load net {ACC1:acc#381.itm(0)} -pin "ACC1:acc#381" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#381.itm}
+load net {ACC1:acc#381.itm(1)} -pin "ACC1:acc#381" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#381.itm}
+load net {ACC1:acc#381.itm(2)} -pin "ACC1:acc#381" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#381.itm}
+load inst "ACC1-2:not#224" "not(1)" "INTERFACE" -attr xrf 64626 -attr oid 1816 -attr @path {/sobel/sobel:core/ACC1-2:not#224} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#226.psp.sva(8)} -pin "ACC1-2:not#224" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#1.itm}
+load net {ACC1-2:not#224.itm} -pin "ACC1-2:not#224" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#224.itm}
+load inst "ACC1:acc#383" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64627 -attr oid 1817 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#383} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#383" {A(0)} -attr @path {/sobel/sobel:core/conc#1040.itm}
+load net {ACC1-2:not#220.itm} -pin "ACC1:acc#383" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1040.itm}
+load net {GND} -pin "ACC1:acc#383" {A(2)} -attr @path {/sobel/sobel:core/conc#1040.itm}
+load net {PWR} -pin "ACC1:acc#383" {A(3)} -attr @path {/sobel/sobel:core/conc#1040.itm}
+load net {ACC1-2:not#224.itm} -pin "ACC1:acc#383" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1225.itm}
+load net {ACC1:acc#381.itm(1)} -pin "ACC1:acc#383" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1225.itm}
+load net {ACC1:acc#381.itm(2)} -pin "ACC1:acc#383" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1225.itm}
+load net {ACC1:acc#383.itm(0)} -pin "ACC1:acc#383" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#383.itm}
+load net {ACC1:acc#383.itm(1)} -pin "ACC1:acc#383" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#383.itm}
+load net {ACC1:acc#383.itm(2)} -pin "ACC1:acc#383" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#383.itm}
+load net {ACC1:acc#383.itm(3)} -pin "ACC1:acc#383" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#383.itm}
+load inst "ACC1-2:not#222" "not(1)" "INTERFACE" -attr xrf 64628 -attr oid 1818 -attr @path {/sobel/sobel:core/ACC1-2:not#222} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#226.psp.sva(4)} -pin "ACC1-2:not#222" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#4.itm}
+load net {ACC1-2:not#222.itm} -pin "ACC1-2:not#222" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#222.itm}
+load inst "ACC1:acc#380" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64629 -attr oid 1819 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#380} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#380" {A(0)} -attr @path {/sobel/sobel:core/conc#1043.itm}
+load net {ACC1:acc#226.psp.sva(3)} -pin "ACC1:acc#380" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1043.itm}
+load net {ACC1:acc#226.psp.sva(5)} -pin "ACC1:acc#380" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1219.itm}
+load net {ACC1-2:not#222.itm} -pin "ACC1:acc#380" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1219.itm}
+load net {ACC1:acc#380.itm(0)} -pin "ACC1:acc#380" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#380.itm}
+load net {ACC1:acc#380.itm(1)} -pin "ACC1:acc#380" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#380.itm}
+load net {ACC1:acc#380.itm(2)} -pin "ACC1:acc#380" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#380.itm}
+load inst "ACC1-2:not#225" "not(2)" "INTERFACE" -attr xrf 64630 -attr oid 1820 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#225} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#226.psp.sva(10)} -pin "ACC1-2:not#225" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#12.itm}
+load net {ACC1:acc#226.psp.sva(11)} -pin "ACC1-2:not#225" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#226.psp.sva)#12.itm}
+load net {ACC1-2:not#225.itm(0)} -pin "ACC1-2:not#225" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#225.itm}
+load net {ACC1-2:not#225.itm(1)} -pin "ACC1-2:not#225" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#225.itm}
+load inst "ACC1:acc#382" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64631 -attr oid 1821 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#382" {A(0)} -attr @path {/sobel/sobel:core/conc#1042.itm}
+load net {ACC1:acc#380.itm(1)} -pin "ACC1:acc#382" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1042.itm}
+load net {ACC1:acc#380.itm(2)} -pin "ACC1:acc#382" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1042.itm}
+load net {ACC1:acc#226.psp.sva(7)} -pin "ACC1:acc#382" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1223.itm}
+load net {ACC1-2:not#225.itm(0)} -pin "ACC1:acc#382" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1223.itm}
+load net {ACC1-2:not#225.itm(1)} -pin "ACC1:acc#382" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1223.itm}
+load net {ACC1:acc#382.itm(0)} -pin "ACC1:acc#382" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382.itm}
+load net {ACC1:acc#382.itm(1)} -pin "ACC1:acc#382" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382.itm}
+load net {ACC1:acc#382.itm(2)} -pin "ACC1:acc#382" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382.itm}
+load net {ACC1:acc#382.itm(3)} -pin "ACC1:acc#382" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382.itm}
+load net {ACC1:acc#382.itm(4)} -pin "ACC1:acc#382" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#382.itm}
+load inst "ACC1:acc#384" "add(4,1,5,-1,5)" "INTERFACE" -attr xrf 64632 -attr oid 1822 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "ACC1:acc#384" {A(0)} -attr @path {/sobel/sobel:core/conc#1039.itm}
+load net {ACC1:acc#383.itm(1)} -pin "ACC1:acc#384" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1039.itm}
+load net {ACC1:acc#383.itm(2)} -pin "ACC1:acc#384" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1039.itm}
+load net {ACC1:acc#383.itm(3)} -pin "ACC1:acc#384" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1039.itm}
+load net {ACC1:acc#226.psp.sva(9)} -pin "ACC1:acc#384" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1227.itm}
+load net {ACC1:acc#382.itm(1)} -pin "ACC1:acc#384" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1227.itm}
+load net {ACC1:acc#382.itm(2)} -pin "ACC1:acc#384" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1227.itm}
+load net {ACC1:acc#382.itm(3)} -pin "ACC1:acc#384" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1227.itm}
+load net {ACC1:acc#382.itm(4)} -pin "ACC1:acc#384" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1227.itm}
+load net {ACC1:acc#384.itm(0)} -pin "ACC1:acc#384" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384.itm}
+load net {ACC1:acc#384.itm(1)} -pin "ACC1:acc#384" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384.itm}
+load net {ACC1:acc#384.itm(2)} -pin "ACC1:acc#384" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384.itm}
+load net {ACC1:acc#384.itm(3)} -pin "ACC1:acc#384" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384.itm}
+load net {ACC1:acc#384.itm(4)} -pin "ACC1:acc#384" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#384.itm}
+load inst "ACC1-3:not#309" "not(1)" "INTERFACE" -attr xrf 64633 -attr oid 1823 -attr @path {/sobel/sobel:core/ACC1-3:not#309} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(11)} -pin "ACC1-3:not#309" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#24.itm}
+load net {ACC1-3:not#309.itm} -pin "ACC1-3:not#309" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#309.itm}
+load inst "ACC1-3:not#238" "not(1)" "INTERFACE" -attr xrf 64634 -attr oid 1824 -attr @path {/sobel/sobel:core/ACC1-3:not#238} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(1)} -pin "ACC1-3:not#238" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#14.itm}
+load net {ACC1-3:not#238.itm} -pin "ACC1-3:not#238" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#238.itm}
+load inst "ACC1:acc#401" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64635 -attr oid 1825 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#401} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#401" {A(0)} -attr @path {/sobel/sobel:core/conc#1045.itm}
+load net {ACC1-3:not#309.itm} -pin "ACC1:acc#401" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1045.itm}
+load net {ACC1:acc#227.psp.sva(8)} -pin "ACC1:acc#401" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1259.itm}
+load net {ACC1-3:not#238.itm} -pin "ACC1:acc#401" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1259.itm}
+load net {ACC1:acc#401.itm(0)} -pin "ACC1:acc#401" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#401.itm}
+load net {ACC1:acc#401.itm(1)} -pin "ACC1:acc#401" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#401.itm}
+load net {ACC1:acc#401.itm(2)} -pin "ACC1:acc#401" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#401.itm}
+load net {ACC1:acc#401.itm(3)} -pin "ACC1:acc#401" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#401.itm}
+load inst "ACC1:acc#403" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64636 -attr oid 1826 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#403" {A(0)} -attr @path {/sobel/sobel:core/conc#1044.itm}
+load net {ACC1:acc#401.itm(1)} -pin "ACC1:acc#403" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1044.itm}
+load net {ACC1:acc#401.itm(2)} -pin "ACC1:acc#403" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1044.itm}
+load net {ACC1:acc#401.itm(3)} -pin "ACC1:acc#403" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1044.itm}
+load net {ACC1:acc#227.psp.sva(10)} -pin "ACC1:acc#403" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1046.itm}
+load net {ACC1:acc#227.psp.sva(0)} -pin "ACC1:acc#403" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1046.itm}
+load net {GND} -pin "ACC1:acc#403" {B(2)} -attr @path {/sobel/sobel:core/conc#1046.itm}
+load net {PWR} -pin "ACC1:acc#403" {B(3)} -attr @path {/sobel/sobel:core/conc#1046.itm}
+load net {ACC1:acc#403.itm(0)} -pin "ACC1:acc#403" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403.itm}
+load net {ACC1:acc#403.itm(1)} -pin "ACC1:acc#403" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403.itm}
+load net {ACC1:acc#403.itm(2)} -pin "ACC1:acc#403" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403.itm}
+load net {ACC1:acc#403.itm(3)} -pin "ACC1:acc#403" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403.itm}
+load net {ACC1:acc#403.itm(4)} -pin "ACC1:acc#403" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#403.itm}
+load inst "ACC1-3:not#239" "not(1)" "INTERFACE" -attr xrf 64637 -attr oid 1827 -attr @path {/sobel/sobel:core/ACC1-3:not#239} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(3)} -pin "ACC1-3:not#239" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#16.itm}
+load net {ACC1-3:not#239.itm} -pin "ACC1-3:not#239" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#239.itm}
+load inst "ACC1-3:not#241" "not(1)" "INTERFACE" -attr xrf 64638 -attr oid 1828 -attr @path {/sobel/sobel:core/ACC1-3:not#241} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(7)} -pin "ACC1-3:not#241" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#5.itm}
+load net {ACC1-3:not#241.itm} -pin "ACC1-3:not#241" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#241.itm}
+load inst "ACC1:acc#400" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64639 -attr oid 1829 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#400} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#400" {A(0)} -attr @path {/sobel/sobel:core/conc#1048.itm}
+load net {ACC1:acc#227.psp.sva(2)} -pin "ACC1:acc#400" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1048.itm}
+load net {ACC1-3:not#241.itm} -pin "ACC1:acc#400" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1257.itm}
+load net {ACC1-3:not#239.itm} -pin "ACC1:acc#400" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1257.itm}
+load net {ACC1:acc#400.itm(0)} -pin "ACC1:acc#400" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#400.itm}
+load net {ACC1:acc#400.itm(1)} -pin "ACC1:acc#400" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#400.itm}
+load net {ACC1:acc#400.itm(2)} -pin "ACC1:acc#400" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#400.itm}
+load inst "ACC1-3:not#240" "not(1)" "INTERFACE" -attr xrf 64640 -attr oid 1830 -attr @path {/sobel/sobel:core/ACC1-3:not#240} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(5)} -pin "ACC1-3:not#240" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#1.itm}
+load net {ACC1-3:not#240.itm} -pin "ACC1-3:not#240" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#240.itm}
+load inst "ACC1:acc#399" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64641 -attr oid 1831 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#399} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#399" {A(0)} -attr @path {/sobel/sobel:core/conc#1049.itm}
+load net {ACC1:acc#227.psp.sva(4)} -pin "ACC1:acc#399" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1049.itm}
+load net {ACC1:acc#227.psp.sva(6)} -pin "ACC1:acc#399" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1255.itm}
+load net {ACC1-3:not#240.itm} -pin "ACC1:acc#399" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1255.itm}
+load net {ACC1:acc#399.itm(0)} -pin "ACC1:acc#399" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#399.itm}
+load net {ACC1:acc#399.itm(1)} -pin "ACC1:acc#399" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#399.itm}
+load net {ACC1:acc#399.itm(2)} -pin "ACC1:acc#399" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#399.itm}
+load inst "ACC1-3:not#242" "not(1)" "INTERFACE" -attr xrf 64642 -attr oid 1832 -attr @path {/sobel/sobel:core/ACC1-3:not#242} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#227.psp.sva(9)} -pin "ACC1-3:not#242" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#227.psp.sva)#4.itm}
+load net {ACC1-3:not#242.itm} -pin "ACC1-3:not#242" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#242.itm}
+load inst "ACC1:acc#402" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64643 -attr oid 1833 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#402} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#402" {A(0)} -attr @path {/sobel/sobel:core/conc#1047.itm}
+load net {ACC1:acc#400.itm(1)} -pin "ACC1:acc#402" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1047.itm}
+load net {ACC1:acc#400.itm(2)} -pin "ACC1:acc#402" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1047.itm}
+load net {ACC1-3:not#242.itm} -pin "ACC1:acc#402" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1261.itm}
+load net {ACC1:acc#399.itm(1)} -pin "ACC1:acc#402" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1261.itm}
+load net {ACC1:acc#399.itm(2)} -pin "ACC1:acc#402" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1261.itm}
+load net {ACC1:acc#402.itm(0)} -pin "ACC1:acc#402" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#402.itm}
+load net {ACC1:acc#402.itm(1)} -pin "ACC1:acc#402" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#402.itm}
+load net {ACC1:acc#402.itm(2)} -pin "ACC1:acc#402" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#402.itm}
+load net {ACC1:acc#402.itm(3)} -pin "ACC1:acc#402" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#402.itm}
+load inst "ACC1-3:acc#212" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64644 -attr oid 1834 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#212} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#403.itm(1)} -pin "ACC1-3:acc#212" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#403.itm(2)} -pin "ACC1-3:acc#212" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#403.itm(3)} -pin "ACC1-3:acc#212" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#403.itm(4)} -pin "ACC1-3:acc#212" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#402.itm(1)} -pin "ACC1-3:acc#212" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#402.itm(2)} -pin "ACC1-3:acc#212" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#402.itm(3)} -pin "ACC1-3:acc#212" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1-3:acc#212.psp.sva(0)} -pin "ACC1-3:acc#212" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#212.psp.sva}
+load net {ACC1-3:acc#212.psp.sva(1)} -pin "ACC1-3:acc#212" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#212.psp.sva}
+load net {ACC1-3:acc#212.psp.sva(2)} -pin "ACC1-3:acc#212" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#212.psp.sva}
+load net {ACC1-3:acc#212.psp.sva(3)} -pin "ACC1-3:acc#212" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#212.psp.sva}
+load inst "ACC1-3:not#297" "not(2)" "INTERFACE" -attr xrf 64645 -attr oid 1835 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#297} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#222.psp.sva(1)} -pin "ACC1-3:not#297" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp.sva).itm}
+load net {ACC1:acc#222.psp.sva(2)} -pin "ACC1-3:not#297" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#222.psp.sva).itm}
+load net {ACC1-3:not#297.itm(0)} -pin "ACC1-3:not#297" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#297.itm}
+load net {ACC1-3:not#297.itm(1)} -pin "ACC1-3:not#297" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#297.itm}
+load inst "ACC1:acc#414" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64646 -attr oid 1836 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#414} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#414" {A(0)} -attr @path {/sobel/sobel:core/conc#1050.itm}
+load net {ACC1-3:not#297.itm(0)} -pin "ACC1:acc#414" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1050.itm}
+load net {ACC1-3:not#297.itm(1)} -pin "ACC1:acc#414" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1050.itm}
+load net {PWR} -pin "ACC1:acc#414" {B(0)} -attr @path {/sobel/sobel:core/conc#1051.itm}
+load net {ACC1:acc#222.psp.sva(0)} -pin "ACC1:acc#414" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1051.itm}
+load net {ACC1:acc#414.itm(0)} -pin "ACC1:acc#414" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#414.itm}
+load net {ACC1:acc#414.itm(1)} -pin "ACC1:acc#414" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#414.itm}
+load net {ACC1:acc#414.itm(2)} -pin "ACC1:acc#414" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#414.itm}
+load net {ACC1:acc#414.itm(3)} -pin "ACC1:acc#414" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#414.itm}
+load inst "ACC1-2:not#295" "not(2)" "INTERFACE" -attr xrf 64647 -attr oid 1837 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#295} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#221.psp#2.sva(1)} -pin "ACC1-2:not#295" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp#2.sva).itm}
+load net {ACC1:acc#221.psp#2.sva(2)} -pin "ACC1-2:not#295" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp#2.sva).itm}
+load net {ACC1-2:not#295.itm(0)} -pin "ACC1-2:not#295" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#295.itm}
+load net {ACC1-2:not#295.itm(1)} -pin "ACC1-2:not#295" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#295.itm}
+load inst "ACC1:acc#377" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64648 -attr oid 1838 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#377} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#377" {A(0)} -attr @path {/sobel/sobel:core/conc#1052.itm}
+load net {ACC1-2:not#295.itm(0)} -pin "ACC1:acc#377" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1052.itm}
+load net {ACC1-2:not#295.itm(1)} -pin "ACC1:acc#377" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1052.itm}
+load net {PWR} -pin "ACC1:acc#377" {B(0)} -attr @path {/sobel/sobel:core/conc#1053.itm}
+load net {ACC1:acc#221.psp#2.sva(0)} -pin "ACC1:acc#377" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1053.itm}
+load net {ACC1:acc#377.itm(0)} -pin "ACC1:acc#377" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#377.itm}
+load net {ACC1:acc#377.itm(1)} -pin "ACC1:acc#377" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#377.itm}
+load net {ACC1:acc#377.itm(2)} -pin "ACC1:acc#377" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#377.itm}
+load net {ACC1:acc#377.itm(3)} -pin "ACC1:acc#377" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#377.itm}
+load inst "ACC1-1:not#247" "not(1)" "INTERFACE" -attr xrf 64649 -attr oid 1839 -attr @path {/sobel/sobel:core/ACC1-1:not#247} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp#1.sva(0)} -pin "ACC1-1:not#247" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#7.itm}
+load net {ACC1-1:not#247.itm} -pin "ACC1-1:not#247" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#247.itm}
+load inst "ACC1-1:not#248" "not(1)" "INTERFACE" -attr xrf 64650 -attr oid 1840 -attr @path {/sobel/sobel:core/ACC1-1:not#248} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp#1.sva(2)} -pin "ACC1-1:not#248" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#6.itm}
+load net {ACC1-1:not#248.itm} -pin "ACC1-1:not#248" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#248.itm}
+load inst "ACC1-1:not#250" "not(1)" "INTERFACE" -attr xrf 64651 -attr oid 1841 -attr @path {/sobel/sobel:core/ACC1-1:not#250} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp#1.sva(6)} -pin "ACC1-1:not#250" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#1.itm}
+load net {ACC1-1:not#250.itm} -pin "ACC1-1:not#250" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#250.itm}
+load inst "ACC1:acc#343" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64652 -attr oid 1842 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#343} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#343" {A(0)} -attr @path {/sobel/sobel:core/conc#1056.itm}
+load net {ACC1:acc#224.psp#1.sva(1)} -pin "ACC1:acc#343" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1056.itm}
+load net {ACC1-1:not#250.itm} -pin "ACC1:acc#343" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1149.itm}
+load net {ACC1-1:not#248.itm} -pin "ACC1:acc#343" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1149.itm}
+load net {ACC1:acc#343.itm(0)} -pin "ACC1:acc#343" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#343.itm}
+load net {ACC1:acc#343.itm(1)} -pin "ACC1:acc#343" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#343.itm}
+load net {ACC1:acc#343.itm(2)} -pin "ACC1:acc#343" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#343.itm}
+load inst "ACC1-1:not#251" "not(1)" "INTERFACE" -attr xrf 64653 -attr oid 1843 -attr @path {/sobel/sobel:core/ACC1-1:not#251} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp#1.sva(8)} -pin "ACC1-1:not#251" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#2.itm}
+load net {ACC1-1:not#251.itm} -pin "ACC1-1:not#251" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#251.itm}
+load inst "ACC1:acc#345" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64654 -attr oid 1844 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#345} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#345" {A(0)} -attr @path {/sobel/sobel:core/conc#1055.itm}
+load net {ACC1-1:not#247.itm} -pin "ACC1:acc#345" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1055.itm}
+load net {GND} -pin "ACC1:acc#345" {A(2)} -attr @path {/sobel/sobel:core/conc#1055.itm}
+load net {PWR} -pin "ACC1:acc#345" {A(3)} -attr @path {/sobel/sobel:core/conc#1055.itm}
+load net {ACC1-1:not#251.itm} -pin "ACC1:acc#345" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1153.itm}
+load net {ACC1:acc#343.itm(1)} -pin "ACC1:acc#345" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1153.itm}
+load net {ACC1:acc#343.itm(2)} -pin "ACC1:acc#345" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1153.itm}
+load net {ACC1:acc#345.itm(0)} -pin "ACC1:acc#345" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#345.itm}
+load net {ACC1:acc#345.itm(1)} -pin "ACC1:acc#345" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#345.itm}
+load net {ACC1:acc#345.itm(2)} -pin "ACC1:acc#345" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#345.itm}
+load net {ACC1:acc#345.itm(3)} -pin "ACC1:acc#345" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#345.itm}
+load inst "ACC1-1:not#249" "not(1)" "INTERFACE" -attr xrf 64655 -attr oid 1845 -attr @path {/sobel/sobel:core/ACC1-1:not#249} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#224.psp#1.sva(4)} -pin "ACC1-1:not#249" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#4.itm}
+load net {ACC1-1:not#249.itm} -pin "ACC1-1:not#249" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#249.itm}
+load inst "ACC1:acc#342" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64656 -attr oid 1846 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#342" {A(0)} -attr @path {/sobel/sobel:core/conc#1058.itm}
+load net {ACC1:acc#224.psp#1.sva(3)} -pin "ACC1:acc#342" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1058.itm}
+load net {ACC1:acc#224.psp#1.sva(5)} -pin "ACC1:acc#342" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1147.itm}
+load net {ACC1-1:not#249.itm} -pin "ACC1:acc#342" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1147.itm}
+load net {ACC1:acc#342.itm(0)} -pin "ACC1:acc#342" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(1)} -pin "ACC1:acc#342" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(2)} -pin "ACC1:acc#342" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load inst "ACC1-1:not#252" "not(2)" "INTERFACE" -attr xrf 64657 -attr oid 1847 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#252} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#224.psp#1.sva(10)} -pin "ACC1-1:not#252" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#12.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1-1:not#252" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva)#12.itm}
+load net {ACC1-1:not#252.itm(0)} -pin "ACC1-1:not#252" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#252.itm}
+load net {ACC1-1:not#252.itm(1)} -pin "ACC1-1:not#252" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#252.itm}
+load inst "ACC1:acc#344" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 64658 -attr oid 1848 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#344" {A(0)} -attr @path {/sobel/sobel:core/conc#1057.itm}
+load net {ACC1:acc#342.itm(1)} -pin "ACC1:acc#344" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1057.itm}
+load net {ACC1:acc#342.itm(2)} -pin "ACC1:acc#344" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1057.itm}
+load net {ACC1:acc#224.psp#1.sva(7)} -pin "ACC1:acc#344" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1151.itm}
+load net {ACC1-1:not#252.itm(0)} -pin "ACC1:acc#344" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1151.itm}
+load net {ACC1-1:not#252.itm(1)} -pin "ACC1:acc#344" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1151.itm}
+load net {ACC1:acc#344.itm(0)} -pin "ACC1:acc#344" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:acc#344.itm(1)} -pin "ACC1:acc#344" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:acc#344.itm(2)} -pin "ACC1:acc#344" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:acc#344.itm(3)} -pin "ACC1:acc#344" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:acc#344.itm(4)} -pin "ACC1:acc#344" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load inst "ACC1:acc#346" "add(4,1,5,-1,5)" "INTERFACE" -attr xrf 64659 -attr oid 1849 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "ACC1:acc#346" {A(0)} -attr @path {/sobel/sobel:core/conc#1054.itm}
+load net {ACC1:acc#345.itm(1)} -pin "ACC1:acc#346" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1054.itm}
+load net {ACC1:acc#345.itm(2)} -pin "ACC1:acc#346" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1054.itm}
+load net {ACC1:acc#345.itm(3)} -pin "ACC1:acc#346" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1054.itm}
+load net {ACC1:acc#224.psp#1.sva(9)} -pin "ACC1:acc#346" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1155.itm}
+load net {ACC1:acc#344.itm(1)} -pin "ACC1:acc#346" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1155.itm}
+load net {ACC1:acc#344.itm(2)} -pin "ACC1:acc#346" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1155.itm}
+load net {ACC1:acc#344.itm(3)} -pin "ACC1:acc#346" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1155.itm}
+load net {ACC1:acc#344.itm(4)} -pin "ACC1:acc#346" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1155.itm}
+load net {ACC1:acc#346.itm(0)} -pin "ACC1:acc#346" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:acc#346.itm(1)} -pin "ACC1:acc#346" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:acc#346.itm(2)} -pin "ACC1:acc#346" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:acc#346.itm(3)} -pin "ACC1:acc#346" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:acc#346.itm(4)} -pin "ACC1:acc#346" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load inst "ACC1-2:not#291" "not(2)" "INTERFACE" -attr xrf 64660 -attr oid 1850 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#291} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#219.psp#2.sva(1)} -pin "ACC1-2:not#291" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#2.sva).itm}
+load net {ACC1:acc#219.psp#2.sva(2)} -pin "ACC1-2:not#291" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#219.psp#2.sva).itm}
+load net {ACC1-2:not#291.itm(0)} -pin "ACC1-2:not#291" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#291.itm}
+load net {ACC1-2:not#291.itm(1)} -pin "ACC1-2:not#291" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:not#291.itm}
+load inst "ACC1:acc#386" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64661 -attr oid 1851 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#386} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#386" {A(0)} -attr @path {/sobel/sobel:core/conc#1059.itm}
+load net {ACC1-2:not#291.itm(0)} -pin "ACC1:acc#386" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1059.itm}
+load net {ACC1-2:not#291.itm(1)} -pin "ACC1:acc#386" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1059.itm}
+load net {PWR} -pin "ACC1:acc#386" {B(0)} -attr @path {/sobel/sobel:core/conc#1060.itm}
+load net {ACC1:acc#219.psp#2.sva(0)} -pin "ACC1:acc#386" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1060.itm}
+load net {ACC1:acc#386.itm(0)} -pin "ACC1:acc#386" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#386.itm}
+load net {ACC1:acc#386.itm(1)} -pin "ACC1:acc#386" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#386.itm}
+load net {ACC1:acc#386.itm(2)} -pin "ACC1:acc#386" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#386.itm}
+load net {ACC1:acc#386.itm(3)} -pin "ACC1:acc#386" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#386.itm}
+load inst "ACC1-3:not#295" "not(2)" "INTERFACE" -attr xrf 64662 -attr oid 1852 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#295} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#221.psp.sva(1)} -pin "ACC1-3:not#295" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp.sva).itm}
+load net {ACC1:acc#221.psp.sva(2)} -pin "ACC1-3:not#295" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#221.psp.sva).itm}
+load net {ACC1-3:not#295.itm(0)} -pin "ACC1-3:not#295" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#295.itm}
+load net {ACC1-3:not#295.itm(1)} -pin "ACC1-3:not#295" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#295.itm}
+load inst "ACC1:acc#405" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64663 -attr oid 1853 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#405} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#405" {A(0)} -attr @path {/sobel/sobel:core/conc#1061.itm}
+load net {ACC1-3:not#295.itm(0)} -pin "ACC1:acc#405" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1061.itm}
+load net {ACC1-3:not#295.itm(1)} -pin "ACC1:acc#405" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1061.itm}
+load net {PWR} -pin "ACC1:acc#405" {B(0)} -attr @path {/sobel/sobel:core/conc#1062.itm}
+load net {ACC1:acc#221.psp.sva(0)} -pin "ACC1:acc#405" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1062.itm}
+load net {ACC1:acc#405.itm(0)} -pin "ACC1:acc#405" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#405.itm}
+load net {ACC1:acc#405.itm(1)} -pin "ACC1:acc#405" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#405.itm}
+load net {ACC1:acc#405.itm(2)} -pin "ACC1:acc#405" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#405.itm}
+load net {ACC1:acc#405.itm(3)} -pin "ACC1:acc#405" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#405.itm}
+load inst "ACC1-3:not#277" "not(1)" "INTERFACE" -attr xrf 64664 -attr oid 1854 -attr @path {/sobel/sobel:core/ACC1-3:not#277} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-3:acc#212.psp.sva(1)} -pin "ACC1-3:not#277" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-3:acc#212.psp.sva)#4.itm}
+load net {ACC1-3:not#277.itm} -pin "ACC1-3:not#277" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#277.itm}
+load inst "ACC1:acc#404" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64665 -attr oid 1855 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#404} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#404" {A(0)} -attr @path {/sobel/sobel:core/conc#1063.itm}
+load net {ACC1-3:acc#212.psp.sva(0)} -pin "ACC1:acc#404" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1063.itm}
+load net {ACC1-3:acc#212.psp.sva(2)} -pin "ACC1:acc#404" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1265.itm}
+load net {ACC1-3:not#277.itm} -pin "ACC1:acc#404" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1265.itm}
+load net {ACC1:acc#404.itm(0)} -pin "ACC1:acc#404" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#404.itm}
+load net {ACC1:acc#404.itm(1)} -pin "ACC1:acc#404" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#404.itm}
+load net {ACC1:acc#404.itm(2)} -pin "ACC1:acc#404" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#404.itm}
+load inst "ACC1:not#320" "not(1)" "INTERFACE" -attr xrf 64666 -attr oid 1856 -attr @path {/sobel/sobel:core/ACC1:not#320} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-3:acc#212.psp.sva(3)} -pin "ACC1:not#320" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-3:acc#212.psp.sva)#5.itm}
+load net {ACC1:not#320.itm} -pin "ACC1:not#320" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#320.itm}
+load inst "ACC1-3:acc#221" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64667 -attr oid 1857 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#221} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#404.itm(1)} -pin "ACC1-3:acc#221" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:acc#404.itm(2)} -pin "ACC1-3:acc#221" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:not#320.itm} -pin "ACC1-3:acc#221" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#320.itm}
+load net {ACC1:acc#221.psp.sva(0)} -pin "ACC1-3:acc#221" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp.sva}
+load net {ACC1:acc#221.psp.sva(1)} -pin "ACC1-3:acc#221" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp.sva}
+load net {ACC1:acc#221.psp.sva(2)} -pin "ACC1-3:acc#221" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp.sva}
+load inst "ACC1-2:not#277" "not(1)" "INTERFACE" -attr xrf 64668 -attr oid 1858 -attr @path {/sobel/sobel:core/ACC1-2:not#277} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#375.itm(2)} -pin "ACC1-2:not#277" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-2:acc#212.psp.sva)#4.itm}
+load net {ACC1-2:not#277.itm} -pin "ACC1-2:not#277" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#277.itm}
+load inst "ACC1:acc#376" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64669 -attr oid 1859 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#376} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#376" {A(0)} -attr @path {/sobel/sobel:core/conc#1064.itm}
+load net {ACC1:acc#375.itm(1)} -pin "ACC1:acc#376" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1064.itm}
+load net {ACC1:acc#375.itm(3)} -pin "ACC1:acc#376" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1211.itm}
+load net {ACC1-2:not#277.itm} -pin "ACC1:acc#376" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1211.itm}
+load net {ACC1:acc#376.itm(0)} -pin "ACC1:acc#376" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#376.itm}
+load net {ACC1:acc#376.itm(1)} -pin "ACC1:acc#376" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#376.itm}
+load net {ACC1:acc#376.itm(2)} -pin "ACC1:acc#376" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#376.itm}
+load inst "ACC1:not#318" "not(1)" "INTERFACE" -attr xrf 64670 -attr oid 1860 -attr @path {/sobel/sobel:core/ACC1:not#318} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#375.itm(4)} -pin "ACC1:not#318" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-2:acc#212.psp.sva)#5.itm}
+load net {ACC1:not#318.itm} -pin "ACC1:not#318" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#318.itm}
+load inst "ACC1-2:acc#221" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64671 -attr oid 1861 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:acc#221} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#376.itm(1)} -pin "ACC1-2:acc#221" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#376.itm(2)} -pin "ACC1-2:acc#221" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:not#318.itm} -pin "ACC1-2:acc#221" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#318.itm}
+load net {ACC1:acc#221.psp#2.sva(0)} -pin "ACC1-2:acc#221" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp#2.sva}
+load net {ACC1:acc#221.psp#2.sva(1)} -pin "ACC1-2:acc#221" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp#2.sva}
+load net {ACC1:acc#221.psp#2.sva(2)} -pin "ACC1-2:acc#221" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.psp#2.sva}
+load inst "ACC1-2:not#269" "not(1)" "INTERFACE" -attr xrf 64672 -attr oid 1862 -attr @path {/sobel/sobel:core/ACC1-2:not#269} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#384.itm(2)} -pin "ACC1-2:not#269" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-2:acc#208.psp.sva)#4.itm}
+load net {ACC1-2:not#269.itm} -pin "ACC1-2:not#269" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#269.itm}
+load inst "ACC1:acc#385" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64673 -attr oid 1863 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#385} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#385" {A(0)} -attr @path {/sobel/sobel:core/conc#1065.itm}
+load net {ACC1:acc#384.itm(1)} -pin "ACC1:acc#385" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1065.itm}
+load net {ACC1:acc#384.itm(3)} -pin "ACC1:acc#385" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1229.itm}
+load net {ACC1-2:not#269.itm} -pin "ACC1:acc#385" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1229.itm}
+load net {ACC1:acc#385.itm(0)} -pin "ACC1:acc#385" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#385.itm}
+load net {ACC1:acc#385.itm(1)} -pin "ACC1:acc#385" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#385.itm}
+load net {ACC1:acc#385.itm(2)} -pin "ACC1:acc#385" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#385.itm}
+load inst "ACC1:not#319" "not(1)" "INTERFACE" -attr xrf 64674 -attr oid 1864 -attr @path {/sobel/sobel:core/ACC1:not#319} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#384.itm(4)} -pin "ACC1:not#319" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-2:acc#208.psp.sva)#5.itm}
+load net {ACC1:not#319.itm} -pin "ACC1:not#319" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#319.itm}
+load inst "ACC1-2:acc#219" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64675 -attr oid 1865 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:acc#219} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#385.itm(1)} -pin "ACC1-2:acc#219" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:acc#385.itm(2)} -pin "ACC1-2:acc#219" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:not#319.itm} -pin "ACC1-2:acc#219" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#319.itm}
+load net {ACC1:acc#219.psp#2.sva(0)} -pin "ACC1-2:acc#219" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#2.sva}
+load net {ACC1:acc#219.psp#2.sva(1)} -pin "ACC1-2:acc#219" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#2.sva}
+load net {ACC1:acc#219.psp#2.sva(2)} -pin "ACC1-2:acc#219" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#2.sva}
+load inst "ACC1-1:not#281" "not(1)" "INTERFACE" -attr xrf 64676 -attr oid 1866 -attr @path {/sobel/sobel:core/ACC1-1:not#281} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#346.itm(2)} -pin "ACC1-1:not#281" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#214.psp#2.sva)#4.itm}
+load net {ACC1-1:not#281.itm} -pin "ACC1-1:not#281" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#281.itm}
+load inst "ACC1:acc#347" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64677 -attr oid 1867 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#347" {A(0)} -attr @path {/sobel/sobel:core/conc#1066.itm}
+load net {ACC1:acc#346.itm(1)} -pin "ACC1:acc#347" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1066.itm}
+load net {ACC1:acc#346.itm(3)} -pin "ACC1:acc#347" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1157.itm}
+load net {ACC1-1:not#281.itm} -pin "ACC1:acc#347" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1157.itm}
+load net {ACC1:acc#347.itm(0)} -pin "ACC1:acc#347" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load net {ACC1:acc#347.itm(1)} -pin "ACC1:acc#347" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load net {ACC1:acc#347.itm(2)} -pin "ACC1:acc#347" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load inst "ACC1-1:not#303" "not(1)" "INTERFACE" -attr xrf 64678 -attr oid 1868 -attr @path {/sobel/sobel:core/ACC1-1:not#303} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#346.itm(4)} -pin "ACC1-1:not#303" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#214.psp#2.sva)#5.itm}
+load net {ACC1-1:not#303.itm} -pin "ACC1-1:not#303" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#303.itm}
+load inst "ACC1-1:acc#225" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64679 -attr oid 1869 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#225} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#347.itm(1)} -pin "ACC1-1:acc#225" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#347.itm(2)} -pin "ACC1-1:acc#225" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1-1:not#303.itm} -pin "ACC1-1:acc#225" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#303.itm}
+load net {ACC1:acc#222.psp#1.sva(0)} -pin "ACC1-1:acc#225" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp#1.sva}
+load net {ACC1:acc#222.psp#1.sva(1)} -pin "ACC1-1:acc#225" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp#1.sva}
+load net {ACC1:acc#222.psp#1.sva(2)} -pin "ACC1-1:acc#225" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp#1.sva}
+load inst "ACC1-1:not#269" "not(1)" "INTERFACE" -attr xrf 64680 -attr oid 1870 -attr @path {/sobel/sobel:core/ACC1-1:not#269} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#208.psp.sva(1)} -pin "ACC1-1:not#269" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#208.psp.sva)#4.itm}
+load net {ACC1-1:not#269.itm} -pin "ACC1-1:not#269" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#269.itm}
+load inst "ACC1:acc#366" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64681 -attr oid 1871 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#366} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#366" {A(0)} -attr @path {/sobel/sobel:core/conc#1067.itm}
+load net {ACC1-1:acc#208.psp.sva(0)} -pin "ACC1:acc#366" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1067.itm}
+load net {ACC1-1:acc#208.psp.sva(2)} -pin "ACC1:acc#366" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1193.itm}
+load net {ACC1-1:not#269.itm} -pin "ACC1:acc#366" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1193.itm}
+load net {ACC1:acc#366.itm(0)} -pin "ACC1:acc#366" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#366.itm}
+load net {ACC1:acc#366.itm(1)} -pin "ACC1:acc#366" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#366.itm}
+load net {ACC1:acc#366.itm(2)} -pin "ACC1:acc#366" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#366.itm}
+load inst "ACC1:not#317" "not(1)" "INTERFACE" -attr xrf 64682 -attr oid 1872 -attr @path {/sobel/sobel:core/ACC1:not#317} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1-1:acc#208.psp.sva(3)} -pin "ACC1:not#317" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1-1:acc#208.psp.sva)#5.itm}
+load net {ACC1:not#317.itm} -pin "ACC1:not#317" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#317.itm}
+load inst "ACC1-1:acc#219" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64683 -attr oid 1873 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#219} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#366.itm(1)} -pin "ACC1-1:acc#219" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#42.itm}
+load net {ACC1:acc#366.itm(2)} -pin "ACC1-1:acc#219" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#42.itm}
+load net {ACC1:not#317.itm} -pin "ACC1-1:acc#219" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#317.itm}
+load net {ACC1:acc#219.psp#1.sva(0)} -pin "ACC1-1:acc#219" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#1.sva}
+load net {ACC1:acc#219.psp#1.sva(1)} -pin "ACC1-1:acc#219" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#1.sva}
+load net {ACC1:acc#219.psp#1.sva(2)} -pin "ACC1-1:acc#219" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.psp#1.sva}
+load inst "ACC1-2:not#185" "not(1)" "INTERFACE" -attr xrf 64684 -attr oid 1874 -attr @path {/sobel/sobel:core/ACC1-2:not#185} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#386.itm(2)} -pin "ACC1-2:not#185" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#43.sva)#3.itm}
+load net {ACC1-2:not#185.itm} -pin "ACC1-2:not#185" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#185.itm}
+load inst "ACC1-2:not#186" "not(1)" "INTERFACE" -attr xrf 64685 -attr oid 1875 -attr @path {/sobel/sobel:core/ACC1-2:not#186} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#386.itm(3)} -pin "ACC1-2:not#186" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#43.sva).itm}
+load net {ACC1-2:not#186.itm} -pin "ACC1-2:not#186" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#186.itm}
+load inst "ACC1:acc#387" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64686 -attr oid 1876 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#387} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#387" {A(0)} -attr @path {/sobel/sobel:core/conc#1068.itm}
+load net {ACC1:acc#386.itm(1)} -pin "ACC1:acc#387" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1068.itm}
+load net {PWR} -pin "ACC1:acc#387" {A(2)} -attr @path {/sobel/sobel:core/conc#1068.itm}
+load net {ACC1-2:not#186.itm} -pin "ACC1:acc#387" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1234.itm}
+load net {ACC1-2:not#185.itm} -pin "ACC1:acc#387" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1234.itm}
+load net {ACC1:acc#387.itm(0)} -pin "ACC1:acc#387" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#387.itm}
+load net {ACC1:acc#387.itm(1)} -pin "ACC1:acc#387" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#387.itm}
+load net {ACC1:acc#387.itm(2)} -pin "ACC1:acc#387" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#387.itm}
+load inst "ACC1-2:not#57" "not(1)" "INTERFACE" -attr xrf 64687 -attr oid 1877 -attr @path {/sobel/sobel:core/ACC1-2:not#57} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#377.itm(2)} -pin "ACC1-2:not#57" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#31.sva)#3.itm}
+load net {ACC1-2:not#57.itm} -pin "ACC1-2:not#57" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#57.itm}
+load inst "ACC1-2:not#58" "not(1)" "INTERFACE" -attr xrf 64688 -attr oid 1878 -attr @path {/sobel/sobel:core/ACC1-2:not#58} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#377.itm(3)} -pin "ACC1-2:not#58" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#31.sva).itm}
+load net {ACC1-2:not#58.itm} -pin "ACC1-2:not#58" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#58.itm}
+load inst "ACC1:acc#378" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64689 -attr oid 1879 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#378} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#378" {A(0)} -attr @path {/sobel/sobel:core/conc#1069.itm}
+load net {ACC1:acc#377.itm(1)} -pin "ACC1:acc#378" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1069.itm}
+load net {PWR} -pin "ACC1:acc#378" {A(2)} -attr @path {/sobel/sobel:core/conc#1069.itm}
+load net {ACC1-2:not#58.itm} -pin "ACC1:acc#378" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1216.itm}
+load net {ACC1-2:not#57.itm} -pin "ACC1:acc#378" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1216.itm}
+load net {ACC1:acc#378.itm(0)} -pin "ACC1:acc#378" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#378.itm}
+load net {ACC1:acc#378.itm(1)} -pin "ACC1:acc#378" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#378.itm}
+load net {ACC1:acc#378.itm(2)} -pin "ACC1:acc#378" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#378.itm}
+load inst "ACC1-3:not#89" "not(1)" "INTERFACE" -attr xrf 64690 -attr oid 1880 -attr @path {/sobel/sobel:core/ACC1-3:not#89} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#414.itm(2)} -pin "ACC1-3:not#89" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#10.sva)#3.itm}
+load net {ACC1-3:not#89.itm} -pin "ACC1-3:not#89" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#89.itm}
+load inst "ACC1-3:not#90" "not(1)" "INTERFACE" -attr xrf 64691 -attr oid 1881 -attr @path {/sobel/sobel:core/ACC1-3:not#90} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#414.itm(3)} -pin "ACC1-3:not#90" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#10.sva).itm}
+load net {ACC1-3:not#90.itm} -pin "ACC1-3:not#90" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#90.itm}
+load inst "ACC1:acc#415" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64692 -attr oid 1882 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#415} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#415" {A(0)} -attr @path {/sobel/sobel:core/conc#1070.itm}
+load net {ACC1:acc#414.itm(1)} -pin "ACC1:acc#415" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1070.itm}
+load net {PWR} -pin "ACC1:acc#415" {A(2)} -attr @path {/sobel/sobel:core/conc#1070.itm}
+load net {ACC1-3:not#90.itm} -pin "ACC1:acc#415" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1288.itm}
+load net {ACC1-3:not#89.itm} -pin "ACC1:acc#415" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1288.itm}
+load net {ACC1:acc#415.itm(0)} -pin "ACC1:acc#415" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#415.itm}
+load net {ACC1:acc#415.itm(1)} -pin "ACC1:acc#415" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#415.itm}
+load net {ACC1:acc#415.itm(2)} -pin "ACC1:acc#415" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#415.itm}
+load inst "ACC1-3:not#25" "not(1)" "INTERFACE" -attr xrf 64693 -attr oid 1883 -attr @path {/sobel/sobel:core/ACC1-3:not#25} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#395.itm(2)} -pin "ACC1-3:not#25" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#2.sva)#3.itm}
+load net {ACC1-3:not#25.itm} -pin "ACC1-3:not#25" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#25.itm}
+load inst "ACC1-3:not#26" "not(1)" "INTERFACE" -attr xrf 64694 -attr oid 1884 -attr @path {/sobel/sobel:core/ACC1-3:not#26} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#395.itm(3)} -pin "ACC1-3:not#26" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#2.sva).itm}
+load net {ACC1-3:not#26.itm} -pin "ACC1-3:not#26" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#26.itm}
+load inst "ACC1:acc#396" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64695 -attr oid 1885 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#396} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#396" {A(0)} -attr @path {/sobel/sobel:core/conc#1071.itm}
+load net {ACC1:acc#395.itm(1)} -pin "ACC1:acc#396" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1071.itm}
+load net {PWR} -pin "ACC1:acc#396" {A(2)} -attr @path {/sobel/sobel:core/conc#1071.itm}
+load net {ACC1-3:not#26.itm} -pin "ACC1:acc#396" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1252.itm}
+load net {ACC1-3:not#25.itm} -pin "ACC1:acc#396" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1252.itm}
+load net {ACC1:acc#396.itm(0)} -pin "ACC1:acc#396" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#396.itm}
+load net {ACC1:acc#396.itm(1)} -pin "ACC1:acc#396" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#396.itm}
+load net {ACC1:acc#396.itm(2)} -pin "ACC1:acc#396" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#396.itm}
+load inst "ACC1-3:not#311" "not(1)" "INTERFACE" -attr xrf 64696 -attr oid 1886 -attr @path {/sobel/sobel:core/ACC1-3:not#311} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:not#311" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#32.itm}
+load net {ACC1-3:not#311.itm} -pin "ACC1-3:not#311" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#311.itm}
+load inst "ACC1-3:not#229" "not(1)" "INTERFACE" -attr xrf 64697 -attr oid 1887 -attr @path {/sobel/sobel:core/ACC1-3:not#229} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(1)} -pin "ACC1-3:not#229" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#15.itm}
+load net {ACC1-3:not#229.itm} -pin "ACC1-3:not#229" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#229.itm}
+load inst "ACC1:acc#391" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64698 -attr oid 1888 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#391} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#391" {A(0)} -attr @path {/sobel/sobel:core/conc#1073.itm}
+load net {ACC1-3:not#311.itm} -pin "ACC1:acc#391" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1073.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#391" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1241.itm}
+load net {ACC1-3:not#229.itm} -pin "ACC1:acc#391" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1241.itm}
+load net {ACC1:acc#391.itm(0)} -pin "ACC1:acc#391" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#391.itm}
+load net {ACC1:acc#391.itm(1)} -pin "ACC1:acc#391" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#391.itm}
+load net {ACC1:acc#391.itm(2)} -pin "ACC1:acc#391" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#391.itm}
+load net {ACC1:acc#391.itm(3)} -pin "ACC1:acc#391" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#391.itm}
+load inst "ACC1:acc#393" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64699 -attr oid 1889 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#393" {A(0)} -attr @path {/sobel/sobel:core/conc#1072.itm}
+load net {ACC1:acc#391.itm(1)} -pin "ACC1:acc#393" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1072.itm}
+load net {ACC1:acc#391.itm(2)} -pin "ACC1:acc#393" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1072.itm}
+load net {ACC1:acc#391.itm(3)} -pin "ACC1:acc#393" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1072.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#393" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1074.itm}
+load net {acc.psp#1.sva(0)} -pin "ACC1:acc#393" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1074.itm}
+load net {GND} -pin "ACC1:acc#393" {B(2)} -attr @path {/sobel/sobel:core/conc#1074.itm}
+load net {PWR} -pin "ACC1:acc#393" {B(3)} -attr @path {/sobel/sobel:core/conc#1074.itm}
+load net {ACC1:acc#393.itm(0)} -pin "ACC1:acc#393" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393.itm}
+load net {ACC1:acc#393.itm(1)} -pin "ACC1:acc#393" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393.itm}
+load net {ACC1:acc#393.itm(2)} -pin "ACC1:acc#393" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393.itm}
+load net {ACC1:acc#393.itm(3)} -pin "ACC1:acc#393" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393.itm}
+load net {ACC1:acc#393.itm(4)} -pin "ACC1:acc#393" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#393.itm}
+load inst "ACC1-3:not#230" "not(1)" "INTERFACE" -attr xrf 64700 -attr oid 1890 -attr @path {/sobel/sobel:core/ACC1-3:not#230} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(3)} -pin "ACC1-3:not#230" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#17.itm}
+load net {ACC1-3:not#230.itm} -pin "ACC1-3:not#230" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#230.itm}
+load inst "ACC1-3:not#232" "not(1)" "INTERFACE" -attr xrf 64701 -attr oid 1891 -attr @path {/sobel/sobel:core/ACC1-3:not#232} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(7)} -pin "ACC1-3:not#232" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#1.itm}
+load net {ACC1-3:not#232.itm} -pin "ACC1-3:not#232" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#232.itm}
+load inst "ACC1:acc#390" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64702 -attr oid 1892 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#390} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#390" {A(0)} -attr @path {/sobel/sobel:core/conc#1076.itm}
+load net {acc.psp#1.sva(2)} -pin "ACC1:acc#390" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1076.itm}
+load net {ACC1-3:not#232.itm} -pin "ACC1:acc#390" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1239.itm}
+load net {ACC1-3:not#230.itm} -pin "ACC1:acc#390" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1239.itm}
+load net {ACC1:acc#390.itm(0)} -pin "ACC1:acc#390" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#390.itm}
+load net {ACC1:acc#390.itm(1)} -pin "ACC1:acc#390" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#390.itm}
+load net {ACC1:acc#390.itm(2)} -pin "ACC1:acc#390" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#390.itm}
+load inst "ACC1-3:not#231" "not(1)" "INTERFACE" -attr xrf 64703 -attr oid 1893 -attr @path {/sobel/sobel:core/ACC1-3:not#231} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(5)} -pin "ACC1-3:not#231" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#4.itm}
+load net {ACC1-3:not#231.itm} -pin "ACC1-3:not#231" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#231.itm}
+load inst "ACC1:acc#389" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64704 -attr oid 1894 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#389} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#389" {A(0)} -attr @path {/sobel/sobel:core/conc#1077.itm}
+load net {acc.psp#1.sva(4)} -pin "ACC1:acc#389" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1077.itm}
+load net {acc.psp#1.sva(6)} -pin "ACC1:acc#389" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1237.itm}
+load net {ACC1-3:not#231.itm} -pin "ACC1:acc#389" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1237.itm}
+load net {ACC1:acc#389.itm(0)} -pin "ACC1:acc#389" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#389.itm}
+load net {ACC1:acc#389.itm(1)} -pin "ACC1:acc#389" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#389.itm}
+load net {ACC1:acc#389.itm(2)} -pin "ACC1:acc#389" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#389.itm}
+load inst "ACC1-3:not#233" "not(1)" "INTERFACE" -attr xrf 64705 -attr oid 1895 -attr @path {/sobel/sobel:core/ACC1-3:not#233} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(9)} -pin "ACC1-3:not#233" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#2.itm}
+load net {ACC1-3:not#233.itm} -pin "ACC1-3:not#233" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#233.itm}
+load inst "ACC1:acc#392" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64706 -attr oid 1896 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#392} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#392" {A(0)} -attr @path {/sobel/sobel:core/conc#1075.itm}
+load net {ACC1:acc#390.itm(1)} -pin "ACC1:acc#392" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1075.itm}
+load net {ACC1:acc#390.itm(2)} -pin "ACC1:acc#392" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1075.itm}
+load net {ACC1-3:not#233.itm} -pin "ACC1:acc#392" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1243.itm}
+load net {ACC1:acc#389.itm(1)} -pin "ACC1:acc#392" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1243.itm}
+load net {ACC1:acc#389.itm(2)} -pin "ACC1:acc#392" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1243.itm}
+load net {ACC1:acc#392.itm(0)} -pin "ACC1:acc#392" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#392.itm}
+load net {ACC1:acc#392.itm(1)} -pin "ACC1:acc#392" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#392.itm}
+load net {ACC1:acc#392.itm(2)} -pin "ACC1:acc#392" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#392.itm}
+load net {ACC1:acc#392.itm(3)} -pin "ACC1:acc#392" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#392.itm}
+load inst "ACC1-3:acc#210" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64707 -attr oid 1897 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#210} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#393.itm(1)} -pin "ACC1-3:acc#210" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#393.itm(2)} -pin "ACC1-3:acc#210" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#393.itm(3)} -pin "ACC1-3:acc#210" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#393.itm(4)} -pin "ACC1-3:acc#210" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#392.itm(1)} -pin "ACC1-3:acc#210" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#392.itm(2)} -pin "ACC1-3:acc#210" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#392.itm(3)} -pin "ACC1-3:acc#210" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#210.psp#1.sva(0)} -pin "ACC1-3:acc#210" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#1.sva}
+load net {ACC1:acc#210.psp#1.sva(1)} -pin "ACC1-3:acc#210" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#1.sva}
+load net {ACC1:acc#210.psp#1.sva(2)} -pin "ACC1-3:acc#210" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#1.sva}
+load net {ACC1:acc#210.psp#1.sva(3)} -pin "ACC1-3:acc#210" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.psp#1.sva}
+load inst "ACC1-3:not#307" "not(1)" "INTERFACE" -attr xrf 64708 -attr oid 1898 -attr @path {/sobel/sobel:core/ACC1-3:not#307} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(11)} -pin "ACC1-3:not#307" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#24.itm}
+load net {ACC1-3:not#307.itm} -pin "ACC1-3:not#307" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#307.itm}
+load inst "ACC1-3:not#260" "not(1)" "INTERFACE" -attr xrf 64709 -attr oid 1899 -attr @path {/sobel/sobel:core/ACC1-3:not#260} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(1)} -pin "ACC1-3:not#260" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#15.itm}
+load net {ACC1-3:not#260.itm} -pin "ACC1-3:not#260" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#260.itm}
+load inst "ACC1:acc#419" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64710 -attr oid 1900 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#419} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#419" {A(0)} -attr @path {/sobel/sobel:core/conc#1079.itm}
+load net {ACC1-3:not#307.itm} -pin "ACC1:acc#419" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1079.itm}
+load net {acc#20.psp#1.sva(8)} -pin "ACC1:acc#419" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1295.itm}
+load net {ACC1-3:not#260.itm} -pin "ACC1:acc#419" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1295.itm}
+load net {ACC1:acc#419.itm(0)} -pin "ACC1:acc#419" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#419.itm}
+load net {ACC1:acc#419.itm(1)} -pin "ACC1:acc#419" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#419.itm}
+load net {ACC1:acc#419.itm(2)} -pin "ACC1:acc#419" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#419.itm}
+load net {ACC1:acc#419.itm(3)} -pin "ACC1:acc#419" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#419.itm}
+load inst "ACC1:acc#421" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64711 -attr oid 1901 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#421" {A(0)} -attr @path {/sobel/sobel:core/conc#1078.itm}
+load net {ACC1:acc#419.itm(1)} -pin "ACC1:acc#421" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1078.itm}
+load net {ACC1:acc#419.itm(2)} -pin "ACC1:acc#421" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1078.itm}
+load net {ACC1:acc#419.itm(3)} -pin "ACC1:acc#421" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1078.itm}
+load net {acc#20.psp#1.sva(10)} -pin "ACC1:acc#421" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1080.itm}
+load net {acc#20.psp#1.sva(0)} -pin "ACC1:acc#421" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1080.itm}
+load net {GND} -pin "ACC1:acc#421" {B(2)} -attr @path {/sobel/sobel:core/conc#1080.itm}
+load net {PWR} -pin "ACC1:acc#421" {B(3)} -attr @path {/sobel/sobel:core/conc#1080.itm}
+load net {ACC1:acc#421.itm(0)} -pin "ACC1:acc#421" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421.itm}
+load net {ACC1:acc#421.itm(1)} -pin "ACC1:acc#421" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421.itm}
+load net {ACC1:acc#421.itm(2)} -pin "ACC1:acc#421" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421.itm}
+load net {ACC1:acc#421.itm(3)} -pin "ACC1:acc#421" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421.itm}
+load net {ACC1:acc#421.itm(4)} -pin "ACC1:acc#421" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#421.itm}
+load inst "ACC1-3:not#261" "not(1)" "INTERFACE" -attr xrf 64712 -attr oid 1902 -attr @path {/sobel/sobel:core/ACC1-3:not#261} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(3)} -pin "ACC1-3:not#261" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#17.itm}
+load net {ACC1-3:not#261.itm} -pin "ACC1-3:not#261" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#261.itm}
+load inst "ACC1-3:not#263" "not(1)" "INTERFACE" -attr xrf 64713 -attr oid 1903 -attr @path {/sobel/sobel:core/ACC1-3:not#263} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(7)} -pin "ACC1-3:not#263" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#2.itm}
+load net {ACC1-3:not#263.itm} -pin "ACC1-3:not#263" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#263.itm}
+load inst "ACC1:acc#418" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64714 -attr oid 1904 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#418} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#418" {A(0)} -attr @path {/sobel/sobel:core/conc#1082.itm}
+load net {acc#20.psp#1.sva(2)} -pin "ACC1:acc#418" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1082.itm}
+load net {ACC1-3:not#263.itm} -pin "ACC1:acc#418" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1293.itm}
+load net {ACC1-3:not#261.itm} -pin "ACC1:acc#418" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1293.itm}
+load net {ACC1:acc#418.itm(0)} -pin "ACC1:acc#418" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#418.itm}
+load net {ACC1:acc#418.itm(1)} -pin "ACC1:acc#418" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#418.itm}
+load net {ACC1:acc#418.itm(2)} -pin "ACC1:acc#418" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#418.itm}
+load inst "ACC1-3:not#262" "not(1)" "INTERFACE" -attr xrf 64715 -attr oid 1905 -attr @path {/sobel/sobel:core/ACC1-3:not#262} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(5)} -pin "ACC1-3:not#262" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#14.itm}
+load net {ACC1-3:not#262.itm} -pin "ACC1-3:not#262" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#262.itm}
+load inst "ACC1:acc#417" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64716 -attr oid 1906 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#417} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#417" {A(0)} -attr @path {/sobel/sobel:core/conc#1083.itm}
+load net {acc#20.psp#1.sva(4)} -pin "ACC1:acc#417" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1083.itm}
+load net {acc#20.psp#1.sva(6)} -pin "ACC1:acc#417" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1291.itm}
+load net {ACC1-3:not#262.itm} -pin "ACC1:acc#417" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1291.itm}
+load net {ACC1:acc#417.itm(0)} -pin "ACC1:acc#417" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#417.itm}
+load net {ACC1:acc#417.itm(1)} -pin "ACC1:acc#417" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#417.itm}
+load net {ACC1:acc#417.itm(2)} -pin "ACC1:acc#417" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#417.itm}
+load inst "ACC1-3:not#264" "not(1)" "INTERFACE" -attr xrf 64717 -attr oid 1907 -attr @path {/sobel/sobel:core/ACC1-3:not#264} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#1.sva(9)} -pin "ACC1-3:not#264" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#1.sva)#3.itm}
+load net {ACC1-3:not#264.itm} -pin "ACC1-3:not#264" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#264.itm}
+load inst "ACC1:acc#420" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64718 -attr oid 1908 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#420} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#420" {A(0)} -attr @path {/sobel/sobel:core/conc#1081.itm}
+load net {ACC1:acc#418.itm(1)} -pin "ACC1:acc#420" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1081.itm}
+load net {ACC1:acc#418.itm(2)} -pin "ACC1:acc#420" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1081.itm}
+load net {ACC1-3:not#264.itm} -pin "ACC1:acc#420" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1297.itm}
+load net {ACC1:acc#417.itm(1)} -pin "ACC1:acc#420" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1297.itm}
+load net {ACC1:acc#417.itm(2)} -pin "ACC1:acc#420" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1297.itm}
+load net {ACC1:acc#420.itm(0)} -pin "ACC1:acc#420" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#420.itm}
+load net {ACC1:acc#420.itm(1)} -pin "ACC1:acc#420" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#420.itm}
+load net {ACC1:acc#420.itm(2)} -pin "ACC1:acc#420" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#420.itm}
+load net {ACC1:acc#420.itm(3)} -pin "ACC1:acc#420" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#420.itm}
+load inst "ACC1-3:acc#217" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64719 -attr oid 1909 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#217} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#421.itm(1)} -pin "ACC1-3:acc#217" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#421.itm(2)} -pin "ACC1-3:acc#217" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#421.itm(3)} -pin "ACC1-3:acc#217" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#421.itm(4)} -pin "ACC1-3:acc#217" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#420.itm(1)} -pin "ACC1-3:acc#217" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#420.itm(2)} -pin "ACC1-3:acc#217" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#420.itm(3)} -pin "ACC1-3:acc#217" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#217.psp#1.sva(0)} -pin "ACC1-3:acc#217" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#1.sva}
+load net {ACC1:acc#217.psp#1.sva(1)} -pin "ACC1-3:acc#217" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#1.sva}
+load net {ACC1:acc#217.psp#1.sva(2)} -pin "ACC1-3:acc#217" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#1.sva}
+load net {ACC1:acc#217.psp#1.sva(3)} -pin "ACC1-3:acc#217" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#1.sva}
+load inst "ACC1-3:not#153" "not(1)" "INTERFACE" -attr xrf 64720 -attr oid 1910 -attr @path {/sobel/sobel:core/ACC1-3:not#153} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#423.itm(2)} -pin "ACC1-3:not#153" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva)#3.itm}
+load net {ACC1-3:not#153.itm} -pin "ACC1-3:not#153" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#153.itm}
+load inst "ACC1-3:not#154" "not(1)" "INTERFACE" -attr xrf 64721 -attr oid 1911 -attr @path {/sobel/sobel:core/ACC1-3:not#154} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#423.itm(3)} -pin "ACC1-3:not#154" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva)#4.itm}
+load net {ACC1-3:not#154.itm} -pin "ACC1-3:not#154" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#154.itm}
+load inst "ACC1:acc#424" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64722 -attr oid 1912 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#424} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#424" {A(0)} -attr @path {/sobel/sobel:core/conc#1084.itm}
+load net {ACC1:acc#423.itm(1)} -pin "ACC1:acc#424" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1084.itm}
+load net {PWR} -pin "ACC1:acc#424" {A(2)} -attr @path {/sobel/sobel:core/conc#1084.itm}
+load net {ACC1-3:not#154.itm} -pin "ACC1:acc#424" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1306.itm}
+load net {ACC1-3:not#153.itm} -pin "ACC1:acc#424" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1306.itm}
+load net {ACC1:acc#424.itm(0)} -pin "ACC1:acc#424" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#424.itm}
+load net {ACC1:acc#424.itm(1)} -pin "ACC1:acc#424" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#424.itm}
+load net {ACC1:acc#424.itm(2)} -pin "ACC1:acc#424" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#424.itm}
+load inst "ACC1:acc#724" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64723 -attr oid 1913 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#724} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#724" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1650.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#724" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1650.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#724" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1607.itm}
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#724" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1607.itm}
+load net {ACC1:acc#724.cse(0)} -pin "ACC1:acc#724" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#724.cse}
+load net {ACC1:acc#724.cse(1)} -pin "ACC1:acc#724" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#724.cse}
+load net {ACC1:acc#724.cse(2)} -pin "ACC1:acc#724" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#724.cse}
+load inst "ACC1:acc#319" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 64724 -attr oid 1914 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#224.psp.sva(11)} -pin "ACC1:acc#319" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp.sva)#1.itm}
+load net {ACC1:acc#228.psp.sva(11)} -pin "ACC1:acc#319" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#228.psp.sva)#2.itm}
+load net {ACC1:acc#319.itm(0)} -pin "ACC1:acc#319" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(1)} -pin "ACC1:acc#319" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load inst "ACC1:acc#318" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 64725 -attr oid 1915 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#319.itm(0)} -pin "ACC1:acc#318" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(1)} -pin "ACC1:acc#318" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#224.psp#1.sva(11)} -pin "ACC1:acc#318" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#224.psp#1.sva).itm}
+load net {ACC1:acc#318.itm(0)} -pin "ACC1:acc#318" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(1)} -pin "ACC1:acc#318" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load inst "ACC1:mul#57" "mul(2,0,12,1,14)" "INTERFACE" -attr xrf 64726 -attr oid 1916 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,14)"
+load net {ACC1:acc#318.itm(0)} -pin "ACC1:mul#57" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(1)} -pin "ACC1:mul#57" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {PWR} -pin "ACC1:mul#57" {B(0)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(1)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(2)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(3)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(4)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(5)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(6)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(7)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(8)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {PWR} -pin "ACC1:mul#57" {B(9)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#57" {B(10)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {PWR} -pin "ACC1:mul#57" {B(11)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {ACC1:mul#57.itm(0)} -pin "ACC1:mul#57" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(1)} -pin "ACC1:mul#57" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(2)} -pin "ACC1:mul#57" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(3)} -pin "ACC1:mul#57" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(4)} -pin "ACC1:mul#57" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(5)} -pin "ACC1:mul#57" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(6)} -pin "ACC1:mul#57" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(7)} -pin "ACC1:mul#57" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(8)} -pin "ACC1:mul#57" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(9)} -pin "ACC1:mul#57" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(10)} -pin "ACC1:mul#57" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(11)} -pin "ACC1:mul#57" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(12)} -pin "ACC1:mul#57" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load net {ACC1:mul#57.itm(13)} -pin "ACC1:mul#57" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#57.itm}
+load inst "ACC1-3:not#287" "not(1)" "INTERFACE" -attr xrf 64727 -attr oid 1917 -attr @path {/sobel/sobel:core/ACC1-3:not#287} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#217.psp#1.sva(1)} -pin "ACC1-3:not#287" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#217.psp#1.sva)#4.itm}
+load net {ACC1-3:not#287.itm} -pin "ACC1-3:not#287" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#287.itm}
+load inst "ACC1:acc#422" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64728 -attr oid 1918 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#422} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#422" {A(0)} -attr @path {/sobel/sobel:core/conc#1085.itm}
+load net {ACC1:acc#217.psp#1.sva(0)} -pin "ACC1:acc#422" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1085.itm}
+load net {ACC1:acc#217.psp#1.sva(2)} -pin "ACC1:acc#422" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1301.itm}
+load net {ACC1-3:not#287.itm} -pin "ACC1:acc#422" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1301.itm}
+load net {ACC1:acc#422.itm(0)} -pin "ACC1:acc#422" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#422.itm}
+load net {ACC1:acc#422.itm(1)} -pin "ACC1:acc#422" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#422.itm}
+load net {ACC1:acc#422.itm(2)} -pin "ACC1:acc#422" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#422.itm}
+load inst "ACC1-3:not#306" "not(1)" "INTERFACE" -attr xrf 64729 -attr oid 1919 -attr @path {/sobel/sobel:core/ACC1-3:not#306} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#217.psp#1.sva(3)} -pin "ACC1-3:not#306" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#217.psp#1.sva)#5.itm}
+load net {ACC1-3:not#306.itm} -pin "ACC1-3:not#306" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#306.itm}
+load inst "ACC1-3:acc#223" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64730 -attr oid 1920 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#223} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#422.itm(1)} -pin "ACC1-3:acc#223" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1:acc#422.itm(2)} -pin "ACC1-3:acc#223" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1-3:not#306.itm} -pin "ACC1-3:acc#223" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#306.itm}
+load net {ACC1:acc#223.psp.sva(0)} -pin "ACC1-3:acc#223" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp.sva}
+load net {ACC1:acc#223.psp.sva(1)} -pin "ACC1-3:acc#223" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp.sva}
+load net {ACC1:acc#223.psp.sva(2)} -pin "ACC1-3:acc#223" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp.sva}
+load inst "ACC1-1:not#273" "not(1)" "INTERFACE" -attr xrf 64731 -attr oid 1921 -attr @path {/sobel/sobel:core/ACC1-1:not#273} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#210.psp#2.sva(1)} -pin "ACC1-1:not#273" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#210.psp#2.sva)#5.itm}
+load net {ACC1-1:not#273.itm} -pin "ACC1-1:not#273" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#273.itm}
+load inst "ACC1:acc#337" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64732 -attr oid 1922 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#337} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#337" {A(0)} -attr @path {/sobel/sobel:core/conc#1086.itm}
+load net {ACC1:acc#210.psp#2.sva(0)} -pin "ACC1:acc#337" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1086.itm}
+load net {ACC1:acc#210.psp#2.sva(2)} -pin "ACC1:acc#337" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1139.itm}
+load net {ACC1-1:not#273.itm} -pin "ACC1:acc#337" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1139.itm}
+load net {ACC1:acc#337.itm(0)} -pin "ACC1:acc#337" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(1)} -pin "ACC1:acc#337" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(2)} -pin "ACC1:acc#337" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load inst "ACC1-1:not#305" "not(1)" "INTERFACE" -attr xrf 64733 -attr oid 1923 -attr @path {/sobel/sobel:core/ACC1-1:not#305} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#210.psp#2.sva(3)} -pin "ACC1-1:not#305" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#210.psp#2.sva)#3.itm}
+load net {ACC1-1:not#305.itm} -pin "ACC1-1:not#305" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#305.itm}
+load inst "ACC1-1:acc#220" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64734 -attr oid 1924 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#220} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#337.itm(1)} -pin "ACC1-1:acc#220" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#18.itm}
+load net {ACC1:acc#337.itm(2)} -pin "ACC1-1:acc#220" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#18.itm}
+load net {ACC1-1:not#305.itm} -pin "ACC1-1:acc#220" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#305.itm}
+load net {ACC1:acc#220.psp#1.sva(0)} -pin "ACC1-1:acc#220" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#220.psp#1.sva}
+load net {ACC1:acc#220.psp#1.sva(1)} -pin "ACC1-1:acc#220" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#220.psp#1.sva}
+load net {ACC1:acc#220.psp#1.sva(2)} -pin "ACC1-1:acc#220" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#220.psp#1.sva}
+load inst "ACC1-3:not#273" "not(1)" "INTERFACE" -attr xrf 64735 -attr oid 1925 -attr @path {/sobel/sobel:core/ACC1-3:not#273} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#210.psp#1.sva(1)} -pin "ACC1-3:not#273" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#210.psp#1.sva)#4.itm}
+load net {ACC1-3:not#273.itm} -pin "ACC1-3:not#273" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#273.itm}
+load inst "ACC1:acc#394" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64736 -attr oid 1926 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#394} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#394" {A(0)} -attr @path {/sobel/sobel:core/conc#1087.itm}
+load net {ACC1:acc#210.psp#1.sva(0)} -pin "ACC1:acc#394" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1087.itm}
+load net {ACC1:acc#210.psp#1.sva(2)} -pin "ACC1:acc#394" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1247.itm}
+load net {ACC1-3:not#273.itm} -pin "ACC1:acc#394" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1247.itm}
+load net {ACC1:acc#394.itm(0)} -pin "ACC1:acc#394" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#394.itm}
+load net {ACC1:acc#394.itm(1)} -pin "ACC1:acc#394" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#394.itm}
+load net {ACC1:acc#394.itm(2)} -pin "ACC1:acc#394" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#394.itm}
+load inst "ACC1-3:not#305" "not(1)" "INTERFACE" -attr xrf 64737 -attr oid 1927 -attr @path {/sobel/sobel:core/ACC1-3:not#305} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#210.psp#1.sva(3)} -pin "ACC1-3:not#305" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#210.psp#1.sva)#5.itm}
+load net {ACC1-3:not#305.itm} -pin "ACC1-3:not#305" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#305.itm}
+load inst "ACC1-3:acc#220" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64738 -attr oid 1928 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#220} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#394.itm(1)} -pin "ACC1-3:acc#220" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1:acc#394.itm(2)} -pin "ACC1-3:acc#220" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1-3:not#305.itm} -pin "ACC1-3:acc#220" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#305.itm}
+load net {ACC1:acc#220.psp.sva(0)} -pin "ACC1-3:acc#220" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.psp.sva}
+load net {ACC1:acc#220.psp.sva(1)} -pin "ACC1-3:acc#220" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.psp.sva}
+load net {ACC1:acc#220.psp.sva(2)} -pin "ACC1-3:acc#220" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.psp.sva}
+load inst "ACC1-3:not#281" "not(1)" "INTERFACE" -attr xrf 64739 -attr oid 1929 -attr @path {/sobel/sobel:core/ACC1-3:not#281} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#412.itm(2)} -pin "ACC1-3:not#281" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#214.psp#1.sva)#4.itm}
+load net {ACC1-3:not#281.itm} -pin "ACC1-3:not#281" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#281.itm}
+load inst "ACC1:acc#413" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64740 -attr oid 1930 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#413} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#413" {A(0)} -attr @path {/sobel/sobel:core/conc#1088.itm}
+load net {ACC1:acc#412.itm(1)} -pin "ACC1:acc#413" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1088.itm}
+load net {ACC1:acc#412.itm(3)} -pin "ACC1:acc#413" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1283.itm}
+load net {ACC1-3:not#281.itm} -pin "ACC1:acc#413" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1283.itm}
+load net {ACC1:acc#413.itm(0)} -pin "ACC1:acc#413" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#413.itm}
+load net {ACC1:acc#413.itm(1)} -pin "ACC1:acc#413" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#413.itm}
+load net {ACC1:acc#413.itm(2)} -pin "ACC1:acc#413" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#413.itm}
+load inst "ACC1-3:not#303" "not(1)" "INTERFACE" -attr xrf 64741 -attr oid 1931 -attr @path {/sobel/sobel:core/ACC1-3:not#303} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#412.itm(4)} -pin "ACC1-3:not#303" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#214.psp#1.sva)#5.itm}
+load net {ACC1-3:not#303.itm} -pin "ACC1-3:not#303" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#303.itm}
+load inst "ACC1-3:acc#225" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64742 -attr oid 1932 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#225} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#413.itm(1)} -pin "ACC1-3:acc#225" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#82.itm}
+load net {ACC1:acc#413.itm(2)} -pin "ACC1-3:acc#225" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#82.itm}
+load net {ACC1-3:not#303.itm} -pin "ACC1-3:acc#225" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#303.itm}
+load net {ACC1:acc#222.psp.sva(0)} -pin "ACC1-3:acc#225" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp.sva}
+load net {ACC1:acc#222.psp.sva(1)} -pin "ACC1-3:acc#225" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp.sva}
+load net {ACC1:acc#222.psp.sva(2)} -pin "ACC1-3:acc#225" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.psp.sva}
+load inst "ACC1:acc#673" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64743 -attr oid 1933 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#673" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1641.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#673" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1641.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#673" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1599.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#673" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1599.itm}
+load net {ACC1:acc#673.cse(0)} -pin "ACC1:acc#673" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#673.cse(1)} -pin "ACC1:acc#673" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load net {ACC1:acc#673.cse(2)} -pin "ACC1:acc#673" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#673.cse}
+load inst "regs.operator[]#12:not" "not(10)" "INTERFACE" -attr xrf 64744 -attr oid 1934 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(80)} -pin "regs.operator[]#12:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(81)} -pin "regs.operator[]#12:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(82)} -pin "regs.operator[]#12:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(83)} -pin "regs.operator[]#12:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(84)} -pin "regs.operator[]#12:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(85)} -pin "regs.operator[]#12:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(86)} -pin "regs.operator[]#12:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(87)} -pin "regs.operator[]#12:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(88)} -pin "regs.operator[]#12:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(89)} -pin "regs.operator[]#12:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {regs.operator[]#12:not.itm(0)} -pin "regs.operator[]#12:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(1)} -pin "regs.operator[]#12:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(2)} -pin "regs.operator[]#12:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(3)} -pin "regs.operator[]#12:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(4)} -pin "regs.operator[]#12:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(5)} -pin "regs.operator[]#12:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(6)} -pin "regs.operator[]#12:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(7)} -pin "regs.operator[]#12:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(8)} -pin "regs.operator[]#12:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(9)} -pin "regs.operator[]#12:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load inst "regs.operator[]#13:not" "not(10)" "INTERFACE" -attr xrf 64745 -attr oid 1935 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(70)} -pin "regs.operator[]#13:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(71)} -pin "regs.operator[]#13:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(72)} -pin "regs.operator[]#13:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(73)} -pin "regs.operator[]#13:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(74)} -pin "regs.operator[]#13:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(75)} -pin "regs.operator[]#13:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(76)} -pin "regs.operator[]#13:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(77)} -pin "regs.operator[]#13:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(78)} -pin "regs.operator[]#13:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(79)} -pin "regs.operator[]#13:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {regs.operator[]#13:not.itm(0)} -pin "regs.operator[]#13:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(1)} -pin "regs.operator[]#13:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(2)} -pin "regs.operator[]#13:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(3)} -pin "regs.operator[]#13:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(4)} -pin "regs.operator[]#13:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(5)} -pin "regs.operator[]#13:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(6)} -pin "regs.operator[]#13:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(7)} -pin "regs.operator[]#13:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(8)} -pin "regs.operator[]#13:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(9)} -pin "regs.operator[]#13:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load inst "ACC1:acc#351" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 64746 -attr oid 1936 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.operator[]#12:not.itm(0)} -pin "ACC1:acc#351" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(1)} -pin "ACC1:acc#351" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(2)} -pin "ACC1:acc#351" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(3)} -pin "ACC1:acc#351" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(4)} -pin "ACC1:acc#351" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(5)} -pin "ACC1:acc#351" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(6)} -pin "ACC1:acc#351" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(7)} -pin "ACC1:acc#351" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(8)} -pin "ACC1:acc#351" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#12:not.itm(9)} -pin "ACC1:acc#351" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#12:not.itm}
+load net {regs.operator[]#13:not.itm(0)} -pin "ACC1:acc#351" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(1)} -pin "ACC1:acc#351" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(2)} -pin "ACC1:acc#351" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(3)} -pin "ACC1:acc#351" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(4)} -pin "ACC1:acc#351" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(5)} -pin "ACC1:acc#351" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(6)} -pin "ACC1:acc#351" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(7)} -pin "ACC1:acc#351" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(8)} -pin "ACC1:acc#351" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {regs.operator[]#13:not.itm(9)} -pin "ACC1:acc#351" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#13:not.itm}
+load net {ACC1:acc#351.itm(0)} -pin "ACC1:acc#351" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(1)} -pin "ACC1:acc#351" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(2)} -pin "ACC1:acc#351" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(3)} -pin "ACC1:acc#351" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(4)} -pin "ACC1:acc#351" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(5)} -pin "ACC1:acc#351" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(6)} -pin "ACC1:acc#351" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(7)} -pin "ACC1:acc#351" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(8)} -pin "ACC1:acc#351" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(9)} -pin "ACC1:acc#351" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(10)} -pin "ACC1:acc#351" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load inst "regs.operator[]#14:not" "not(10)" "INTERFACE" -attr xrf 64747 -attr oid 1937 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(60)} -pin "regs.operator[]#14:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(61)} -pin "regs.operator[]#14:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(62)} -pin "regs.operator[]#14:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(63)} -pin "regs.operator[]#14:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(64)} -pin "regs.operator[]#14:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(65)} -pin "regs.operator[]#14:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(66)} -pin "regs.operator[]#14:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(67)} -pin "regs.operator[]#14:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(68)} -pin "regs.operator[]#14:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(69)} -pin "regs.operator[]#14:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {regs.operator[]#14:not.itm(0)} -pin "regs.operator[]#14:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(1)} -pin "regs.operator[]#14:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(2)} -pin "regs.operator[]#14:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(3)} -pin "regs.operator[]#14:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(4)} -pin "regs.operator[]#14:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(5)} -pin "regs.operator[]#14:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(6)} -pin "regs.operator[]#14:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(7)} -pin "regs.operator[]#14:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(8)} -pin "regs.operator[]#14:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(9)} -pin "regs.operator[]#14:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load inst "ACC1:acc#350" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 64748 -attr oid 1938 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.operator[]#14:not.itm(0)} -pin "ACC1:acc#350" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(1)} -pin "ACC1:acc#350" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(2)} -pin "ACC1:acc#350" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(3)} -pin "ACC1:acc#350" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(4)} -pin "ACC1:acc#350" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(5)} -pin "ACC1:acc#350" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(6)} -pin "ACC1:acc#350" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(7)} -pin "ACC1:acc#350" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(8)} -pin "ACC1:acc#350" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {regs.operator[]#14:not.itm(9)} -pin "ACC1:acc#350" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#14:not.itm}
+load net {PWR} -pin "ACC1:acc#350" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#350" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#350.itm(0)} -pin "ACC1:acc#350" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(1)} -pin "ACC1:acc#350" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(2)} -pin "ACC1:acc#350" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(3)} -pin "ACC1:acc#350" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(4)} -pin "ACC1:acc#350" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(5)} -pin "ACC1:acc#350" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(6)} -pin "ACC1:acc#350" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(7)} -pin "ACC1:acc#350" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(8)} -pin "ACC1:acc#350" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(9)} -pin "ACC1:acc#350" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(10)} -pin "ACC1:acc#350" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load inst "ACC1-1:acc#20" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 64749 -attr oid 1939 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#20} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#351.itm(0)} -pin "ACC1-1:acc#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(1)} -pin "ACC1-1:acc#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(2)} -pin "ACC1-1:acc#20" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(3)} -pin "ACC1-1:acc#20" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(4)} -pin "ACC1-1:acc#20" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(5)} -pin "ACC1-1:acc#20" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(6)} -pin "ACC1-1:acc#20" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(7)} -pin "ACC1-1:acc#20" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(8)} -pin "ACC1-1:acc#20" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(9)} -pin "ACC1-1:acc#20" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#351.itm(10)} -pin "ACC1-1:acc#20" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#351.itm}
+load net {ACC1:acc#350.itm(0)} -pin "ACC1-1:acc#20" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(1)} -pin "ACC1-1:acc#20" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(2)} -pin "ACC1-1:acc#20" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(3)} -pin "ACC1-1:acc#20" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(4)} -pin "ACC1-1:acc#20" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(5)} -pin "ACC1-1:acc#20" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(6)} -pin "ACC1-1:acc#20" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(7)} -pin "ACC1-1:acc#20" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(8)} -pin "ACC1-1:acc#20" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(9)} -pin "ACC1-1:acc#20" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {ACC1:acc#350.itm(10)} -pin "ACC1-1:acc#20" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#350.itm}
+load net {acc#20.psp#2.sva(0)} -pin "ACC1-1:acc#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(1)} -pin "ACC1-1:acc#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(2)} -pin "ACC1-1:acc#20" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(3)} -pin "ACC1-1:acc#20" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(4)} -pin "ACC1-1:acc#20" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(5)} -pin "ACC1-1:acc#20" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(6)} -pin "ACC1-1:acc#20" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(7)} -pin "ACC1-1:acc#20" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(8)} -pin "ACC1-1:acc#20" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(9)} -pin "ACC1-1:acc#20" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(10)} -pin "ACC1-1:acc#20" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:acc#20" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.psp#2.sva}
+load inst "ACC1-1:not#153" "not(1)" "INTERFACE" -attr xrf 64750 -attr oid 1940 -attr @path {/sobel/sobel:core/ACC1-1:not#153} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#358.itm(2)} -pin "ACC1-1:not#153" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#38.sva)#3.itm}
+load net {ACC1-1:not#153.itm} -pin "ACC1-1:not#153" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#153.itm}
+load inst "ACC1-1:not#315" "not(1)" "INTERFACE" -attr xrf 64751 -attr oid 1941 -attr @path {/sobel/sobel:core/ACC1-1:not#315} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#358.itm(3)} -pin "ACC1-1:not#315" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#38.sva).itm}
+load net {ACC1-1:not#315.itm} -pin "ACC1-1:not#315" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#315.itm}
+load inst "ACC1:acc#359" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64752 -attr oid 1942 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#359} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#359" {A(0)} -attr @path {/sobel/sobel:core/conc#1089.itm}
+load net {ACC1:acc#358.itm(1)} -pin "ACC1:acc#359" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1089.itm}
+load net {PWR} -pin "ACC1:acc#359" {A(2)} -attr @path {/sobel/sobel:core/conc#1089.itm}
+load net {ACC1-1:not#315.itm} -pin "ACC1:acc#359" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1180.itm}
+load net {ACC1-1:not#153.itm} -pin "ACC1:acc#359" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1180.itm}
+load net {ACC1:acc#359.itm(0)} -pin "ACC1:acc#359" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#359.itm}
+load net {ACC1:acc#359.itm(1)} -pin "ACC1:acc#359" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#359.itm}
+load net {ACC1:acc#359.itm(2)} -pin "ACC1:acc#359" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#359.itm}
+load inst "ACC1-1:not#299" "not(2)" "INTERFACE" -attr xrf 64753 -attr oid 1943 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#299} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#223.psp#1.sva(1)} -pin "ACC1-1:not#299" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp#1.sva).itm}
+load net {ACC1:acc#223.psp#1.sva(2)} -pin "ACC1-1:not#299" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#223.psp#1.sva).itm}
+load net {ACC1-1:not#299.itm(0)} -pin "ACC1-1:not#299" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#299.itm}
+load net {ACC1-1:not#299.itm(1)} -pin "ACC1-1:not#299" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#299.itm}
+load inst "ACC1:acc#358" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 64754 -attr oid 1944 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#358} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#358" {A(0)} -attr @path {/sobel/sobel:core/conc#1090.itm}
+load net {ACC1-1:not#299.itm(0)} -pin "ACC1:acc#358" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1090.itm}
+load net {ACC1-1:not#299.itm(1)} -pin "ACC1:acc#358" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1090.itm}
+load net {PWR} -pin "ACC1:acc#358" {B(0)} -attr @path {/sobel/sobel:core/conc#1091.itm}
+load net {ACC1:acc#223.psp#1.sva(0)} -pin "ACC1:acc#358" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1091.itm}
+load net {ACC1:acc#358.itm(0)} -pin "ACC1:acc#358" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#358.itm}
+load net {ACC1:acc#358.itm(1)} -pin "ACC1:acc#358" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#358.itm}
+load net {ACC1:acc#358.itm(2)} -pin "ACC1:acc#358" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#358.itm}
+load net {ACC1:acc#358.itm(3)} -pin "ACC1:acc#358" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#358.itm}
+load inst "ACC1-1:not#313" "not(1)" "INTERFACE" -attr xrf 64755 -attr oid 1945 -attr @path {/sobel/sobel:core/ACC1-1:not#313} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1-1:not#313" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#32.itm}
+load net {ACC1-1:not#313.itm} -pin "ACC1-1:not#313" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#313.itm}
+load inst "ACC1-1:not#260" "not(1)" "INTERFACE" -attr xrf 64756 -attr oid 1946 -attr @path {/sobel/sobel:core/ACC1-1:not#260} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(1)} -pin "ACC1-1:not#260" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#8.itm}
+load net {ACC1-1:not#260.itm} -pin "ACC1-1:not#260" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#260.itm}
+load inst "ACC1:acc#354" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 64757 -attr oid 1947 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#354} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#354" {A(0)} -attr @path {/sobel/sobel:core/conc#1093.itm}
+load net {ACC1-1:not#313.itm} -pin "ACC1:acc#354" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1093.itm}
+load net {acc#20.psp#2.sva(8)} -pin "ACC1:acc#354" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1169.itm}
+load net {ACC1-1:not#260.itm} -pin "ACC1:acc#354" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1169.itm}
+load net {ACC1:acc#354.itm(0)} -pin "ACC1:acc#354" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#354.itm}
+load net {ACC1:acc#354.itm(1)} -pin "ACC1:acc#354" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#354.itm}
+load net {ACC1:acc#354.itm(2)} -pin "ACC1:acc#354" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#354.itm}
+load net {ACC1:acc#354.itm(3)} -pin "ACC1:acc#354" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#354.itm}
+load inst "ACC1:acc#356" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 64758 -attr oid 1948 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#356" {A(0)} -attr @path {/sobel/sobel:core/conc#1092.itm}
+load net {ACC1:acc#354.itm(1)} -pin "ACC1:acc#356" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1092.itm}
+load net {ACC1:acc#354.itm(2)} -pin "ACC1:acc#356" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1092.itm}
+load net {ACC1:acc#354.itm(3)} -pin "ACC1:acc#356" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#1092.itm}
+load net {acc#20.psp#2.sva(10)} -pin "ACC1:acc#356" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#1094.itm}
+load net {acc#20.psp#2.sva(0)} -pin "ACC1:acc#356" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1094.itm}
+load net {GND} -pin "ACC1:acc#356" {B(2)} -attr @path {/sobel/sobel:core/conc#1094.itm}
+load net {PWR} -pin "ACC1:acc#356" {B(3)} -attr @path {/sobel/sobel:core/conc#1094.itm}
+load net {ACC1:acc#356.itm(0)} -pin "ACC1:acc#356" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356.itm}
+load net {ACC1:acc#356.itm(1)} -pin "ACC1:acc#356" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356.itm}
+load net {ACC1:acc#356.itm(2)} -pin "ACC1:acc#356" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356.itm}
+load net {ACC1:acc#356.itm(3)} -pin "ACC1:acc#356" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356.itm}
+load net {ACC1:acc#356.itm(4)} -pin "ACC1:acc#356" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#356.itm}
+load inst "ACC1-1:not#261" "not(1)" "INTERFACE" -attr xrf 64759 -attr oid 1949 -attr @path {/sobel/sobel:core/ACC1-1:not#261} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(3)} -pin "ACC1-1:not#261" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#7.itm}
+load net {ACC1-1:not#261.itm} -pin "ACC1-1:not#261" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#261.itm}
+load inst "ACC1-1:not#263" "not(1)" "INTERFACE" -attr xrf 64760 -attr oid 1950 -attr @path {/sobel/sobel:core/ACC1-1:not#263} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(7)} -pin "ACC1-1:not#263" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#3.itm}
+load net {ACC1-1:not#263.itm} -pin "ACC1-1:not#263" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#263.itm}
+load inst "ACC1:acc#353" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64761 -attr oid 1951 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#353} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#353" {A(0)} -attr @path {/sobel/sobel:core/conc#1096.itm}
+load net {acc#20.psp#2.sva(2)} -pin "ACC1:acc#353" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1096.itm}
+load net {ACC1-1:not#263.itm} -pin "ACC1:acc#353" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1167.itm}
+load net {ACC1-1:not#261.itm} -pin "ACC1:acc#353" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1167.itm}
+load net {ACC1:acc#353.itm(0)} -pin "ACC1:acc#353" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#353.itm}
+load net {ACC1:acc#353.itm(1)} -pin "ACC1:acc#353" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#353.itm}
+load net {ACC1:acc#353.itm(2)} -pin "ACC1:acc#353" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#353.itm}
+load inst "ACC1-1:not#262" "not(1)" "INTERFACE" -attr xrf 64762 -attr oid 1952 -attr @path {/sobel/sobel:core/ACC1-1:not#262} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(5)} -pin "ACC1-1:not#262" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#2.itm}
+load net {ACC1-1:not#262.itm} -pin "ACC1-1:not#262" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#262.itm}
+load inst "ACC1:acc#352" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64763 -attr oid 1953 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#352} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#352" {A(0)} -attr @path {/sobel/sobel:core/conc#1097.itm}
+load net {acc#20.psp#2.sva(4)} -pin "ACC1:acc#352" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1097.itm}
+load net {acc#20.psp#2.sva(6)} -pin "ACC1:acc#352" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1165.itm}
+load net {ACC1-1:not#262.itm} -pin "ACC1:acc#352" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1165.itm}
+load net {ACC1:acc#352.itm(0)} -pin "ACC1:acc#352" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#352.itm}
+load net {ACC1:acc#352.itm(1)} -pin "ACC1:acc#352" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#352.itm}
+load net {ACC1:acc#352.itm(2)} -pin "ACC1:acc#352" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#352.itm}
+load inst "ACC1-1:not#264" "not(1)" "INTERFACE" -attr xrf 64764 -attr oid 1954 -attr @path {/sobel/sobel:core/ACC1-1:not#264} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#20.psp#2.sva(9)} -pin "ACC1-1:not#264" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#20.psp#2.sva)#59.itm}
+load net {ACC1-1:not#264.itm} -pin "ACC1-1:not#264" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#264.itm}
+load inst "ACC1:acc#355" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 64765 -attr oid 1955 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#355} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#355" {A(0)} -attr @path {/sobel/sobel:core/conc#1095.itm}
+load net {ACC1:acc#353.itm(1)} -pin "ACC1:acc#355" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1095.itm}
+load net {ACC1:acc#353.itm(2)} -pin "ACC1:acc#355" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#1095.itm}
+load net {ACC1-1:not#264.itm} -pin "ACC1:acc#355" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1171.itm}
+load net {ACC1:acc#352.itm(1)} -pin "ACC1:acc#355" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1171.itm}
+load net {ACC1:acc#352.itm(2)} -pin "ACC1:acc#355" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1171.itm}
+load net {ACC1:acc#355.itm(0)} -pin "ACC1:acc#355" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#355.itm}
+load net {ACC1:acc#355.itm(1)} -pin "ACC1:acc#355" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#355.itm}
+load net {ACC1:acc#355.itm(2)} -pin "ACC1:acc#355" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#355.itm}
+load net {ACC1:acc#355.itm(3)} -pin "ACC1:acc#355" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#355.itm}
+load inst "ACC1-1:acc#217" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 64766 -attr oid 1956 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#217} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#356.itm(1)} -pin "ACC1-1:acc#217" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#356.itm(2)} -pin "ACC1-1:acc#217" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#356.itm(3)} -pin "ACC1-1:acc#217" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#356.itm(4)} -pin "ACC1-1:acc#217" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#355.itm(1)} -pin "ACC1-1:acc#217" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#32.itm}
+load net {ACC1:acc#355.itm(2)} -pin "ACC1-1:acc#217" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#32.itm}
+load net {ACC1:acc#355.itm(3)} -pin "ACC1-1:acc#217" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#32.itm}
+load net {ACC1:acc#217.psp#2.sva(0)} -pin "ACC1-1:acc#217" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#2.sva}
+load net {ACC1:acc#217.psp#2.sva(1)} -pin "ACC1-1:acc#217" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#2.sva}
+load net {ACC1:acc#217.psp#2.sva(2)} -pin "ACC1-1:acc#217" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#2.sva}
+load net {ACC1:acc#217.psp#2.sva(3)} -pin "ACC1-1:acc#217" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.psp#2.sva}
+load inst "ACC1-1:not#287" "not(1)" "INTERFACE" -attr xrf 64767 -attr oid 1957 -attr @path {/sobel/sobel:core/ACC1-1:not#287} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#217.psp#2.sva(1)} -pin "ACC1-1:not#287" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#217.psp#2.sva)#4.itm}
+load net {ACC1-1:not#287.itm} -pin "ACC1-1:not#287" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#287.itm}
+load inst "ACC1:acc#357" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64768 -attr oid 1958 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#357} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#357" {A(0)} -attr @path {/sobel/sobel:core/conc#1098.itm}
+load net {ACC1:acc#217.psp#2.sva(0)} -pin "ACC1:acc#357" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#1098.itm}
+load net {ACC1:acc#217.psp#2.sva(2)} -pin "ACC1:acc#357" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1175.itm}
+load net {ACC1-1:not#287.itm} -pin "ACC1:acc#357" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1175.itm}
+load net {ACC1:acc#357.itm(0)} -pin "ACC1:acc#357" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#357.itm}
+load net {ACC1:acc#357.itm(1)} -pin "ACC1:acc#357" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#357.itm}
+load net {ACC1:acc#357.itm(2)} -pin "ACC1:acc#357" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#357.itm}
+load inst "ACC1-1:not#306" "not(1)" "INTERFACE" -attr xrf 64769 -attr oid 1959 -attr @path {/sobel/sobel:core/ACC1-1:not#306} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#217.psp#2.sva(3)} -pin "ACC1-1:not#306" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#217.psp#2.sva)#1.itm}
+load net {ACC1-1:not#306.itm} -pin "ACC1-1:not#306" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#306.itm}
+load inst "ACC1-1:acc#223" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 64770 -attr oid 1960 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#223} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#357.itm(1)} -pin "ACC1-1:acc#223" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#357.itm(2)} -pin "ACC1-1:acc#223" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1-1:not#306.itm} -pin "ACC1-1:acc#223" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#306.itm}
+load net {ACC1:acc#223.psp#1.sva(0)} -pin "ACC1-1:acc#223" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp#1.sva}
+load net {ACC1:acc#223.psp#1.sva(1)} -pin "ACC1-1:acc#223" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp#1.sva}
+load net {ACC1:acc#223.psp#1.sva(2)} -pin "ACC1-1:acc#223" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.psp#1.sva}
+load inst "ACC1:acc#699" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 64771 -attr oid 1961 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#699" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1655.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#699" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1655.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#699" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1611.itm}
+load net {acc#20.psp#2.sva(11)} -pin "ACC1:acc#699" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#1611.itm}
+load net {ACC1:acc#699.cse(0)} -pin "ACC1:acc#699" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#699.cse(1)} -pin "ACC1:acc#699" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load net {ACC1:acc#699.cse(2)} -pin "ACC1:acc#699" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#699.cse}
+load inst "ACC1-1:not#25" "not(1)" "INTERFACE" -attr xrf 64772 -attr oid 1962 -attr @path {/sobel/sobel:core/ACC1-1:not#25} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#338.itm(2)} -pin "ACC1-1:not#25" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#26.sva)#4.itm}
+load net {ACC1-1:not#25.itm} -pin "ACC1-1:not#25" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#25.itm}
+load inst "ACC1-1:not#309" "not(1)" "INTERFACE" -attr xrf 64773 -attr oid 1963 -attr @path {/sobel/sobel:core/ACC1-1:not#309} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#338.itm(3)} -pin "ACC1-1:not#309" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#26.sva)#2.itm}
+load net {ACC1-1:not#309.itm} -pin "ACC1-1:not#309" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#309.itm}
+load inst "ACC1:acc#339" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 64774 -attr oid 1964 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#339} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#339" {A(0)} -attr @path {/sobel/sobel:core/conc#1099.itm}
+load net {ACC1:acc#338.itm(1)} -pin "ACC1:acc#339" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#1099.itm}
+load net {PWR} -pin "ACC1:acc#339" {A(2)} -attr @path {/sobel/sobel:core/conc#1099.itm}
+load net {ACC1-1:not#309.itm} -pin "ACC1:acc#339" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1144.itm}
+load net {ACC1-1:not#25.itm} -pin "ACC1:acc#339" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#1144.itm}
+load net {ACC1:acc#339.itm(0)} -pin "ACC1:acc#339" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(1)} -pin "ACC1:acc#339" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(2)} -pin "ACC1:acc#339" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+### END MODULE
+
+module new "sobel" "orig"
+load portBus {vin:rsc.z(89:0)} input 90 {vin:rsc.z(89)} {vin:rsc.z(88)} {vin:rsc.z(87)} {vin:rsc.z(86)} {vin:rsc.z(85)} {vin:rsc.z(84)} {vin:rsc.z(83)} {vin:rsc.z(82)} {vin:rsc.z(81)} {vin:rsc.z(80)} {vin:rsc.z(79)} {vin:rsc.z(78)} {vin:rsc.z(77)} {vin:rsc.z(76)} {vin:rsc.z(75)} {vin:rsc.z(74)} {vin:rsc.z(73)} {vin:rsc.z(72)} {vin:rsc.z(71)} {vin:rsc.z(70)} {vin:rsc.z(69)} {vin:rsc.z(68)} {vin:rsc.z(67)} {vin:rsc.z(66)} {vin:rsc.z(65)} {vin:rsc.z(64)} {vin:rsc.z(63)} {vin:rsc.z(62)} {vin:rsc.z(61)} {vin:rsc.z(60)} {vin:rsc.z(59)} {vin:rsc.z(58)} {vin:rsc.z(57)} {vin:rsc.z(56)} {vin:rsc.z(55)} {vin:rsc.z(54)} {vin:rsc.z(53)} {vin:rsc.z(52)} {vin:rsc.z(51)} {vin:rsc.z(50)} {vin:rsc.z(49)} {vin:rsc.z(48)} {vin:rsc.z(47)} {vin:rsc.z(46)} {vin:rsc.z(45)} {vin:rsc.z(44)} {vin:rsc.z(43)} {vin:rsc.z(42)} {vin:rsc.z(41)} {vin:rsc.z(40)} {vin:rsc.z(39)} {vin:rsc.z(38)} {vin:rsc.z(37)} {vin:rsc.z(36)} {vin:rsc.z(35)} {vin:rsc.z(34)} {vin:rsc.z(33)} {vin:rsc.z(32)} {vin:rsc.z(31)} {vin:rsc.z(30)} {vin:rsc.z(29)} {vin:rsc.z(28)} {vin:rsc.z(27)} {vin:rsc.z(26)} {vin:rsc.z(25)} {vin:rsc.z(24)} {vin:rsc.z(23)} {vin:rsc.z(22)} {vin:rsc.z(21)} {vin:rsc.z(20)} {vin:rsc.z(19)} {vin:rsc.z(18)} {vin:rsc.z(17)} {vin:rsc.z(16)} {vin:rsc.z(15)} {vin:rsc.z(14)} {vin:rsc.z(13)} {vin:rsc.z(12)} {vin:rsc.z(11)} {vin:rsc.z(10)} {vin:rsc.z(9)} {vin:rsc.z(8)} {vin:rsc.z(7)} {vin:rsc.z(6)} {vin:rsc.z(5)} {vin:rsc.z(4)} {vin:rsc.z(3)} {vin:rsc.z(2)} {vin:rsc.z(1)} {vin:rsc.z(0)} -attr xrf 64775 -attr oid 1965 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load portBus {vout:rsc.z(29:0)} output 30 {vout:rsc.z(29)} {vout:rsc.z(28)} {vout:rsc.z(27)} {vout:rsc.z(26)} {vout:rsc.z(25)} {vout:rsc.z(24)} {vout:rsc.z(23)} {vout:rsc.z(22)} {vout:rsc.z(21)} {vout:rsc.z(20)} {vout:rsc.z(19)} {vout:rsc.z(18)} {vout:rsc.z(17)} {vout:rsc.z(16)} {vout:rsc.z(15)} {vout:rsc.z(14)} {vout:rsc.z(13)} {vout:rsc.z(12)} {vout:rsc.z(11)} {vout:rsc.z(10)} {vout:rsc.z(9)} {vout:rsc.z(8)} {vout:rsc.z(7)} {vout:rsc.z(6)} {vout:rsc.z(5)} {vout:rsc.z(4)} {vout:rsc.z(3)} {vout:rsc.z(2)} {vout:rsc.z(1)} {vout:rsc.z(0)} -attr xrf 64776 -attr oid 1966 -attr vt d -attr @path {/sobel/vout:rsc.z}
+load port {clk} input -attr xrf 64777 -attr oid 1967 -attr vt d -attr @path {/sobel/clk}
+load port {en} input -attr xrf 64778 -attr oid 1968 -attr vt d -attr @path {/sobel/en}
+load port {arst_n} input -attr xrf 64779 -attr oid 1969 -attr vt d -attr @path {/sobel/arst_n}
+load symbol "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" GEN boxcolor 0 \
+ portBus {d(89:0)} output 90 {d(89)} {d(88)} {d(87)} {d(86)} {d(85)} {d(84)} {d(83)} {d(82)} {d(81)} {d(80)} {d(79)} {d(78)} {d(77)} {d(76)} {d(75)} {d(74)} {d(73)} {d(72)} {d(71)} {d(70)} {d(69)} {d(68)} {d(67)} {d(66)} {d(65)} {d(64)} {d(63)} {d(62)} {d(61)} {d(60)} {d(59)} {d(58)} {d(57)} {d(56)} {d(55)} {d(54)} {d(53)} {d(52)} {d(51)} {d(50)} {d(49)} {d(48)} {d(47)} {d(46)} {d(45)} {d(44)} {d(43)} {d(42)} {d(41)} {d(40)} {d(39)} {d(38)} {d(37)} {d(36)} {d(35)} {d(34)} {d(33)} {d(32)} {d(31)} {d(30)} {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
+ portBus {z(89:0)} input 90 {z(89)} {z(88)} {z(87)} {z(86)} {z(85)} {z(84)} {z(83)} {z(82)} {z(81)} {z(80)} {z(79)} {z(78)} {z(77)} {z(76)} {z(75)} {z(74)} {z(73)} {z(72)} {z(71)} {z(70)} {z(69)} {z(68)} {z(67)} {z(66)} {z(65)} {z(64)} {z(63)} {z(62)} {z(61)} {z(60)} {z(59)} {z(58)} {z(57)} {z(56)} {z(55)} {z(54)} {z(53)} {z(52)} {z(51)} {z(50)} {z(49)} {z(48)} {z(47)} {z(46)} {z(45)} {z(44)} {z(43)} {z(42)} {z(41)} {z(40)} {z(39)} {z(38)} {z(37)} {z(36)} {z(35)} {z(34)} {z(33)} {z(32)} {z(31)} {z(30)} {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
+
+load symbol "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" GEN boxcolor 0 \
+ portBus {d(29:0)} input 30 {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
+ portBus {z(29:0)} output 30 {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
+
+load symbol "sobel:core" "orig" GEN \
+ port {clk#1} input \
+ port {en#1} input \
+ port {arst_n#1} input \
+ portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} \
+ portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} \
+
+load net {vin:rsc:mgc_in_wire.d#1(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d#1} 90 {vin:rsc:mgc_in_wire.d#1(0)} {vin:rsc:mgc_in_wire.d#1(1)} {vin:rsc:mgc_in_wire.d#1(2)} {vin:rsc:mgc_in_wire.d#1(3)} {vin:rsc:mgc_in_wire.d#1(4)} {vin:rsc:mgc_in_wire.d#1(5)} {vin:rsc:mgc_in_wire.d#1(6)} {vin:rsc:mgc_in_wire.d#1(7)} {vin:rsc:mgc_in_wire.d#1(8)} {vin:rsc:mgc_in_wire.d#1(9)} {vin:rsc:mgc_in_wire.d#1(10)} {vin:rsc:mgc_in_wire.d#1(11)} {vin:rsc:mgc_in_wire.d#1(12)} {vin:rsc:mgc_in_wire.d#1(13)} {vin:rsc:mgc_in_wire.d#1(14)} {vin:rsc:mgc_in_wire.d#1(15)} {vin:rsc:mgc_in_wire.d#1(16)} {vin:rsc:mgc_in_wire.d#1(17)} {vin:rsc:mgc_in_wire.d#1(18)} {vin:rsc:mgc_in_wire.d#1(19)} {vin:rsc:mgc_in_wire.d#1(20)} {vin:rsc:mgc_in_wire.d#1(21)} {vin:rsc:mgc_in_wire.d#1(22)} {vin:rsc:mgc_in_wire.d#1(23)} {vin:rsc:mgc_in_wire.d#1(24)} {vin:rsc:mgc_in_wire.d#1(25)} {vin:rsc:mgc_in_wire.d#1(26)} {vin:rsc:mgc_in_wire.d#1(27)} {vin:rsc:mgc_in_wire.d#1(28)} {vin:rsc:mgc_in_wire.d#1(29)} {vin:rsc:mgc_in_wire.d#1(30)} {vin:rsc:mgc_in_wire.d#1(31)} {vin:rsc:mgc_in_wire.d#1(32)} {vin:rsc:mgc_in_wire.d#1(33)} {vin:rsc:mgc_in_wire.d#1(34)} {vin:rsc:mgc_in_wire.d#1(35)} {vin:rsc:mgc_in_wire.d#1(36)} {vin:rsc:mgc_in_wire.d#1(37)} {vin:rsc:mgc_in_wire.d#1(38)} {vin:rsc:mgc_in_wire.d#1(39)} {vin:rsc:mgc_in_wire.d#1(40)} {vin:rsc:mgc_in_wire.d#1(41)} {vin:rsc:mgc_in_wire.d#1(42)} {vin:rsc:mgc_in_wire.d#1(43)} {vin:rsc:mgc_in_wire.d#1(44)} {vin:rsc:mgc_in_wire.d#1(45)} {vin:rsc:mgc_in_wire.d#1(46)} {vin:rsc:mgc_in_wire.d#1(47)} {vin:rsc:mgc_in_wire.d#1(48)} {vin:rsc:mgc_in_wire.d#1(49)} {vin:rsc:mgc_in_wire.d#1(50)} {vin:rsc:mgc_in_wire.d#1(51)} {vin:rsc:mgc_in_wire.d#1(52)} {vin:rsc:mgc_in_wire.d#1(53)} {vin:rsc:mgc_in_wire.d#1(54)} {vin:rsc:mgc_in_wire.d#1(55)} {vin:rsc:mgc_in_wire.d#1(56)} {vin:rsc:mgc_in_wire.d#1(57)} {vin:rsc:mgc_in_wire.d#1(58)} {vin:rsc:mgc_in_wire.d#1(59)} {vin:rsc:mgc_in_wire.d#1(60)} {vin:rsc:mgc_in_wire.d#1(61)} {vin:rsc:mgc_in_wire.d#1(62)} {vin:rsc:mgc_in_wire.d#1(63)} {vin:rsc:mgc_in_wire.d#1(64)} {vin:rsc:mgc_in_wire.d#1(65)} {vin:rsc:mgc_in_wire.d#1(66)} {vin:rsc:mgc_in_wire.d#1(67)} {vin:rsc:mgc_in_wire.d#1(68)} {vin:rsc:mgc_in_wire.d#1(69)} {vin:rsc:mgc_in_wire.d#1(70)} {vin:rsc:mgc_in_wire.d#1(71)} {vin:rsc:mgc_in_wire.d#1(72)} {vin:rsc:mgc_in_wire.d#1(73)} {vin:rsc:mgc_in_wire.d#1(74)} {vin:rsc:mgc_in_wire.d#1(75)} {vin:rsc:mgc_in_wire.d#1(76)} {vin:rsc:mgc_in_wire.d#1(77)} {vin:rsc:mgc_in_wire.d#1(78)} {vin:rsc:mgc_in_wire.d#1(79)} {vin:rsc:mgc_in_wire.d#1(80)} {vin:rsc:mgc_in_wire.d#1(81)} {vin:rsc:mgc_in_wire.d#1(82)} {vin:rsc:mgc_in_wire.d#1(83)} {vin:rsc:mgc_in_wire.d#1(84)} {vin:rsc:mgc_in_wire.d#1(85)} {vin:rsc:mgc_in_wire.d#1(86)} {vin:rsc:mgc_in_wire.d#1(87)} {vin:rsc:mgc_in_wire.d#1(88)} {vin:rsc:mgc_in_wire.d#1(89)} -attr xrf 64780 -attr oid 1970 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -attr vt d
+load netBundle {vout:rsc:mgc_out_stdreg.d#1} 30 {vout:rsc:mgc_out_stdreg.d#1(0)} {vout:rsc:mgc_out_stdreg.d#1(1)} {vout:rsc:mgc_out_stdreg.d#1(2)} {vout:rsc:mgc_out_stdreg.d#1(3)} {vout:rsc:mgc_out_stdreg.d#1(4)} {vout:rsc:mgc_out_stdreg.d#1(5)} {vout:rsc:mgc_out_stdreg.d#1(6)} {vout:rsc:mgc_out_stdreg.d#1(7)} {vout:rsc:mgc_out_stdreg.d#1(8)} {vout:rsc:mgc_out_stdreg.d#1(9)} {vout:rsc:mgc_out_stdreg.d#1(10)} {vout:rsc:mgc_out_stdreg.d#1(11)} {vout:rsc:mgc_out_stdreg.d#1(12)} {vout:rsc:mgc_out_stdreg.d#1(13)} {vout:rsc:mgc_out_stdreg.d#1(14)} {vout:rsc:mgc_out_stdreg.d#1(15)} {vout:rsc:mgc_out_stdreg.d#1(16)} {vout:rsc:mgc_out_stdreg.d#1(17)} {vout:rsc:mgc_out_stdreg.d#1(18)} {vout:rsc:mgc_out_stdreg.d#1(19)} {vout:rsc:mgc_out_stdreg.d#1(20)} {vout:rsc:mgc_out_stdreg.d#1(21)} {vout:rsc:mgc_out_stdreg.d#1(22)} {vout:rsc:mgc_out_stdreg.d#1(23)} {vout:rsc:mgc_out_stdreg.d#1(24)} {vout:rsc:mgc_out_stdreg.d#1(25)} {vout:rsc:mgc_out_stdreg.d#1(26)} {vout:rsc:mgc_out_stdreg.d#1(27)} {vout:rsc:mgc_out_stdreg.d#1(28)} {vout:rsc:mgc_out_stdreg.d#1(29)} -attr xrf 64781 -attr oid 1971 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vin:rsc.z(0)} -attr vt d
+load net {vin:rsc.z(1)} -attr vt d
+load net {vin:rsc.z(2)} -attr vt d
+load net {vin:rsc.z(3)} -attr vt d
+load net {vin:rsc.z(4)} -attr vt d
+load net {vin:rsc.z(5)} -attr vt d
+load net {vin:rsc.z(6)} -attr vt d
+load net {vin:rsc.z(7)} -attr vt d
+load net {vin:rsc.z(8)} -attr vt d
+load net {vin:rsc.z(9)} -attr vt d
+load net {vin:rsc.z(10)} -attr vt d
+load net {vin:rsc.z(11)} -attr vt d
+load net {vin:rsc.z(12)} -attr vt d
+load net {vin:rsc.z(13)} -attr vt d
+load net {vin:rsc.z(14)} -attr vt d
+load net {vin:rsc.z(15)} -attr vt d
+load net {vin:rsc.z(16)} -attr vt d
+load net {vin:rsc.z(17)} -attr vt d
+load net {vin:rsc.z(18)} -attr vt d
+load net {vin:rsc.z(19)} -attr vt d
+load net {vin:rsc.z(20)} -attr vt d
+load net {vin:rsc.z(21)} -attr vt d
+load net {vin:rsc.z(22)} -attr vt d
+load net {vin:rsc.z(23)} -attr vt d
+load net {vin:rsc.z(24)} -attr vt d
+load net {vin:rsc.z(25)} -attr vt d
+load net {vin:rsc.z(26)} -attr vt d
+load net {vin:rsc.z(27)} -attr vt d
+load net {vin:rsc.z(28)} -attr vt d
+load net {vin:rsc.z(29)} -attr vt d
+load net {vin:rsc.z(30)} -attr vt d
+load net {vin:rsc.z(31)} -attr vt d
+load net {vin:rsc.z(32)} -attr vt d
+load net {vin:rsc.z(33)} -attr vt d
+load net {vin:rsc.z(34)} -attr vt d
+load net {vin:rsc.z(35)} -attr vt d
+load net {vin:rsc.z(36)} -attr vt d
+load net {vin:rsc.z(37)} -attr vt d
+load net {vin:rsc.z(38)} -attr vt d
+load net {vin:rsc.z(39)} -attr vt d
+load net {vin:rsc.z(40)} -attr vt d
+load net {vin:rsc.z(41)} -attr vt d
+load net {vin:rsc.z(42)} -attr vt d
+load net {vin:rsc.z(43)} -attr vt d
+load net {vin:rsc.z(44)} -attr vt d
+load net {vin:rsc.z(45)} -attr vt d
+load net {vin:rsc.z(46)} -attr vt d
+load net {vin:rsc.z(47)} -attr vt d
+load net {vin:rsc.z(48)} -attr vt d
+load net {vin:rsc.z(49)} -attr vt d
+load net {vin:rsc.z(50)} -attr vt d
+load net {vin:rsc.z(51)} -attr vt d
+load net {vin:rsc.z(52)} -attr vt d
+load net {vin:rsc.z(53)} -attr vt d
+load net {vin:rsc.z(54)} -attr vt d
+load net {vin:rsc.z(55)} -attr vt d
+load net {vin:rsc.z(56)} -attr vt d
+load net {vin:rsc.z(57)} -attr vt d
+load net {vin:rsc.z(58)} -attr vt d
+load net {vin:rsc.z(59)} -attr vt d
+load net {vin:rsc.z(60)} -attr vt d
+load net {vin:rsc.z(61)} -attr vt d
+load net {vin:rsc.z(62)} -attr vt d
+load net {vin:rsc.z(63)} -attr vt d
+load net {vin:rsc.z(64)} -attr vt d
+load net {vin:rsc.z(65)} -attr vt d
+load net {vin:rsc.z(66)} -attr vt d
+load net {vin:rsc.z(67)} -attr vt d
+load net {vin:rsc.z(68)} -attr vt d
+load net {vin:rsc.z(69)} -attr vt d
+load net {vin:rsc.z(70)} -attr vt d
+load net {vin:rsc.z(71)} -attr vt d
+load net {vin:rsc.z(72)} -attr vt d
+load net {vin:rsc.z(73)} -attr vt d
+load net {vin:rsc.z(74)} -attr vt d
+load net {vin:rsc.z(75)} -attr vt d
+load net {vin:rsc.z(76)} -attr vt d
+load net {vin:rsc.z(77)} -attr vt d
+load net {vin:rsc.z(78)} -attr vt d
+load net {vin:rsc.z(79)} -attr vt d
+load net {vin:rsc.z(80)} -attr vt d
+load net {vin:rsc.z(81)} -attr vt d
+load net {vin:rsc.z(82)} -attr vt d
+load net {vin:rsc.z(83)} -attr vt d
+load net {vin:rsc.z(84)} -attr vt d
+load net {vin:rsc.z(85)} -attr vt d
+load net {vin:rsc.z(86)} -attr vt d
+load net {vin:rsc.z(87)} -attr vt d
+load net {vin:rsc.z(88)} -attr vt d
+load net {vin:rsc.z(89)} -attr vt d
+load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 64782 -attr oid 1972 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(0)} -port {vin:rsc.z(0)} -attr vt d
+load net {vin:rsc.z(1)} -port {vin:rsc.z(1)} -attr vt d
+load net {vin:rsc.z(2)} -port {vin:rsc.z(2)} -attr vt d
+load net {vin:rsc.z(3)} -port {vin:rsc.z(3)} -attr vt d
+load net {vin:rsc.z(4)} -port {vin:rsc.z(4)} -attr vt d
+load net {vin:rsc.z(5)} -port {vin:rsc.z(5)} -attr vt d
+load net {vin:rsc.z(6)} -port {vin:rsc.z(6)} -attr vt d
+load net {vin:rsc.z(7)} -port {vin:rsc.z(7)} -attr vt d
+load net {vin:rsc.z(8)} -port {vin:rsc.z(8)} -attr vt d
+load net {vin:rsc.z(9)} -port {vin:rsc.z(9)} -attr vt d
+load net {vin:rsc.z(10)} -port {vin:rsc.z(10)} -attr vt d
+load net {vin:rsc.z(11)} -port {vin:rsc.z(11)} -attr vt d
+load net {vin:rsc.z(12)} -port {vin:rsc.z(12)} -attr vt d
+load net {vin:rsc.z(13)} -port {vin:rsc.z(13)} -attr vt d
+load net {vin:rsc.z(14)} -port {vin:rsc.z(14)} -attr vt d
+load net {vin:rsc.z(15)} -port {vin:rsc.z(15)} -attr vt d
+load net {vin:rsc.z(16)} -port {vin:rsc.z(16)} -attr vt d
+load net {vin:rsc.z(17)} -port {vin:rsc.z(17)} -attr vt d
+load net {vin:rsc.z(18)} -port {vin:rsc.z(18)} -attr vt d
+load net {vin:rsc.z(19)} -port {vin:rsc.z(19)} -attr vt d
+load net {vin:rsc.z(20)} -port {vin:rsc.z(20)} -attr vt d
+load net {vin:rsc.z(21)} -port {vin:rsc.z(21)} -attr vt d
+load net {vin:rsc.z(22)} -port {vin:rsc.z(22)} -attr vt d
+load net {vin:rsc.z(23)} -port {vin:rsc.z(23)} -attr vt d
+load net {vin:rsc.z(24)} -port {vin:rsc.z(24)} -attr vt d
+load net {vin:rsc.z(25)} -port {vin:rsc.z(25)} -attr vt d
+load net {vin:rsc.z(26)} -port {vin:rsc.z(26)} -attr vt d
+load net {vin:rsc.z(27)} -port {vin:rsc.z(27)} -attr vt d
+load net {vin:rsc.z(28)} -port {vin:rsc.z(28)} -attr vt d
+load net {vin:rsc.z(29)} -port {vin:rsc.z(29)} -attr vt d
+load net {vin:rsc.z(30)} -port {vin:rsc.z(30)} -attr vt d
+load net {vin:rsc.z(31)} -port {vin:rsc.z(31)} -attr vt d
+load net {vin:rsc.z(32)} -port {vin:rsc.z(32)} -attr vt d
+load net {vin:rsc.z(33)} -port {vin:rsc.z(33)} -attr vt d
+load net {vin:rsc.z(34)} -port {vin:rsc.z(34)} -attr vt d
+load net {vin:rsc.z(35)} -port {vin:rsc.z(35)} -attr vt d
+load net {vin:rsc.z(36)} -port {vin:rsc.z(36)} -attr vt d
+load net {vin:rsc.z(37)} -port {vin:rsc.z(37)} -attr vt d
+load net {vin:rsc.z(38)} -port {vin:rsc.z(38)} -attr vt d
+load net {vin:rsc.z(39)} -port {vin:rsc.z(39)} -attr vt d
+load net {vin:rsc.z(40)} -port {vin:rsc.z(40)} -attr vt d
+load net {vin:rsc.z(41)} -port {vin:rsc.z(41)} -attr vt d
+load net {vin:rsc.z(42)} -port {vin:rsc.z(42)} -attr vt d
+load net {vin:rsc.z(43)} -port {vin:rsc.z(43)} -attr vt d
+load net {vin:rsc.z(44)} -port {vin:rsc.z(44)} -attr vt d
+load net {vin:rsc.z(45)} -port {vin:rsc.z(45)} -attr vt d
+load net {vin:rsc.z(46)} -port {vin:rsc.z(46)} -attr vt d
+load net {vin:rsc.z(47)} -port {vin:rsc.z(47)} -attr vt d
+load net {vin:rsc.z(48)} -port {vin:rsc.z(48)} -attr vt d
+load net {vin:rsc.z(49)} -port {vin:rsc.z(49)} -attr vt d
+load net {vin:rsc.z(50)} -port {vin:rsc.z(50)} -attr vt d
+load net {vin:rsc.z(51)} -port {vin:rsc.z(51)} -attr vt d
+load net {vin:rsc.z(52)} -port {vin:rsc.z(52)} -attr vt d
+load net {vin:rsc.z(53)} -port {vin:rsc.z(53)} -attr vt d
+load net {vin:rsc.z(54)} -port {vin:rsc.z(54)} -attr vt d
+load net {vin:rsc.z(55)} -port {vin:rsc.z(55)} -attr vt d
+load net {vin:rsc.z(56)} -port {vin:rsc.z(56)} -attr vt d
+load net {vin:rsc.z(57)} -port {vin:rsc.z(57)} -attr vt d
+load net {vin:rsc.z(58)} -port {vin:rsc.z(58)} -attr vt d
+load net {vin:rsc.z(59)} -port {vin:rsc.z(59)} -attr vt d
+load net {vin:rsc.z(60)} -port {vin:rsc.z(60)} -attr vt d
+load net {vin:rsc.z(61)} -port {vin:rsc.z(61)} -attr vt d
+load net {vin:rsc.z(62)} -port {vin:rsc.z(62)} -attr vt d
+load net {vin:rsc.z(63)} -port {vin:rsc.z(63)} -attr vt d
+load net {vin:rsc.z(64)} -port {vin:rsc.z(64)} -attr vt d
+load net {vin:rsc.z(65)} -port {vin:rsc.z(65)} -attr vt d
+load net {vin:rsc.z(66)} -port {vin:rsc.z(66)} -attr vt d
+load net {vin:rsc.z(67)} -port {vin:rsc.z(67)} -attr vt d
+load net {vin:rsc.z(68)} -port {vin:rsc.z(68)} -attr vt d
+load net {vin:rsc.z(69)} -port {vin:rsc.z(69)} -attr vt d
+load net {vin:rsc.z(70)} -port {vin:rsc.z(70)} -attr vt d
+load net {vin:rsc.z(71)} -port {vin:rsc.z(71)} -attr vt d
+load net {vin:rsc.z(72)} -port {vin:rsc.z(72)} -attr vt d
+load net {vin:rsc.z(73)} -port {vin:rsc.z(73)} -attr vt d
+load net {vin:rsc.z(74)} -port {vin:rsc.z(74)} -attr vt d
+load net {vin:rsc.z(75)} -port {vin:rsc.z(75)} -attr vt d
+load net {vin:rsc.z(76)} -port {vin:rsc.z(76)} -attr vt d
+load net {vin:rsc.z(77)} -port {vin:rsc.z(77)} -attr vt d
+load net {vin:rsc.z(78)} -port {vin:rsc.z(78)} -attr vt d
+load net {vin:rsc.z(79)} -port {vin:rsc.z(79)} -attr vt d
+load net {vin:rsc.z(80)} -port {vin:rsc.z(80)} -attr vt d
+load net {vin:rsc.z(81)} -port {vin:rsc.z(81)} -attr vt d
+load net {vin:rsc.z(82)} -port {vin:rsc.z(82)} -attr vt d
+load net {vin:rsc.z(83)} -port {vin:rsc.z(83)} -attr vt d
+load net {vin:rsc.z(84)} -port {vin:rsc.z(84)} -attr vt d
+load net {vin:rsc.z(85)} -port {vin:rsc.z(85)} -attr vt d
+load net {vin:rsc.z(86)} -port {vin:rsc.z(86)} -attr vt d
+load net {vin:rsc.z(87)} -port {vin:rsc.z(87)} -attr vt d
+load net {vin:rsc.z(88)} -port {vin:rsc.z(88)} -attr vt d
+load net {vin:rsc.z(89)} -port {vin:rsc.z(89)} -attr vt d
+load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 64783 -attr oid 1973 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vout:rsc.z(0)} -attr vt d
+load net {vout:rsc.z(1)} -attr vt d
+load net {vout:rsc.z(2)} -attr vt d
+load net {vout:rsc.z(3)} -attr vt d
+load net {vout:rsc.z(4)} -attr vt d
+load net {vout:rsc.z(5)} -attr vt d
+load net {vout:rsc.z(6)} -attr vt d
+load net {vout:rsc.z(7)} -attr vt d
+load net {vout:rsc.z(8)} -attr vt d
+load net {vout:rsc.z(9)} -attr vt d
+load net {vout:rsc.z(10)} -attr vt d
+load net {vout:rsc.z(11)} -attr vt d
+load net {vout:rsc.z(12)} -attr vt d
+load net {vout:rsc.z(13)} -attr vt d
+load net {vout:rsc.z(14)} -attr vt d
+load net {vout:rsc.z(15)} -attr vt d
+load net {vout:rsc.z(16)} -attr vt d
+load net {vout:rsc.z(17)} -attr vt d
+load net {vout:rsc.z(18)} -attr vt d
+load net {vout:rsc.z(19)} -attr vt d
+load net {vout:rsc.z(20)} -attr vt d
+load net {vout:rsc.z(21)} -attr vt d
+load net {vout:rsc.z(22)} -attr vt d
+load net {vout:rsc.z(23)} -attr vt d
+load net {vout:rsc.z(24)} -attr vt d
+load net {vout:rsc.z(25)} -attr vt d
+load net {vout:rsc.z(26)} -attr vt d
+load net {vout:rsc.z(27)} -attr vt d
+load net {vout:rsc.z(28)} -attr vt d
+load net {vout:rsc.z(29)} -attr vt d
+load netBundle {vout:rsc.z} 30 {vout:rsc.z(0)} {vout:rsc.z(1)} {vout:rsc.z(2)} {vout:rsc.z(3)} {vout:rsc.z(4)} {vout:rsc.z(5)} {vout:rsc.z(6)} {vout:rsc.z(7)} {vout:rsc.z(8)} {vout:rsc.z(9)} {vout:rsc.z(10)} {vout:rsc.z(11)} {vout:rsc.z(12)} {vout:rsc.z(13)} {vout:rsc.z(14)} {vout:rsc.z(15)} {vout:rsc.z(16)} {vout:rsc.z(17)} {vout:rsc.z(18)} {vout:rsc.z(19)} {vout:rsc.z(20)} {vout:rsc.z(21)} {vout:rsc.z(22)} {vout:rsc.z(23)} {vout:rsc.z(24)} {vout:rsc.z(25)} {vout:rsc.z(26)} {vout:rsc.z(27)} {vout:rsc.z(28)} {vout:rsc.z(29)} -attr xrf 64784 -attr oid 1974 -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(0)} -port {vout:rsc.z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(1)} -port {vout:rsc.z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(2)} -port {vout:rsc.z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(3)} -port {vout:rsc.z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(4)} -port {vout:rsc.z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(5)} -port {vout:rsc.z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(6)} -port {vout:rsc.z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(7)} -port {vout:rsc.z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(8)} -port {vout:rsc.z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(9)} -port {vout:rsc.z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(10)} -port {vout:rsc.z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(11)} -port {vout:rsc.z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(12)} -port {vout:rsc.z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(13)} -port {vout:rsc.z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(14)} -port {vout:rsc.z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(15)} -port {vout:rsc.z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(16)} -port {vout:rsc.z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(17)} -port {vout:rsc.z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(18)} -port {vout:rsc.z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(19)} -port {vout:rsc.z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(20)} -port {vout:rsc.z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(21)} -port {vout:rsc.z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(22)} -port {vout:rsc.z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(23)} -port {vout:rsc.z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(24)} -port {vout:rsc.z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(25)} -port {vout:rsc.z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(26)} -port {vout:rsc.z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(27)} -port {vout:rsc.z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(28)} -port {vout:rsc.z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(29)} -port {vout:rsc.z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {clk} -attr xrf 64785 -attr oid 1975
+load net {clk} -port {clk} -attr xrf 64786 -attr oid 1976
+load net {en} -attr xrf 64787 -attr oid 1977
+load net {en} -port {en} -attr xrf 64788 -attr oid 1978
+load net {arst_n} -attr xrf 64789 -attr oid 1979
+load net {arst_n} -port {arst_n} -attr xrf 64790 -attr oid 1980
+load inst "sobel:core:inst" "sobel:core" "orig" -attr xrf 64791 -attr oid 1981 -attr vt dc -attr @path {/sobel/sobel:core:inst} -attr area 5445.659661 -attr delay 15.672745 -attr hier "/sobel/sobel:core" -pg 1 -lvl 3
+load net {clk} -pin "sobel:core:inst" {clk#1} -attr xrf 64792 -attr oid 1982 -attr @path {/sobel/clk}
+load net {en} -pin "sobel:core:inst" {en#1} -attr xrf 64793 -attr oid 1983 -attr @path {/sobel/en}
+load net {arst_n} -pin "sobel:core:inst" {arst_n#1} -attr xrf 64794 -attr oid 1984 -attr @path {/sobel/arst_n}
+load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(0)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(1)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(2)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(3)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(4)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(5)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(6)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(7)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(8)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(9)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(10)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(11)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(12)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(13)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(14)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(15)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(16)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(17)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(18)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(19)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(20)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(21)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(22)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(23)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(24)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(25)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(26)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(27)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(28)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(29)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(30)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(31)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(32)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(33)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(34)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(35)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(36)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(37)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(38)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(39)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(40)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(41)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(42)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(43)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(44)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(45)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(46)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(47)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(48)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(49)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(50)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(51)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(52)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(53)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(54)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(55)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(56)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(57)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(58)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(59)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(60)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(61)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(62)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(63)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(64)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(65)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(66)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(67)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(68)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(69)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(70)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(71)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(72)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(73)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(74)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(75)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(76)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(77)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(78)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(79)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(80)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(81)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(82)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(83)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(84)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(85)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(86)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(87)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(88)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(89)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(0)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(1)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(2)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(3)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(4)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(5)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(6)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(7)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(8)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(9)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(10)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(11)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(12)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(13)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(14)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(15)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(16)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(17)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(18)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(19)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(20)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(21)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(22)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(23)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(24)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(25)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(26)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(27)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(28)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(29)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load inst "vin:rsc:mgc_in_wire" "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" -attr xrf 64795 -attr oid 1985 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_in_wire(1,90)" -pg 1 -lvl 1
+load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "vin:rsc:mgc_in_wire" {d(0)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "vin:rsc:mgc_in_wire" {d(1)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "vin:rsc:mgc_in_wire" {d(2)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "vin:rsc:mgc_in_wire" {d(3)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "vin:rsc:mgc_in_wire" {d(4)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "vin:rsc:mgc_in_wire" {d(5)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "vin:rsc:mgc_in_wire" {d(6)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "vin:rsc:mgc_in_wire" {d(7)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "vin:rsc:mgc_in_wire" {d(8)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "vin:rsc:mgc_in_wire" {d(9)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "vin:rsc:mgc_in_wire" {d(10)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "vin:rsc:mgc_in_wire" {d(11)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "vin:rsc:mgc_in_wire" {d(12)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "vin:rsc:mgc_in_wire" {d(13)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "vin:rsc:mgc_in_wire" {d(14)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "vin:rsc:mgc_in_wire" {d(15)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "vin:rsc:mgc_in_wire" {d(16)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "vin:rsc:mgc_in_wire" {d(17)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "vin:rsc:mgc_in_wire" {d(18)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "vin:rsc:mgc_in_wire" {d(19)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "vin:rsc:mgc_in_wire" {d(20)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "vin:rsc:mgc_in_wire" {d(21)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "vin:rsc:mgc_in_wire" {d(22)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "vin:rsc:mgc_in_wire" {d(23)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "vin:rsc:mgc_in_wire" {d(24)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "vin:rsc:mgc_in_wire" {d(25)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "vin:rsc:mgc_in_wire" {d(26)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "vin:rsc:mgc_in_wire" {d(27)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "vin:rsc:mgc_in_wire" {d(28)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "vin:rsc:mgc_in_wire" {d(29)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "vin:rsc:mgc_in_wire" {d(30)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "vin:rsc:mgc_in_wire" {d(31)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "vin:rsc:mgc_in_wire" {d(32)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "vin:rsc:mgc_in_wire" {d(33)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "vin:rsc:mgc_in_wire" {d(34)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "vin:rsc:mgc_in_wire" {d(35)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "vin:rsc:mgc_in_wire" {d(36)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "vin:rsc:mgc_in_wire" {d(37)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "vin:rsc:mgc_in_wire" {d(38)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "vin:rsc:mgc_in_wire" {d(39)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "vin:rsc:mgc_in_wire" {d(40)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "vin:rsc:mgc_in_wire" {d(41)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "vin:rsc:mgc_in_wire" {d(42)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "vin:rsc:mgc_in_wire" {d(43)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "vin:rsc:mgc_in_wire" {d(44)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "vin:rsc:mgc_in_wire" {d(45)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "vin:rsc:mgc_in_wire" {d(46)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "vin:rsc:mgc_in_wire" {d(47)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "vin:rsc:mgc_in_wire" {d(48)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "vin:rsc:mgc_in_wire" {d(49)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "vin:rsc:mgc_in_wire" {d(50)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "vin:rsc:mgc_in_wire" {d(51)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "vin:rsc:mgc_in_wire" {d(52)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "vin:rsc:mgc_in_wire" {d(53)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "vin:rsc:mgc_in_wire" {d(54)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "vin:rsc:mgc_in_wire" {d(55)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "vin:rsc:mgc_in_wire" {d(56)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "vin:rsc:mgc_in_wire" {d(57)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "vin:rsc:mgc_in_wire" {d(58)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "vin:rsc:mgc_in_wire" {d(59)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "vin:rsc:mgc_in_wire" {d(60)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "vin:rsc:mgc_in_wire" {d(61)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "vin:rsc:mgc_in_wire" {d(62)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "vin:rsc:mgc_in_wire" {d(63)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "vin:rsc:mgc_in_wire" {d(64)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "vin:rsc:mgc_in_wire" {d(65)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "vin:rsc:mgc_in_wire" {d(66)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "vin:rsc:mgc_in_wire" {d(67)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "vin:rsc:mgc_in_wire" {d(68)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "vin:rsc:mgc_in_wire" {d(69)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "vin:rsc:mgc_in_wire" {d(70)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "vin:rsc:mgc_in_wire" {d(71)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "vin:rsc:mgc_in_wire" {d(72)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "vin:rsc:mgc_in_wire" {d(73)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "vin:rsc:mgc_in_wire" {d(74)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "vin:rsc:mgc_in_wire" {d(75)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "vin:rsc:mgc_in_wire" {d(76)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "vin:rsc:mgc_in_wire" {d(77)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "vin:rsc:mgc_in_wire" {d(78)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "vin:rsc:mgc_in_wire" {d(79)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "vin:rsc:mgc_in_wire" {d(80)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "vin:rsc:mgc_in_wire" {d(81)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "vin:rsc:mgc_in_wire" {d(82)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "vin:rsc:mgc_in_wire" {d(83)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "vin:rsc:mgc_in_wire" {d(84)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "vin:rsc:mgc_in_wire" {d(85)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "vin:rsc:mgc_in_wire" {d(86)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "vin:rsc:mgc_in_wire" {d(87)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "vin:rsc:mgc_in_wire" {d(88)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "vin:rsc:mgc_in_wire" {d(89)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc.z(0)} -pin "vin:rsc:mgc_in_wire" {z(0)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(1)} -pin "vin:rsc:mgc_in_wire" {z(1)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(2)} -pin "vin:rsc:mgc_in_wire" {z(2)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(3)} -pin "vin:rsc:mgc_in_wire" {z(3)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(4)} -pin "vin:rsc:mgc_in_wire" {z(4)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(5)} -pin "vin:rsc:mgc_in_wire" {z(5)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(6)} -pin "vin:rsc:mgc_in_wire" {z(6)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(7)} -pin "vin:rsc:mgc_in_wire" {z(7)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(8)} -pin "vin:rsc:mgc_in_wire" {z(8)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(9)} -pin "vin:rsc:mgc_in_wire" {z(9)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(10)} -pin "vin:rsc:mgc_in_wire" {z(10)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(11)} -pin "vin:rsc:mgc_in_wire" {z(11)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(12)} -pin "vin:rsc:mgc_in_wire" {z(12)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(13)} -pin "vin:rsc:mgc_in_wire" {z(13)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(14)} -pin "vin:rsc:mgc_in_wire" {z(14)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(15)} -pin "vin:rsc:mgc_in_wire" {z(15)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(16)} -pin "vin:rsc:mgc_in_wire" {z(16)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(17)} -pin "vin:rsc:mgc_in_wire" {z(17)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(18)} -pin "vin:rsc:mgc_in_wire" {z(18)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(19)} -pin "vin:rsc:mgc_in_wire" {z(19)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(20)} -pin "vin:rsc:mgc_in_wire" {z(20)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(21)} -pin "vin:rsc:mgc_in_wire" {z(21)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(22)} -pin "vin:rsc:mgc_in_wire" {z(22)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(23)} -pin "vin:rsc:mgc_in_wire" {z(23)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(24)} -pin "vin:rsc:mgc_in_wire" {z(24)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(25)} -pin "vin:rsc:mgc_in_wire" {z(25)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(26)} -pin "vin:rsc:mgc_in_wire" {z(26)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(27)} -pin "vin:rsc:mgc_in_wire" {z(27)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(28)} -pin "vin:rsc:mgc_in_wire" {z(28)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(29)} -pin "vin:rsc:mgc_in_wire" {z(29)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(30)} -pin "vin:rsc:mgc_in_wire" {z(30)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(31)} -pin "vin:rsc:mgc_in_wire" {z(31)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(32)} -pin "vin:rsc:mgc_in_wire" {z(32)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(33)} -pin "vin:rsc:mgc_in_wire" {z(33)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(34)} -pin "vin:rsc:mgc_in_wire" {z(34)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(35)} -pin "vin:rsc:mgc_in_wire" {z(35)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(36)} -pin "vin:rsc:mgc_in_wire" {z(36)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(37)} -pin "vin:rsc:mgc_in_wire" {z(37)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(38)} -pin "vin:rsc:mgc_in_wire" {z(38)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(39)} -pin "vin:rsc:mgc_in_wire" {z(39)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(40)} -pin "vin:rsc:mgc_in_wire" {z(40)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(41)} -pin "vin:rsc:mgc_in_wire" {z(41)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(42)} -pin "vin:rsc:mgc_in_wire" {z(42)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(43)} -pin "vin:rsc:mgc_in_wire" {z(43)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(44)} -pin "vin:rsc:mgc_in_wire" {z(44)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(45)} -pin "vin:rsc:mgc_in_wire" {z(45)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(46)} -pin "vin:rsc:mgc_in_wire" {z(46)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(47)} -pin "vin:rsc:mgc_in_wire" {z(47)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(48)} -pin "vin:rsc:mgc_in_wire" {z(48)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(49)} -pin "vin:rsc:mgc_in_wire" {z(49)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(50)} -pin "vin:rsc:mgc_in_wire" {z(50)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(51)} -pin "vin:rsc:mgc_in_wire" {z(51)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(52)} -pin "vin:rsc:mgc_in_wire" {z(52)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(53)} -pin "vin:rsc:mgc_in_wire" {z(53)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(54)} -pin "vin:rsc:mgc_in_wire" {z(54)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(55)} -pin "vin:rsc:mgc_in_wire" {z(55)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(56)} -pin "vin:rsc:mgc_in_wire" {z(56)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(57)} -pin "vin:rsc:mgc_in_wire" {z(57)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(58)} -pin "vin:rsc:mgc_in_wire" {z(58)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(59)} -pin "vin:rsc:mgc_in_wire" {z(59)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(60)} -pin "vin:rsc:mgc_in_wire" {z(60)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(61)} -pin "vin:rsc:mgc_in_wire" {z(61)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(62)} -pin "vin:rsc:mgc_in_wire" {z(62)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(63)} -pin "vin:rsc:mgc_in_wire" {z(63)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(64)} -pin "vin:rsc:mgc_in_wire" {z(64)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(65)} -pin "vin:rsc:mgc_in_wire" {z(65)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(66)} -pin "vin:rsc:mgc_in_wire" {z(66)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(67)} -pin "vin:rsc:mgc_in_wire" {z(67)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(68)} -pin "vin:rsc:mgc_in_wire" {z(68)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(69)} -pin "vin:rsc:mgc_in_wire" {z(69)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(70)} -pin "vin:rsc:mgc_in_wire" {z(70)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(71)} -pin "vin:rsc:mgc_in_wire" {z(71)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(72)} -pin "vin:rsc:mgc_in_wire" {z(72)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(73)} -pin "vin:rsc:mgc_in_wire" {z(73)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(74)} -pin "vin:rsc:mgc_in_wire" {z(74)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(75)} -pin "vin:rsc:mgc_in_wire" {z(75)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(76)} -pin "vin:rsc:mgc_in_wire" {z(76)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(77)} -pin "vin:rsc:mgc_in_wire" {z(77)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(78)} -pin "vin:rsc:mgc_in_wire" {z(78)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(79)} -pin "vin:rsc:mgc_in_wire" {z(79)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(80)} -pin "vin:rsc:mgc_in_wire" {z(80)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(81)} -pin "vin:rsc:mgc_in_wire" {z(81)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(82)} -pin "vin:rsc:mgc_in_wire" {z(82)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(83)} -pin "vin:rsc:mgc_in_wire" {z(83)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(84)} -pin "vin:rsc:mgc_in_wire" {z(84)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(85)} -pin "vin:rsc:mgc_in_wire" {z(85)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(86)} -pin "vin:rsc:mgc_in_wire" {z(86)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(87)} -pin "vin:rsc:mgc_in_wire" {z(87)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(88)} -pin "vin:rsc:mgc_in_wire" {z(88)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(89)} -pin "vin:rsc:mgc_in_wire" {z(89)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load inst "vout:rsc:mgc_out_stdreg" "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" -attr xrf 64796 -attr oid 1986 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_out_stdreg(2,30)" -pg 1 -lvl 1002
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "vout:rsc:mgc_out_stdreg" {d(0)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "vout:rsc:mgc_out_stdreg" {d(1)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "vout:rsc:mgc_out_stdreg" {d(2)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "vout:rsc:mgc_out_stdreg" {d(3)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "vout:rsc:mgc_out_stdreg" {d(4)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "vout:rsc:mgc_out_stdreg" {d(5)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "vout:rsc:mgc_out_stdreg" {d(6)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "vout:rsc:mgc_out_stdreg" {d(7)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "vout:rsc:mgc_out_stdreg" {d(8)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "vout:rsc:mgc_out_stdreg" {d(9)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "vout:rsc:mgc_out_stdreg" {d(10)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "vout:rsc:mgc_out_stdreg" {d(11)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "vout:rsc:mgc_out_stdreg" {d(12)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "vout:rsc:mgc_out_stdreg" {d(13)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "vout:rsc:mgc_out_stdreg" {d(14)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "vout:rsc:mgc_out_stdreg" {d(15)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "vout:rsc:mgc_out_stdreg" {d(16)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "vout:rsc:mgc_out_stdreg" {d(17)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "vout:rsc:mgc_out_stdreg" {d(18)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "vout:rsc:mgc_out_stdreg" {d(19)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "vout:rsc:mgc_out_stdreg" {d(20)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "vout:rsc:mgc_out_stdreg" {d(21)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "vout:rsc:mgc_out_stdreg" {d(22)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "vout:rsc:mgc_out_stdreg" {d(23)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "vout:rsc:mgc_out_stdreg" {d(24)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "vout:rsc:mgc_out_stdreg" {d(25)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "vout:rsc:mgc_out_stdreg" {d(26)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "vout:rsc:mgc_out_stdreg" {d(27)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "vout:rsc:mgc_out_stdreg" {d(28)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "vout:rsc:mgc_out_stdreg" {d(29)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc.z(0)} -pin "vout:rsc:mgc_out_stdreg" {z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(1)} -pin "vout:rsc:mgc_out_stdreg" {z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(2)} -pin "vout:rsc:mgc_out_stdreg" {z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(3)} -pin "vout:rsc:mgc_out_stdreg" {z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(4)} -pin "vout:rsc:mgc_out_stdreg" {z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(5)} -pin "vout:rsc:mgc_out_stdreg" {z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(6)} -pin "vout:rsc:mgc_out_stdreg" {z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(7)} -pin "vout:rsc:mgc_out_stdreg" {z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(8)} -pin "vout:rsc:mgc_out_stdreg" {z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(9)} -pin "vout:rsc:mgc_out_stdreg" {z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(10)} -pin "vout:rsc:mgc_out_stdreg" {z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(11)} -pin "vout:rsc:mgc_out_stdreg" {z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(12)} -pin "vout:rsc:mgc_out_stdreg" {z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(13)} -pin "vout:rsc:mgc_out_stdreg" {z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(14)} -pin "vout:rsc:mgc_out_stdreg" {z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(15)} -pin "vout:rsc:mgc_out_stdreg" {z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(16)} -pin "vout:rsc:mgc_out_stdreg" {z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(17)} -pin "vout:rsc:mgc_out_stdreg" {z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(18)} -pin "vout:rsc:mgc_out_stdreg" {z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(19)} -pin "vout:rsc:mgc_out_stdreg" {z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(20)} -pin "vout:rsc:mgc_out_stdreg" {z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(21)} -pin "vout:rsc:mgc_out_stdreg" {z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(22)} -pin "vout:rsc:mgc_out_stdreg" {z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(23)} -pin "vout:rsc:mgc_out_stdreg" {z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(24)} -pin "vout:rsc:mgc_out_stdreg" {z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(25)} -pin "vout:rsc:mgc_out_stdreg" {z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(26)} -pin "vout:rsc:mgc_out_stdreg" {z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(27)} -pin "vout:rsc:mgc_out_stdreg" {z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(28)} -pin "vout:rsc:mgc_out_stdreg" {z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(29)} -pin "vout:rsc:mgc_out_stdreg" {z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+### END MODULE
+