summaryrefslogtreecommitdiffstats
path: root/Sobel/sobel.v8/schematic.nlv
diff options
context:
space:
mode:
Diffstat (limited to 'Sobel/sobel.v8/schematic.nlv')
-rw-r--r--Sobel/sobel.v8/schematic.nlv15334
1 files changed, 15334 insertions, 0 deletions
diff --git a/Sobel/sobel.v8/schematic.nlv b/Sobel/sobel.v8/schematic.nlv
new file mode 100644
index 0000000..f0ad7e9
--- /dev/null
+++ b/Sobel/sobel.v8/schematic.nlv
@@ -0,0 +1,15334 @@
+
+# Program: Catapult University Version
+# Version: 2011a.126
+# File: Nlview netlist
+
+module new "sobel:core" "orig"
+load port {clk} input -attr xrf 32837 -attr oid 1 -attr vt d -attr @path {/sobel/sobel:core/clk}
+load port {en} input -attr xrf 32838 -attr oid 2 -attr vt d -attr @path {/sobel/sobel:core/en}
+load port {arst_n} input -attr xrf 32839 -attr oid 3 -attr vt d -attr @path {/sobel/sobel:core/arst_n}
+load portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} -attr xrf 32840 -attr oid 4 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} -attr xrf 32841 -attr oid 5 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load symbol "or(2,10)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "or(2,6)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(5:0)} input 6 {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(5:0)} input 6 {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "nand(2,1)" "INTERFACE" NAND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "mux(2,30)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(29:0)} input 30 {A0(29)} {A0(28)} {A0(27)} {A0(26)} {A0(25)} {A0(24)} {A0(23)} {A0(22)} {A0(21)} {A0(20)} {A0(19)} {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(29:0)} input 30 {A1(29)} {A1(28)} {A1(27)} {A1(26)} {A1(25)} {A1(24)} {A1(23)} {A1(22)} {A1(21)} {A1(20)} {A1(19)} {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(30,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(29:0)} input 30 {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(29:0)} input 30 {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,16)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(15:0)} input 16 {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(15:0)} input 16 {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(16,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(15:0)} input 16 {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(15:0)} input 16 {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(1,0,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,12,1,13)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,5,0,6)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,7,0,8)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,6,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,-1,7,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,0,8,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,3,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(1)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "and(3,1)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {A2(0:0)} input 1 {A2(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "add(4,0,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,5,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(7,0,6,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,0,3,1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,3,1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,-1,4,-1,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,4,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,-1,8,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(13,-1,10,0,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,9,0,10)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,0,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,-1,5,-1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,5,1,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(7,1,7,0,9)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,0,9,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,11,0,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(13,-1,13,-1,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(13,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(12:0)} input 13 {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(12:0)} input 13 {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(1,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(0:0)} input 1 {D(0)} \
+ portBus {DRa(0:0)} input 1 {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "mux(4,10)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {A2(9:0)} input 10 {A2(9)} {A2(8)} {A2(7)} {A2(6)} {A2(5)} {A2(4)} {A2(3)} {A2(2)} {A2(1)} {A2(0)} \
+ portBus {A3(9:0)} input 10 {A3(9)} {A3(8)} {A3(7)} {A3(6)} {A3(5)} {A3(4)} {A3(3)} {A3(2)} {A3(1)} {A3(0)} \
+ portBus {S(1:0)} input.top 2 {S(1)} {S(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(10,1,2,1,11)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,11,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,-1,11,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(12,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(11:0)} input 12 {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(11:0)} input 12 {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,2,1,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,-1,2,1,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,4,-1,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,0,3,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,5,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,1,7,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(8,1,8,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,1,10,0,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,0,11,-1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,5,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,0,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(1,0,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,-1,11,-1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,10,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,12,-1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(10,1,2,1,12)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,1,12,1,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(13,-1,12,1,13)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(2,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(1:0)} input 2 {D(1)} {D(0)} \
+ portBus {DRa(1:0)} input 2 {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "and(2,1)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "reg(3,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(2:0)} input 3 {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(2:0)} input 3 {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(90,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(89:0)} input 90 {D(89)} {D(88)} {D(87)} {D(86)} {D(85)} {D(84)} {D(83)} {D(82)} {D(81)} {D(80)} {D(79)} {D(78)} {D(77)} {D(76)} {D(75)} {D(74)} {D(73)} {D(72)} {D(71)} {D(70)} {D(69)} {D(68)} {D(67)} {D(66)} {D(65)} {D(64)} {D(63)} {D(62)} {D(61)} {D(60)} {D(59)} {D(58)} {D(57)} {D(56)} {D(55)} {D(54)} {D(53)} {D(52)} {D(51)} {D(50)} {D(49)} {D(48)} {D(47)} {D(46)} {D(45)} {D(44)} {D(43)} {D(42)} {D(41)} {D(40)} {D(39)} {D(38)} {D(37)} {D(36)} {D(35)} {D(34)} {D(33)} {D(32)} {D(31)} {D(30)} {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(89:0)} input 90 {DRa(89)} {DRa(88)} {DRa(87)} {DRa(86)} {DRa(85)} {DRa(84)} {DRa(83)} {DRa(82)} {DRa(81)} {DRa(80)} {DRa(79)} {DRa(78)} {DRa(77)} {DRa(76)} {DRa(75)} {DRa(74)} {DRa(73)} {DRa(72)} {DRa(71)} {DRa(70)} {DRa(69)} {DRa(68)} {DRa(67)} {DRa(66)} {DRa(65)} {DRa(64)} {DRa(63)} {DRa(62)} {DRa(61)} {DRa(60)} {DRa(59)} {DRa(58)} {DRa(57)} {DRa(56)} {DRa(55)} {DRa(54)} {DRa(53)} {DRa(52)} {DRa(51)} {DRa(50)} {DRa(49)} {DRa(48)} {DRa(47)} {DRa(46)} {DRa(45)} {DRa(44)} {DRa(43)} {DRa(42)} {DRa(41)} {DRa(40)} {DRa(39)} {DRa(38)} {DRa(37)} {DRa(36)} {DRa(35)} {DRa(34)} {DRa(33)} {DRa(32)} {DRa(31)} {DRa(30)} {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(89:0)} output 90 {Z(89)} {Z(88)} {Z(87)} {Z(86)} {Z(85)} {Z(84)} {Z(83)} {Z(82)} {Z(81)} {Z(80)} {Z(79)} {Z(78)} {Z(77)} {Z(76)} {Z(75)} {Z(74)} {Z(73)} {Z(72)} {Z(71)} {Z(70)} {Z(69)} {Z(68)} {Z(67)} {Z(66)} {Z(65)} {Z(64)} {Z(63)} {Z(62)} {Z(61)} {Z(60)} {Z(59)} {Z(58)} {Z(57)} {Z(56)} {Z(55)} {Z(54)} {Z(53)} {Z(52)} {Z(51)} {Z(50)} {Z(49)} {Z(48)} {Z(47)} {Z(46)} {Z(45)} {Z(44)} {Z(43)} {Z(42)} {Z(41)} {Z(40)} {Z(39)} {Z(38)} {Z(37)} {Z(36)} {Z(35)} {Z(34)} {Z(33)} {Z(32)} {Z(31)} {Z(30)} {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,19)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(18:0)} input 19 {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(18:0)} input 19 {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(19,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(18:0)} input 19 {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(18:0)} input 19 {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,9,0,11)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(3,0,6,0,9)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(3)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,-1,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,5,1,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,0,8,1,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,0,10,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,-1,11,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(16,-1,13,1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(16,-1,16,-1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,-1,6,-1,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,1,16,-1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(13,1,16,-1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,-1,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "or(2,1)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "and(2,2)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(1:0)} input 2 {A0(1)} {A0(0)} \
+ portBus {A1(1:0)} input 2 {A1(1)} {A1(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "mux(2,3)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(2:0)} input 3 {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(2:0)} input 3 {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,12)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(11:0)} input 12 {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(11:0)} input 12 {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,2)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(1:0)} input 2 {A0(1)} {A0(0)} \
+ portBus {A1(1:0)} input 2 {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "mux(2,90)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(89:0)} input 90 {A0(89)} {A0(88)} {A0(87)} {A0(86)} {A0(85)} {A0(84)} {A0(83)} {A0(82)} {A0(81)} {A0(80)} {A0(79)} {A0(78)} {A0(77)} {A0(76)} {A0(75)} {A0(74)} {A0(73)} {A0(72)} {A0(71)} {A0(70)} {A0(69)} {A0(68)} {A0(67)} {A0(66)} {A0(65)} {A0(64)} {A0(63)} {A0(62)} {A0(61)} {A0(60)} {A0(59)} {A0(58)} {A0(57)} {A0(56)} {A0(55)} {A0(54)} {A0(53)} {A0(52)} {A0(51)} {A0(50)} {A0(49)} {A0(48)} {A0(47)} {A0(46)} {A0(45)} {A0(44)} {A0(43)} {A0(42)} {A0(41)} {A0(40)} {A0(39)} {A0(38)} {A0(37)} {A0(36)} {A0(35)} {A0(34)} {A0(33)} {A0(32)} {A0(31)} {A0(30)} {A0(29)} {A0(28)} {A0(27)} {A0(26)} {A0(25)} {A0(24)} {A0(23)} {A0(22)} {A0(21)} {A0(20)} {A0(19)} {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(89:0)} input 90 {A1(89)} {A1(88)} {A1(87)} {A1(86)} {A1(85)} {A1(84)} {A1(83)} {A1(82)} {A1(81)} {A1(80)} {A1(79)} {A1(78)} {A1(77)} {A1(76)} {A1(75)} {A1(74)} {A1(73)} {A1(72)} {A1(71)} {A1(70)} {A1(69)} {A1(68)} {A1(67)} {A1(66)} {A1(65)} {A1(64)} {A1(63)} {A1(62)} {A1(61)} {A1(60)} {A1(59)} {A1(58)} {A1(57)} {A1(56)} {A1(55)} {A1(54)} {A1(53)} {A1(52)} {A1(51)} {A1(50)} {A1(49)} {A1(48)} {A1(47)} {A1(46)} {A1(45)} {A1(44)} {A1(43)} {A1(42)} {A1(41)} {A1(40)} {A1(39)} {A1(38)} {A1(37)} {A1(36)} {A1(35)} {A1(34)} {A1(33)} {A1(32)} {A1(31)} {A1(30)} {A1(29)} {A1(28)} {A1(27)} {A1(26)} {A1(25)} {A1(24)} {A1(23)} {A1(22)} {A1(21)} {A1(20)} {A1(19)} {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(89:0)} output 90 {Z(89)} {Z(88)} {Z(87)} {Z(86)} {Z(85)} {Z(84)} {Z(83)} {Z(82)} {Z(81)} {Z(80)} {Z(79)} {Z(78)} {Z(77)} {Z(76)} {Z(75)} {Z(74)} {Z(73)} {Z(72)} {Z(71)} {Z(70)} {Z(69)} {Z(68)} {Z(67)} {Z(66)} {Z(65)} {Z(64)} {Z(63)} {Z(62)} {Z(61)} {Z(60)} {Z(59)} {Z(58)} {Z(57)} {Z(56)} {Z(55)} {Z(54)} {Z(53)} {Z(52)} {Z(51)} {Z(50)} {Z(49)} {Z(48)} {Z(47)} {Z(46)} {Z(45)} {Z(44)} {Z(43)} {Z(42)} {Z(41)} {Z(40)} {Z(39)} {Z(38)} {Z(37)} {Z(36)} {Z(35)} {Z(34)} {Z(33)} {Z(32)} {Z(31)} {Z(30)} {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,-1,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(2)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "add(3,1,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,0,1,1,3)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,-1,3,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,5,-1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(10)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,1,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,1,2,0,11)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,1,10,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,1,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,1,4,1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(7,0,8,-1,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,1)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "add(19,-1,1,0,19)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(18:0)} input 19 {A(18)} {A(17)} {A(16)} {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "and(2,19)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(18:0)} input 19 {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(18:0)} input 19 {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "nor(2,1)" "INTERFACE" NOR boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "or(3,1)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {A2(0:0)} input 1 {A2(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load net {FRAME:p#1.lpi#1(0)} -attr vt d
+load net {FRAME:p#1.lpi#1(1)} -attr vt d
+load net {FRAME:p#1.lpi#1(2)} -attr vt d
+load net {FRAME:p#1.lpi#1(3)} -attr vt d
+load net {FRAME:p#1.lpi#1(4)} -attr vt d
+load net {FRAME:p#1.lpi#1(5)} -attr vt d
+load net {FRAME:p#1.lpi#1(6)} -attr vt d
+load net {FRAME:p#1.lpi#1(7)} -attr vt d
+load net {FRAME:p#1.lpi#1(8)} -attr vt d
+load net {FRAME:p#1.lpi#1(9)} -attr vt d
+load net {FRAME:p#1.lpi#1(10)} -attr vt d
+load net {FRAME:p#1.lpi#1(11)} -attr vt d
+load net {FRAME:p#1.lpi#1(12)} -attr vt d
+load net {FRAME:p#1.lpi#1(13)} -attr vt d
+load net {FRAME:p#1.lpi#1(14)} -attr vt d
+load net {FRAME:p#1.lpi#1(15)} -attr vt d
+load net {FRAME:p#1.lpi#1(16)} -attr vt d
+load net {FRAME:p#1.lpi#1(17)} -attr vt d
+load net {FRAME:p#1.lpi#1(18)} -attr vt d
+load netBundle {FRAME:p#1.lpi#1} 19 {FRAME:p#1.lpi#1(0)} {FRAME:p#1.lpi#1(1)} {FRAME:p#1.lpi#1(2)} {FRAME:p#1.lpi#1(3)} {FRAME:p#1.lpi#1(4)} {FRAME:p#1.lpi#1(5)} {FRAME:p#1.lpi#1(6)} {FRAME:p#1.lpi#1(7)} {FRAME:p#1.lpi#1(8)} {FRAME:p#1.lpi#1(9)} {FRAME:p#1.lpi#1(10)} {FRAME:p#1.lpi#1(11)} {FRAME:p#1.lpi#1(12)} {FRAME:p#1.lpi#1(13)} {FRAME:p#1.lpi#1(14)} {FRAME:p#1.lpi#1(15)} {FRAME:p#1.lpi#1(16)} {FRAME:p#1.lpi#1(17)} {FRAME:p#1.lpi#1(18)} -attr xrf 32842 -attr oid 6 -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {regs.regs(1).sva(0)} -attr vt d
+load net {regs.regs(1).sva(1)} -attr vt d
+load net {regs.regs(1).sva(2)} -attr vt d
+load net {regs.regs(1).sva(3)} -attr vt d
+load net {regs.regs(1).sva(4)} -attr vt d
+load net {regs.regs(1).sva(5)} -attr vt d
+load net {regs.regs(1).sva(6)} -attr vt d
+load net {regs.regs(1).sva(7)} -attr vt d
+load net {regs.regs(1).sva(8)} -attr vt d
+load net {regs.regs(1).sva(9)} -attr vt d
+load net {regs.regs(1).sva(10)} -attr vt d
+load net {regs.regs(1).sva(11)} -attr vt d
+load net {regs.regs(1).sva(12)} -attr vt d
+load net {regs.regs(1).sva(13)} -attr vt d
+load net {regs.regs(1).sva(14)} -attr vt d
+load net {regs.regs(1).sva(15)} -attr vt d
+load net {regs.regs(1).sva(16)} -attr vt d
+load net {regs.regs(1).sva(17)} -attr vt d
+load net {regs.regs(1).sva(18)} -attr vt d
+load net {regs.regs(1).sva(19)} -attr vt d
+load net {regs.regs(1).sva(20)} -attr vt d
+load net {regs.regs(1).sva(21)} -attr vt d
+load net {regs.regs(1).sva(22)} -attr vt d
+load net {regs.regs(1).sva(23)} -attr vt d
+load net {regs.regs(1).sva(24)} -attr vt d
+load net {regs.regs(1).sva(25)} -attr vt d
+load net {regs.regs(1).sva(26)} -attr vt d
+load net {regs.regs(1).sva(27)} -attr vt d
+load net {regs.regs(1).sva(28)} -attr vt d
+load net {regs.regs(1).sva(29)} -attr vt d
+load net {regs.regs(1).sva(30)} -attr vt d
+load net {regs.regs(1).sva(31)} -attr vt d
+load net {regs.regs(1).sva(32)} -attr vt d
+load net {regs.regs(1).sva(33)} -attr vt d
+load net {regs.regs(1).sva(34)} -attr vt d
+load net {regs.regs(1).sva(35)} -attr vt d
+load net {regs.regs(1).sva(36)} -attr vt d
+load net {regs.regs(1).sva(37)} -attr vt d
+load net {regs.regs(1).sva(38)} -attr vt d
+load net {regs.regs(1).sva(39)} -attr vt d
+load net {regs.regs(1).sva(40)} -attr vt d
+load net {regs.regs(1).sva(41)} -attr vt d
+load net {regs.regs(1).sva(42)} -attr vt d
+load net {regs.regs(1).sva(43)} -attr vt d
+load net {regs.regs(1).sva(44)} -attr vt d
+load net {regs.regs(1).sva(45)} -attr vt d
+load net {regs.regs(1).sva(46)} -attr vt d
+load net {regs.regs(1).sva(47)} -attr vt d
+load net {regs.regs(1).sva(48)} -attr vt d
+load net {regs.regs(1).sva(49)} -attr vt d
+load net {regs.regs(1).sva(50)} -attr vt d
+load net {regs.regs(1).sva(51)} -attr vt d
+load net {regs.regs(1).sva(52)} -attr vt d
+load net {regs.regs(1).sva(53)} -attr vt d
+load net {regs.regs(1).sva(54)} -attr vt d
+load net {regs.regs(1).sva(55)} -attr vt d
+load net {regs.regs(1).sva(56)} -attr vt d
+load net {regs.regs(1).sva(57)} -attr vt d
+load net {regs.regs(1).sva(58)} -attr vt d
+load net {regs.regs(1).sva(59)} -attr vt d
+load net {regs.regs(1).sva(60)} -attr vt d
+load net {regs.regs(1).sva(61)} -attr vt d
+load net {regs.regs(1).sva(62)} -attr vt d
+load net {regs.regs(1).sva(63)} -attr vt d
+load net {regs.regs(1).sva(64)} -attr vt d
+load net {regs.regs(1).sva(65)} -attr vt d
+load net {regs.regs(1).sva(66)} -attr vt d
+load net {regs.regs(1).sva(67)} -attr vt d
+load net {regs.regs(1).sva(68)} -attr vt d
+load net {regs.regs(1).sva(69)} -attr vt d
+load net {regs.regs(1).sva(70)} -attr vt d
+load net {regs.regs(1).sva(71)} -attr vt d
+load net {regs.regs(1).sva(72)} -attr vt d
+load net {regs.regs(1).sva(73)} -attr vt d
+load net {regs.regs(1).sva(74)} -attr vt d
+load net {regs.regs(1).sva(75)} -attr vt d
+load net {regs.regs(1).sva(76)} -attr vt d
+load net {regs.regs(1).sva(77)} -attr vt d
+load net {regs.regs(1).sva(78)} -attr vt d
+load net {regs.regs(1).sva(79)} -attr vt d
+load net {regs.regs(1).sva(80)} -attr vt d
+load net {regs.regs(1).sva(81)} -attr vt d
+load net {regs.regs(1).sva(82)} -attr vt d
+load net {regs.regs(1).sva(83)} -attr vt d
+load net {regs.regs(1).sva(84)} -attr vt d
+load net {regs.regs(1).sva(85)} -attr vt d
+load net {regs.regs(1).sva(86)} -attr vt d
+load net {regs.regs(1).sva(87)} -attr vt d
+load net {regs.regs(1).sva(88)} -attr vt d
+load net {regs.regs(1).sva(89)} -attr vt d
+load netBundle {regs.regs(1).sva} 90 {regs.regs(1).sva(0)} {regs.regs(1).sva(1)} {regs.regs(1).sva(2)} {regs.regs(1).sva(3)} {regs.regs(1).sva(4)} {regs.regs(1).sva(5)} {regs.regs(1).sva(6)} {regs.regs(1).sva(7)} {regs.regs(1).sva(8)} {regs.regs(1).sva(9)} {regs.regs(1).sva(10)} {regs.regs(1).sva(11)} {regs.regs(1).sva(12)} {regs.regs(1).sva(13)} {regs.regs(1).sva(14)} {regs.regs(1).sva(15)} {regs.regs(1).sva(16)} {regs.regs(1).sva(17)} {regs.regs(1).sva(18)} {regs.regs(1).sva(19)} {regs.regs(1).sva(20)} {regs.regs(1).sva(21)} {regs.regs(1).sva(22)} {regs.regs(1).sva(23)} {regs.regs(1).sva(24)} {regs.regs(1).sva(25)} {regs.regs(1).sva(26)} {regs.regs(1).sva(27)} {regs.regs(1).sva(28)} {regs.regs(1).sva(29)} {regs.regs(1).sva(30)} {regs.regs(1).sva(31)} {regs.regs(1).sva(32)} {regs.regs(1).sva(33)} {regs.regs(1).sva(34)} {regs.regs(1).sva(35)} {regs.regs(1).sva(36)} {regs.regs(1).sva(37)} {regs.regs(1).sva(38)} {regs.regs(1).sva(39)} {regs.regs(1).sva(40)} {regs.regs(1).sva(41)} {regs.regs(1).sva(42)} {regs.regs(1).sva(43)} {regs.regs(1).sva(44)} {regs.regs(1).sva(45)} {regs.regs(1).sva(46)} {regs.regs(1).sva(47)} {regs.regs(1).sva(48)} {regs.regs(1).sva(49)} {regs.regs(1).sva(50)} {regs.regs(1).sva(51)} {regs.regs(1).sva(52)} {regs.regs(1).sva(53)} {regs.regs(1).sva(54)} {regs.regs(1).sva(55)} {regs.regs(1).sva(56)} {regs.regs(1).sva(57)} {regs.regs(1).sva(58)} {regs.regs(1).sva(59)} {regs.regs(1).sva(60)} {regs.regs(1).sva(61)} {regs.regs(1).sva(62)} {regs.regs(1).sva(63)} {regs.regs(1).sva(64)} {regs.regs(1).sva(65)} {regs.regs(1).sva(66)} {regs.regs(1).sva(67)} {regs.regs(1).sva(68)} {regs.regs(1).sva(69)} {regs.regs(1).sva(70)} {regs.regs(1).sva(71)} {regs.regs(1).sva(72)} {regs.regs(1).sva(73)} {regs.regs(1).sva(74)} {regs.regs(1).sva(75)} {regs.regs(1).sva(76)} {regs.regs(1).sva(77)} {regs.regs(1).sva(78)} {regs.regs(1).sva(79)} {regs.regs(1).sva(80)} {regs.regs(1).sva(81)} {regs.regs(1).sva(82)} {regs.regs(1).sva(83)} {regs.regs(1).sva(84)} {regs.regs(1).sva(85)} {regs.regs(1).sva(86)} {regs.regs(1).sva(87)} {regs.regs(1).sva(88)} {regs.regs(1).sva(89)} -attr xrf 32843 -attr oid 7 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(0).sva(0)} -attr vt d
+load net {regs.regs(0).sva(1)} -attr vt d
+load net {regs.regs(0).sva(2)} -attr vt d
+load net {regs.regs(0).sva(3)} -attr vt d
+load net {regs.regs(0).sva(4)} -attr vt d
+load net {regs.regs(0).sva(5)} -attr vt d
+load net {regs.regs(0).sva(6)} -attr vt d
+load net {regs.regs(0).sva(7)} -attr vt d
+load net {regs.regs(0).sva(8)} -attr vt d
+load net {regs.regs(0).sva(9)} -attr vt d
+load net {regs.regs(0).sva(10)} -attr vt d
+load net {regs.regs(0).sva(11)} -attr vt d
+load net {regs.regs(0).sva(12)} -attr vt d
+load net {regs.regs(0).sva(13)} -attr vt d
+load net {regs.regs(0).sva(14)} -attr vt d
+load net {regs.regs(0).sva(15)} -attr vt d
+load net {regs.regs(0).sva(16)} -attr vt d
+load net {regs.regs(0).sva(17)} -attr vt d
+load net {regs.regs(0).sva(18)} -attr vt d
+load net {regs.regs(0).sva(19)} -attr vt d
+load net {regs.regs(0).sva(20)} -attr vt d
+load net {regs.regs(0).sva(21)} -attr vt d
+load net {regs.regs(0).sva(22)} -attr vt d
+load net {regs.regs(0).sva(23)} -attr vt d
+load net {regs.regs(0).sva(24)} -attr vt d
+load net {regs.regs(0).sva(25)} -attr vt d
+load net {regs.regs(0).sva(26)} -attr vt d
+load net {regs.regs(0).sva(27)} -attr vt d
+load net {regs.regs(0).sva(28)} -attr vt d
+load net {regs.regs(0).sva(29)} -attr vt d
+load net {regs.regs(0).sva(30)} -attr vt d
+load net {regs.regs(0).sva(31)} -attr vt d
+load net {regs.regs(0).sva(32)} -attr vt d
+load net {regs.regs(0).sva(33)} -attr vt d
+load net {regs.regs(0).sva(34)} -attr vt d
+load net {regs.regs(0).sva(35)} -attr vt d
+load net {regs.regs(0).sva(36)} -attr vt d
+load net {regs.regs(0).sva(37)} -attr vt d
+load net {regs.regs(0).sva(38)} -attr vt d
+load net {regs.regs(0).sva(39)} -attr vt d
+load net {regs.regs(0).sva(40)} -attr vt d
+load net {regs.regs(0).sva(41)} -attr vt d
+load net {regs.regs(0).sva(42)} -attr vt d
+load net {regs.regs(0).sva(43)} -attr vt d
+load net {regs.regs(0).sva(44)} -attr vt d
+load net {regs.regs(0).sva(45)} -attr vt d
+load net {regs.regs(0).sva(46)} -attr vt d
+load net {regs.regs(0).sva(47)} -attr vt d
+load net {regs.regs(0).sva(48)} -attr vt d
+load net {regs.regs(0).sva(49)} -attr vt d
+load net {regs.regs(0).sva(50)} -attr vt d
+load net {regs.regs(0).sva(51)} -attr vt d
+load net {regs.regs(0).sva(52)} -attr vt d
+load net {regs.regs(0).sva(53)} -attr vt d
+load net {regs.regs(0).sva(54)} -attr vt d
+load net {regs.regs(0).sva(55)} -attr vt d
+load net {regs.regs(0).sva(56)} -attr vt d
+load net {regs.regs(0).sva(57)} -attr vt d
+load net {regs.regs(0).sva(58)} -attr vt d
+load net {regs.regs(0).sva(59)} -attr vt d
+load net {regs.regs(0).sva(60)} -attr vt d
+load net {regs.regs(0).sva(61)} -attr vt d
+load net {regs.regs(0).sva(62)} -attr vt d
+load net {regs.regs(0).sva(63)} -attr vt d
+load net {regs.regs(0).sva(64)} -attr vt d
+load net {regs.regs(0).sva(65)} -attr vt d
+load net {regs.regs(0).sva(66)} -attr vt d
+load net {regs.regs(0).sva(67)} -attr vt d
+load net {regs.regs(0).sva(68)} -attr vt d
+load net {regs.regs(0).sva(69)} -attr vt d
+load net {regs.regs(0).sva(70)} -attr vt d
+load net {regs.regs(0).sva(71)} -attr vt d
+load net {regs.regs(0).sva(72)} -attr vt d
+load net {regs.regs(0).sva(73)} -attr vt d
+load net {regs.regs(0).sva(74)} -attr vt d
+load net {regs.regs(0).sva(75)} -attr vt d
+load net {regs.regs(0).sva(76)} -attr vt d
+load net {regs.regs(0).sva(77)} -attr vt d
+load net {regs.regs(0).sva(78)} -attr vt d
+load net {regs.regs(0).sva(79)} -attr vt d
+load net {regs.regs(0).sva(80)} -attr vt d
+load net {regs.regs(0).sva(81)} -attr vt d
+load net {regs.regs(0).sva(82)} -attr vt d
+load net {regs.regs(0).sva(83)} -attr vt d
+load net {regs.regs(0).sva(84)} -attr vt d
+load net {regs.regs(0).sva(85)} -attr vt d
+load net {regs.regs(0).sva(86)} -attr vt d
+load net {regs.regs(0).sva(87)} -attr vt d
+load net {regs.regs(0).sva(88)} -attr vt d
+load net {regs.regs(0).sva(89)} -attr vt d
+load netBundle {regs.regs(0).sva} 90 {regs.regs(0).sva(0)} {regs.regs(0).sva(1)} {regs.regs(0).sva(2)} {regs.regs(0).sva(3)} {regs.regs(0).sva(4)} {regs.regs(0).sva(5)} {regs.regs(0).sva(6)} {regs.regs(0).sva(7)} {regs.regs(0).sva(8)} {regs.regs(0).sva(9)} {regs.regs(0).sva(10)} {regs.regs(0).sva(11)} {regs.regs(0).sva(12)} {regs.regs(0).sva(13)} {regs.regs(0).sva(14)} {regs.regs(0).sva(15)} {regs.regs(0).sva(16)} {regs.regs(0).sva(17)} {regs.regs(0).sva(18)} {regs.regs(0).sva(19)} {regs.regs(0).sva(20)} {regs.regs(0).sva(21)} {regs.regs(0).sva(22)} {regs.regs(0).sva(23)} {regs.regs(0).sva(24)} {regs.regs(0).sva(25)} {regs.regs(0).sva(26)} {regs.regs(0).sva(27)} {regs.regs(0).sva(28)} {regs.regs(0).sva(29)} {regs.regs(0).sva(30)} {regs.regs(0).sva(31)} {regs.regs(0).sva(32)} {regs.regs(0).sva(33)} {regs.regs(0).sva(34)} {regs.regs(0).sva(35)} {regs.regs(0).sva(36)} {regs.regs(0).sva(37)} {regs.regs(0).sva(38)} {regs.regs(0).sva(39)} {regs.regs(0).sva(40)} {regs.regs(0).sva(41)} {regs.regs(0).sva(42)} {regs.regs(0).sva(43)} {regs.regs(0).sva(44)} {regs.regs(0).sva(45)} {regs.regs(0).sva(46)} {regs.regs(0).sva(47)} {regs.regs(0).sva(48)} {regs.regs(0).sva(49)} {regs.regs(0).sva(50)} {regs.regs(0).sva(51)} {regs.regs(0).sva(52)} {regs.regs(0).sva(53)} {regs.regs(0).sva(54)} {regs.regs(0).sva(55)} {regs.regs(0).sva(56)} {regs.regs(0).sva(57)} {regs.regs(0).sva(58)} {regs.regs(0).sva(59)} {regs.regs(0).sva(60)} {regs.regs(0).sva(61)} {regs.regs(0).sva(62)} {regs.regs(0).sva(63)} {regs.regs(0).sva(64)} {regs.regs(0).sva(65)} {regs.regs(0).sva(66)} {regs.regs(0).sva(67)} {regs.regs(0).sva(68)} {regs.regs(0).sva(69)} {regs.regs(0).sva(70)} {regs.regs(0).sva(71)} {regs.regs(0).sva(72)} {regs.regs(0).sva(73)} {regs.regs(0).sva(74)} {regs.regs(0).sva(75)} {regs.regs(0).sva(76)} {regs.regs(0).sva(77)} {regs.regs(0).sva(78)} {regs.regs(0).sva(79)} {regs.regs(0).sva(80)} {regs.regs(0).sva(81)} {regs.regs(0).sva(82)} {regs.regs(0).sva(83)} {regs.regs(0).sva(84)} {regs.regs(0).sva(85)} {regs.regs(0).sva(86)} {regs.regs(0).sva(87)} {regs.regs(0).sva(88)} {regs.regs(0).sva(89)} -attr xrf 32844 -attr oid 8 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {acc.imod#7.lpi#1.dfm(0)} -attr vt d
+load net {acc.imod#7.lpi#1.dfm(1)} -attr vt d
+load netBundle {acc.imod#7.lpi#1.dfm} 2 {acc.imod#7.lpi#1.dfm(0)} {acc.imod#7.lpi#1.dfm(1)} -attr xrf 32845 -attr oid 9 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(0)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(1)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(2)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(3)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(4)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(5)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(6)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(7)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(8)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(9)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(10)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm(11)} -attr vt d
+load netBundle {ACC1:acc#125.psp.lpi#1.dfm} 12 {ACC1:acc#125.psp.lpi#1.dfm(0)} {ACC1:acc#125.psp.lpi#1.dfm(1)} {ACC1:acc#125.psp.lpi#1.dfm(2)} {ACC1:acc#125.psp.lpi#1.dfm(3)} {ACC1:acc#125.psp.lpi#1.dfm(4)} {ACC1:acc#125.psp.lpi#1.dfm(5)} {ACC1:acc#125.psp.lpi#1.dfm(6)} {ACC1:acc#125.psp.lpi#1.dfm(7)} {ACC1:acc#125.psp.lpi#1.dfm(8)} {ACC1:acc#125.psp.lpi#1.dfm(9)} {ACC1:acc#125.psp.lpi#1.dfm(10)} {ACC1:acc#125.psp.lpi#1.dfm(11)} -attr xrf 32846 -attr oid 10 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {acc.imod#20.lpi#1.dfm(0)} -attr vt d
+load net {acc.imod#20.lpi#1.dfm(1)} -attr vt d
+load netBundle {acc.imod#20.lpi#1.dfm} 2 {acc.imod#20.lpi#1.dfm(0)} {acc.imod#20.lpi#1.dfm(1)} -attr xrf 32847 -attr oid 11 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(0)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(1)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(2)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(3)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(4)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(5)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(6)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(7)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(8)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(9)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(10)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(11)} -attr vt d
+load netBundle {ACC1:acc#125.psp#1.lpi#1.dfm} 12 {ACC1:acc#125.psp#1.lpi#1.dfm(0)} {ACC1:acc#125.psp#1.lpi#1.dfm(1)} {ACC1:acc#125.psp#1.lpi#1.dfm(2)} {ACC1:acc#125.psp#1.lpi#1.dfm(3)} {ACC1:acc#125.psp#1.lpi#1.dfm(4)} {ACC1:acc#125.psp#1.lpi#1.dfm(5)} {ACC1:acc#125.psp#1.lpi#1.dfm(6)} {ACC1:acc#125.psp#1.lpi#1.dfm(7)} {ACC1:acc#125.psp#1.lpi#1.dfm(8)} {ACC1:acc#125.psp#1.lpi#1.dfm(9)} {ACC1:acc#125.psp#1.lpi#1.dfm(10)} {ACC1:acc#125.psp#1.lpi#1.dfm(11)} -attr xrf 32848 -attr oid 12 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {in(0).sva#1(0)} -attr vt d
+load net {in(0).sva#1(1)} -attr vt d
+load net {in(0).sva#1(2)} -attr vt d
+load net {in(0).sva#1(3)} -attr vt d
+load net {in(0).sva#1(4)} -attr vt d
+load net {in(0).sva#1(5)} -attr vt d
+load net {in(0).sva#1(6)} -attr vt d
+load net {in(0).sva#1(7)} -attr vt d
+load net {in(0).sva#1(8)} -attr vt d
+load net {in(0).sva#1(9)} -attr vt d
+load net {in(0).sva#1(10)} -attr vt d
+load net {in(0).sva#1(11)} -attr vt d
+load net {in(0).sva#1(12)} -attr vt d
+load net {in(0).sva#1(13)} -attr vt d
+load net {in(0).sva#1(14)} -attr vt d
+load net {in(0).sva#1(15)} -attr vt d
+load netBundle {in(0).sva#1} 16 {in(0).sva#1(0)} {in(0).sva#1(1)} {in(0).sva#1(2)} {in(0).sva#1(3)} {in(0).sva#1(4)} {in(0).sva#1(5)} {in(0).sva#1(6)} {in(0).sva#1(7)} {in(0).sva#1(8)} {in(0).sva#1(9)} {in(0).sva#1(10)} {in(0).sva#1(11)} {in(0).sva#1(12)} {in(0).sva#1(13)} {in(0).sva#1(14)} {in(0).sva#1(15)} -attr xrf 32849 -attr oid 13 -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(2).sva#1(0)} -attr vt d
+load net {in(2).sva#1(1)} -attr vt d
+load net {in(2).sva#1(2)} -attr vt d
+load net {in(2).sva#1(3)} -attr vt d
+load net {in(2).sva#1(4)} -attr vt d
+load net {in(2).sva#1(5)} -attr vt d
+load net {in(2).sva#1(6)} -attr vt d
+load net {in(2).sva#1(7)} -attr vt d
+load net {in(2).sva#1(8)} -attr vt d
+load net {in(2).sva#1(9)} -attr vt d
+load net {in(2).sva#1(10)} -attr vt d
+load net {in(2).sva#1(11)} -attr vt d
+load net {in(2).sva#1(12)} -attr vt d
+load net {in(2).sva#1(13)} -attr vt d
+load net {in(2).sva#1(14)} -attr vt d
+load net {in(2).sva#1(15)} -attr vt d
+load netBundle {in(2).sva#1} 16 {in(2).sva#1(0)} {in(2).sva#1(1)} {in(2).sva#1(2)} {in(2).sva#1(3)} {in(2).sva#1(4)} {in(2).sva#1(5)} {in(2).sva#1(6)} {in(2).sva#1(7)} {in(2).sva#1(8)} {in(2).sva#1(9)} {in(2).sva#1(10)} {in(2).sva#1(11)} {in(2).sva#1(12)} {in(2).sva#1(13)} {in(2).sva#1(14)} {in(2).sva#1(15)} -attr xrf 32850 -attr oid 14 -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {i#6.sva#1(0)} -attr vt d
+load net {i#6.sva#1(1)} -attr vt d
+load netBundle {i#6.sva#1} 2 {i#6.sva#1(0)} {i#6.sva#1(1)} -attr xrf 32851 -attr oid 15 -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {FRAME:for:acc#24.itm#1(0)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(1)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(2)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(3)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(4)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(5)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(6)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(7)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(8)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(9)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(10)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(11)} -attr vt d
+load net {FRAME:for:acc#24.itm#1(12)} -attr vt d
+load netBundle {FRAME:for:acc#24.itm#1} 13 {FRAME:for:acc#24.itm#1(0)} {FRAME:for:acc#24.itm#1(1)} {FRAME:for:acc#24.itm#1(2)} {FRAME:for:acc#24.itm#1(3)} {FRAME:for:acc#24.itm#1(4)} {FRAME:for:acc#24.itm#1(5)} {FRAME:for:acc#24.itm#1(6)} {FRAME:for:acc#24.itm#1(7)} {FRAME:for:acc#24.itm#1(8)} {FRAME:for:acc#24.itm#1(9)} {FRAME:for:acc#24.itm#1(10)} {FRAME:for:acc#24.itm#1(11)} {FRAME:for:acc#24.itm#1(12)} -attr xrf 32852 -attr oid 16 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(0)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(1)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(2)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(3)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(4)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(5)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(6)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(7)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(8)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(9)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(10)} -attr vt d
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -attr vt d
+load netBundle {FRAME:for:slc(in(0).sva).itm#1} 12 {FRAME:for:slc(in(0).sva).itm#1(0)} {FRAME:for:slc(in(0).sva).itm#1(1)} {FRAME:for:slc(in(0).sva).itm#1(2)} {FRAME:for:slc(in(0).sva).itm#1(3)} {FRAME:for:slc(in(0).sva).itm#1(4)} {FRAME:for:slc(in(0).sva).itm#1(5)} {FRAME:for:slc(in(0).sva).itm#1(6)} {FRAME:for:slc(in(0).sva).itm#1(7)} {FRAME:for:slc(in(0).sva).itm#1(8)} {FRAME:for:slc(in(0).sva).itm#1(9)} {FRAME:for:slc(in(0).sva).itm#1(10)} {FRAME:for:slc(in(0).sva).itm#1(11)} -attr xrf 32853 -attr oid 17 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:acc#26.itm#1(0)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(1)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(2)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(3)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(4)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(5)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(6)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(7)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(8)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(9)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(10)} -attr vt d
+load net {FRAME:for:acc#26.itm#1(11)} -attr vt d
+load netBundle {FRAME:for:acc#26.itm#1} 12 {FRAME:for:acc#26.itm#1(0)} {FRAME:for:acc#26.itm#1(1)} {FRAME:for:acc#26.itm#1(2)} {FRAME:for:acc#26.itm#1(3)} {FRAME:for:acc#26.itm#1(4)} {FRAME:for:acc#26.itm#1(5)} {FRAME:for:acc#26.itm#1(6)} {FRAME:for:acc#26.itm#1(7)} {FRAME:for:acc#26.itm#1(8)} {FRAME:for:acc#26.itm#1(9)} {FRAME:for:acc#26.itm#1(10)} {FRAME:for:acc#26.itm#1(11)} -attr xrf 32854 -attr oid 18 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(0)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(1)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(2)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(3)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(4)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(5)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(6)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(7)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(8)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(9)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(10)} -attr vt d
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -attr vt d
+load netBundle {FRAME:for:slc(in(2).sva).itm#1} 12 {FRAME:for:slc(in(2).sva).itm#1(0)} {FRAME:for:slc(in(2).sva).itm#1(1)} {FRAME:for:slc(in(2).sva).itm#1(2)} {FRAME:for:slc(in(2).sva).itm#1(3)} {FRAME:for:slc(in(2).sva).itm#1(4)} {FRAME:for:slc(in(2).sva).itm#1(5)} {FRAME:for:slc(in(2).sva).itm#1(6)} {FRAME:for:slc(in(2).sva).itm#1(7)} {FRAME:for:slc(in(2).sva).itm#1(8)} {FRAME:for:slc(in(2).sva).itm#1(9)} {FRAME:for:slc(in(2).sva).itm#1(10)} {FRAME:for:slc(in(2).sva).itm#1(11)} -attr xrf 32855 -attr oid 19 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {ACC1:acc#341.itm#1(0)} -attr vt d
+load net {ACC1:acc#341.itm#1(1)} -attr vt d
+load net {ACC1:acc#341.itm#1(2)} -attr vt d
+load net {ACC1:acc#341.itm#1(3)} -attr vt d
+load net {ACC1:acc#341.itm#1(4)} -attr vt d
+load net {ACC1:acc#341.itm#1(5)} -attr vt d
+load net {ACC1:acc#341.itm#1(6)} -attr vt d
+load net {ACC1:acc#341.itm#1(7)} -attr vt d
+load net {ACC1:acc#341.itm#1(8)} -attr vt d
+load net {ACC1:acc#341.itm#1(9)} -attr vt d
+load net {ACC1:acc#341.itm#1(10)} -attr vt d
+load net {ACC1:acc#341.itm#1(11)} -attr vt d
+load net {ACC1:acc#341.itm#1(12)} -attr vt d
+load netBundle {ACC1:acc#341.itm#1} 13 {ACC1:acc#341.itm#1(0)} {ACC1:acc#341.itm#1(1)} {ACC1:acc#341.itm#1(2)} {ACC1:acc#341.itm#1(3)} {ACC1:acc#341.itm#1(4)} {ACC1:acc#341.itm#1(5)} {ACC1:acc#341.itm#1(6)} {ACC1:acc#341.itm#1(7)} {ACC1:acc#341.itm#1(8)} {ACC1:acc#341.itm#1(9)} {ACC1:acc#341.itm#1(10)} {ACC1:acc#341.itm#1(11)} {ACC1:acc#341.itm#1(12)} -attr xrf 32856 -attr oid 20 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {acc.imod#6.lpi#1.dfm.sg1(0)} -attr vt d
+load net {acc.imod#6.lpi#1.dfm.sg1(1)} -attr vt d
+load netBundle {acc.imod#6.lpi#1.dfm.sg1} 2 {acc.imod#6.lpi#1.dfm.sg1(0)} {acc.imod#6.lpi#1.dfm.sg1(1)} -attr xrf 32857 -attr oid 21 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1}
+load net {regs.regs(2).lpi#1.dfm.sg2(0)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(1)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(2)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(3)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(4)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(5)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(6)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(7)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(8)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(9)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(10)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(11)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(12)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(13)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(14)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(15)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(16)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(17)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(18)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(19)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(20)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(21)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(22)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(23)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(24)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(25)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(26)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(27)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(28)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2(29)} -attr vt d
+load netBundle {regs.regs(2).lpi#1.dfm.sg2} 30 {regs.regs(2).lpi#1.dfm.sg2(0)} {regs.regs(2).lpi#1.dfm.sg2(1)} {regs.regs(2).lpi#1.dfm.sg2(2)} {regs.regs(2).lpi#1.dfm.sg2(3)} {regs.regs(2).lpi#1.dfm.sg2(4)} {regs.regs(2).lpi#1.dfm.sg2(5)} {regs.regs(2).lpi#1.dfm.sg2(6)} {regs.regs(2).lpi#1.dfm.sg2(7)} {regs.regs(2).lpi#1.dfm.sg2(8)} {regs.regs(2).lpi#1.dfm.sg2(9)} {regs.regs(2).lpi#1.dfm.sg2(10)} {regs.regs(2).lpi#1.dfm.sg2(11)} {regs.regs(2).lpi#1.dfm.sg2(12)} {regs.regs(2).lpi#1.dfm.sg2(13)} {regs.regs(2).lpi#1.dfm.sg2(14)} {regs.regs(2).lpi#1.dfm.sg2(15)} {regs.regs(2).lpi#1.dfm.sg2(16)} {regs.regs(2).lpi#1.dfm.sg2(17)} {regs.regs(2).lpi#1.dfm.sg2(18)} {regs.regs(2).lpi#1.dfm.sg2(19)} {regs.regs(2).lpi#1.dfm.sg2(20)} {regs.regs(2).lpi#1.dfm.sg2(21)} {regs.regs(2).lpi#1.dfm.sg2(22)} {regs.regs(2).lpi#1.dfm.sg2(23)} {regs.regs(2).lpi#1.dfm.sg2(24)} {regs.regs(2).lpi#1.dfm.sg2(25)} {regs.regs(2).lpi#1.dfm.sg2(26)} {regs.regs(2).lpi#1.dfm.sg2(27)} {regs.regs(2).lpi#1.dfm.sg2(28)} {regs.regs(2).lpi#1.dfm.sg2(29)} -attr xrf 32858 -attr oid 22 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm#1(0)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(1)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(2)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(3)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(4)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(5)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(6)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(7)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(8)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(9)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(10)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(11)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(12)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(13)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(14)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(15)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(16)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(17)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(18)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(19)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(20)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(21)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(22)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(23)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(24)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(25)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(26)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(27)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(28)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1(29)} -attr vt d
+load netBundle {regs.regs(2).lpi#1.dfm#1} 30 {regs.regs(2).lpi#1.dfm#1(0)} {regs.regs(2).lpi#1.dfm#1(1)} {regs.regs(2).lpi#1.dfm#1(2)} {regs.regs(2).lpi#1.dfm#1(3)} {regs.regs(2).lpi#1.dfm#1(4)} {regs.regs(2).lpi#1.dfm#1(5)} {regs.regs(2).lpi#1.dfm#1(6)} {regs.regs(2).lpi#1.dfm#1(7)} {regs.regs(2).lpi#1.dfm#1(8)} {regs.regs(2).lpi#1.dfm#1(9)} {regs.regs(2).lpi#1.dfm#1(10)} {regs.regs(2).lpi#1.dfm#1(11)} {regs.regs(2).lpi#1.dfm#1(12)} {regs.regs(2).lpi#1.dfm#1(13)} {regs.regs(2).lpi#1.dfm#1(14)} {regs.regs(2).lpi#1.dfm#1(15)} {regs.regs(2).lpi#1.dfm#1(16)} {regs.regs(2).lpi#1.dfm#1(17)} {regs.regs(2).lpi#1.dfm#1(18)} {regs.regs(2).lpi#1.dfm#1(19)} {regs.regs(2).lpi#1.dfm#1(20)} {regs.regs(2).lpi#1.dfm#1(21)} {regs.regs(2).lpi#1.dfm#1(22)} {regs.regs(2).lpi#1.dfm#1(23)} {regs.regs(2).lpi#1.dfm#1(24)} {regs.regs(2).lpi#1.dfm#1(25)} {regs.regs(2).lpi#1.dfm#1(26)} {regs.regs(2).lpi#1.dfm#1(27)} {regs.regs(2).lpi#1.dfm#1(28)} {regs.regs(2).lpi#1.dfm#1(29)} -attr xrf 32859 -attr oid 23 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1(0)} -attr vt d
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1(1)} -attr vt d
+load netBundle {ACC1:acc#118.psp.lpi#1.dfm.sg1} 2 {ACC1:acc#118.psp.lpi#1.dfm.sg1(0)} {ACC1:acc#118.psp.lpi#1.dfm.sg1(1)} -attr xrf 32860 -attr oid 24 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(0)} -attr vt d
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(1)} -attr vt d
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(2)} -attr vt d
+load netBundle {ACC1:acc#110.psp#1.lpi#1.dfm.sg1} 3 {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(0)} {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(1)} {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(2)} -attr xrf 32861 -attr oid 25 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load net {acc.imod#18.lpi#1.dfm.sg1(0)} -attr vt d
+load net {acc.imod#18.lpi#1.dfm.sg1(1)} -attr vt d
+load netBundle {acc.imod#18.lpi#1.dfm.sg1} 2 {acc.imod#18.lpi#1.dfm.sg1(0)} {acc.imod#18.lpi#1.dfm.sg1(1)} -attr xrf 32862 -attr oid 26 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(0)} -attr vt d
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(1)} -attr vt d
+load netBundle {ACC1:acc#118.psp#1.lpi#1.dfm.sg1} 2 {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(0)} {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(1)} -attr xrf 32863 -attr oid 27 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(0)} -attr vt d
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(1)} -attr vt d
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(2)} -attr vt d
+load netBundle {ACC1:acc#110.psp#2.lpi#1.dfm.sg1} 3 {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(0)} {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(1)} {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(2)} -attr xrf 32864 -attr oid 28 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load net {FRAME:p#1.sva#1(0)} -attr vt d
+load net {FRAME:p#1.sva#1(1)} -attr vt d
+load net {FRAME:p#1.sva#1(2)} -attr vt d
+load net {FRAME:p#1.sva#1(3)} -attr vt d
+load net {FRAME:p#1.sva#1(4)} -attr vt d
+load net {FRAME:p#1.sva#1(5)} -attr vt d
+load net {FRAME:p#1.sva#1(6)} -attr vt d
+load net {FRAME:p#1.sva#1(7)} -attr vt d
+load net {FRAME:p#1.sva#1(8)} -attr vt d
+load net {FRAME:p#1.sva#1(9)} -attr vt d
+load net {FRAME:p#1.sva#1(10)} -attr vt d
+load net {FRAME:p#1.sva#1(11)} -attr vt d
+load net {FRAME:p#1.sva#1(12)} -attr vt d
+load net {FRAME:p#1.sva#1(13)} -attr vt d
+load net {FRAME:p#1.sva#1(14)} -attr vt d
+load net {FRAME:p#1.sva#1(15)} -attr vt d
+load net {FRAME:p#1.sva#1(16)} -attr vt d
+load net {FRAME:p#1.sva#1(17)} -attr vt d
+load net {FRAME:p#1.sva#1(18)} -attr vt d
+load netBundle {FRAME:p#1.sva#1} 19 {FRAME:p#1.sva#1(0)} {FRAME:p#1.sva#1(1)} {FRAME:p#1.sva#1(2)} {FRAME:p#1.sva#1(3)} {FRAME:p#1.sva#1(4)} {FRAME:p#1.sva#1(5)} {FRAME:p#1.sva#1(6)} {FRAME:p#1.sva#1(7)} {FRAME:p#1.sva#1(8)} {FRAME:p#1.sva#1(9)} {FRAME:p#1.sva#1(10)} {FRAME:p#1.sva#1(11)} {FRAME:p#1.sva#1(12)} {FRAME:p#1.sva#1(13)} {FRAME:p#1.sva#1(14)} {FRAME:p#1.sva#1(15)} {FRAME:p#1.sva#1(16)} {FRAME:p#1.sva#1(17)} {FRAME:p#1.sva#1(18)} -attr xrf 32865 -attr oid 29 -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:acc#2.psp.sva(0)} -attr vt d
+load net {FRAME:acc#2.psp.sva(1)} -attr vt d
+load net {FRAME:acc#2.psp.sva(2)} -attr vt d
+load net {FRAME:acc#2.psp.sva(3)} -attr vt d
+load net {FRAME:acc#2.psp.sva(4)} -attr vt d
+load net {FRAME:acc#2.psp.sva(5)} -attr vt d
+load net {FRAME:acc#2.psp.sva(6)} -attr vt d
+load net {FRAME:acc#2.psp.sva(7)} -attr vt d
+load net {FRAME:acc#2.psp.sva(8)} -attr vt d
+load net {FRAME:acc#2.psp.sva(9)} -attr vt d
+load net {FRAME:acc#2.psp.sva(10)} -attr vt d
+load net {FRAME:acc#2.psp.sva(11)} -attr vt d
+load netBundle {FRAME:acc#2.psp.sva} 12 {FRAME:acc#2.psp.sva(0)} {FRAME:acc#2.psp.sva(1)} {FRAME:acc#2.psp.sva(2)} {FRAME:acc#2.psp.sva(3)} {FRAME:acc#2.psp.sva(4)} {FRAME:acc#2.psp.sva(5)} {FRAME:acc#2.psp.sva(6)} {FRAME:acc#2.psp.sva(7)} {FRAME:acc#2.psp.sva(8)} {FRAME:acc#2.psp.sva(9)} {FRAME:acc#2.psp.sva(10)} {FRAME:acc#2.psp.sva(11)} -attr xrf 32866 -attr oid 30 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {intensity#2.sg1.sva(0)} -attr vt d
+load net {intensity#2.sg1.sva(1)} -attr vt d
+load net {intensity#2.sg1.sva(2)} -attr vt d
+load net {intensity#2.sg1.sva(3)} -attr vt d
+load net {intensity#2.sg1.sva(4)} -attr vt d
+load net {intensity#2.sg1.sva(5)} -attr vt d
+load net {intensity#2.sg1.sva(6)} -attr vt d
+load net {intensity#2.sg1.sva(7)} -attr vt d
+load net {intensity#2.sg1.sva(8)} -attr vt d
+load net {intensity#2.sg1.sva(9)} -attr vt d
+load net {intensity#2.sg1.sva(10)} -attr vt d
+load net {intensity#2.sg1.sva(11)} -attr vt d
+load net {intensity#2.sg1.sva(12)} -attr vt d
+load net {intensity#2.sg1.sva(13)} -attr vt d
+load net {intensity#2.sg1.sva(14)} -attr vt d
+load netBundle {intensity#2.sg1.sva} 15 {intensity#2.sg1.sva(0)} {intensity#2.sg1.sva(1)} {intensity#2.sg1.sva(2)} {intensity#2.sg1.sva(3)} {intensity#2.sg1.sva(4)} {intensity#2.sg1.sva(5)} {intensity#2.sg1.sva(6)} {intensity#2.sg1.sva(7)} {intensity#2.sg1.sva(8)} {intensity#2.sg1.sva(9)} {intensity#2.sg1.sva(10)} {intensity#2.sg1.sva(11)} {intensity#2.sg1.sva(12)} {intensity#2.sg1.sva(13)} {intensity#2.sg1.sva(14)} -attr xrf 32867 -attr oid 31 -attr vt d -attr @path {/sobel/sobel:core/intensity#2.sg1.sva}
+load net {acc.imod#12.sva(0)} -attr vt d
+load net {acc.imod#12.sva(1)} -attr vt d
+load net {acc.imod#12.sva(2)} -attr vt d
+load net {acc.imod#12.sva(3)} -attr vt d
+load net {acc.imod#12.sva(4)} -attr vt d
+load net {acc.imod#12.sva(5)} -attr vt d
+load netBundle {acc.imod#12.sva} 6 {acc.imod#12.sva(0)} {acc.imod#12.sva(1)} {acc.imod#12.sva(2)} {acc.imod#12.sva(3)} {acc.imod#12.sva(4)} {acc.imod#12.sva(5)} -attr xrf 32868 -attr oid 32 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load net {in(2).sva#3(0)} -attr vt d
+load net {in(2).sva#3(1)} -attr vt d
+load net {in(2).sva#3(2)} -attr vt d
+load net {in(2).sva#3(3)} -attr vt d
+load net {in(2).sva#3(4)} -attr vt d
+load net {in(2).sva#3(5)} -attr vt d
+load net {in(2).sva#3(6)} -attr vt d
+load net {in(2).sva#3(7)} -attr vt d
+load net {in(2).sva#3(8)} -attr vt d
+load net {in(2).sva#3(9)} -attr vt d
+load net {in(2).sva#3(10)} -attr vt d
+load net {in(2).sva#3(11)} -attr vt d
+load net {in(2).sva#3(12)} -attr vt d
+load net {in(2).sva#3(13)} -attr vt d
+load net {in(2).sva#3(14)} -attr vt d
+load net {in(2).sva#3(15)} -attr vt d
+load netBundle {in(2).sva#3} 16 {in(2).sva#3(0)} {in(2).sva#3(1)} {in(2).sva#3(2)} {in(2).sva#3(3)} {in(2).sva#3(4)} {in(2).sva#3(5)} {in(2).sva#3(6)} {in(2).sva#3(7)} {in(2).sva#3(8)} {in(2).sva#3(9)} {in(2).sva#3(10)} {in(2).sva#3(11)} {in(2).sva#3(12)} {in(2).sva#3(13)} {in(2).sva#3(14)} {in(2).sva#3(15)} -attr xrf 32869 -attr oid 33 -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(0).sva#3(0)} -attr vt d
+load net {in(0).sva#3(1)} -attr vt d
+load net {in(0).sva#3(2)} -attr vt d
+load net {in(0).sva#3(3)} -attr vt d
+load net {in(0).sva#3(4)} -attr vt d
+load net {in(0).sva#3(5)} -attr vt d
+load net {in(0).sva#3(6)} -attr vt d
+load net {in(0).sva#3(7)} -attr vt d
+load net {in(0).sva#3(8)} -attr vt d
+load net {in(0).sva#3(9)} -attr vt d
+load net {in(0).sva#3(10)} -attr vt d
+load net {in(0).sva#3(11)} -attr vt d
+load net {in(0).sva#3(12)} -attr vt d
+load net {in(0).sva#3(13)} -attr vt d
+load net {in(0).sva#3(14)} -attr vt d
+load net {in(0).sva#3(15)} -attr vt d
+load netBundle {in(0).sva#3} 16 {in(0).sva#3(0)} {in(0).sva#3(1)} {in(0).sva#3(2)} {in(0).sva#3(3)} {in(0).sva#3(4)} {in(0).sva#3(5)} {in(0).sva#3(6)} {in(0).sva#3(7)} {in(0).sva#3(8)} {in(0).sva#3(9)} {in(0).sva#3(10)} {in(0).sva#3(11)} {in(0).sva#3(12)} {in(0).sva#3(13)} {in(0).sva#3(14)} {in(0).sva#3(15)} -attr xrf 32870 -attr oid 34 -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {i#6.sva#2(0)} -attr vt d
+load net {i#6.sva#2(1)} -attr vt d
+load netBundle {i#6.sva#2} 2 {i#6.sva#2(0)} {i#6.sva#2(1)} -attr xrf 32871 -attr oid 35 -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load net {i#6.lpi#1.dfm(0)} -attr vt d
+load net {i#6.lpi#1.dfm(1)} -attr vt d
+load netBundle {i#6.lpi#1.dfm} 2 {i#6.lpi#1.dfm(0)} {i#6.lpi#1.dfm(1)} -attr xrf 32872 -attr oid 36 -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(0)} -attr vt d
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(1)} -attr vt d
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(2)} -attr vt d
+load netBundle {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0} 3 {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(0)} {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(1)} {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(2)} -attr xrf 32873 -attr oid 37 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(0)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(1)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(2)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(3)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(5)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(7)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(9)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} -attr vt d
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -attr vt d
+load netBundle {ACC1:acc#125.psp.lpi#1.dfm:mx0} 12 {ACC1:acc#125.psp.lpi#1.dfm:mx0(0)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(1)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(2)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(3)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(5)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(7)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(9)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -attr xrf 32874 -attr oid 38 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(0)} -attr vt d
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(1)} -attr vt d
+load netBundle {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0} 2 {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(0)} {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(1)} -attr xrf 32875 -attr oid 39 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(0)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(1)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(2)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(3)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(4)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(5)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(6)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(7)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(8)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(9)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(10)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(11)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(12)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(13)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(14)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(15)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(16)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(17)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(18)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(19)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(20)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(21)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(22)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(23)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(24)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(25)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(26)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(27)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(28)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(29)} -attr vt d
+load netBundle {regs.regs(2).lpi#1.dfm.sg2:mx0} 30 {regs.regs(2).lpi#1.dfm.sg2:mx0(0)} {regs.regs(2).lpi#1.dfm.sg2:mx0(1)} {regs.regs(2).lpi#1.dfm.sg2:mx0(2)} {regs.regs(2).lpi#1.dfm.sg2:mx0(3)} {regs.regs(2).lpi#1.dfm.sg2:mx0(4)} {regs.regs(2).lpi#1.dfm.sg2:mx0(5)} {regs.regs(2).lpi#1.dfm.sg2:mx0(6)} {regs.regs(2).lpi#1.dfm.sg2:mx0(7)} {regs.regs(2).lpi#1.dfm.sg2:mx0(8)} {regs.regs(2).lpi#1.dfm.sg2:mx0(9)} {regs.regs(2).lpi#1.dfm.sg2:mx0(10)} {regs.regs(2).lpi#1.dfm.sg2:mx0(11)} {regs.regs(2).lpi#1.dfm.sg2:mx0(12)} {regs.regs(2).lpi#1.dfm.sg2:mx0(13)} {regs.regs(2).lpi#1.dfm.sg2:mx0(14)} {regs.regs(2).lpi#1.dfm.sg2:mx0(15)} {regs.regs(2).lpi#1.dfm.sg2:mx0(16)} {regs.regs(2).lpi#1.dfm.sg2:mx0(17)} {regs.regs(2).lpi#1.dfm.sg2:mx0(18)} {regs.regs(2).lpi#1.dfm.sg2:mx0(19)} {regs.regs(2).lpi#1.dfm.sg2:mx0(20)} {regs.regs(2).lpi#1.dfm.sg2:mx0(21)} {regs.regs(2).lpi#1.dfm.sg2:mx0(22)} {regs.regs(2).lpi#1.dfm.sg2:mx0(23)} {regs.regs(2).lpi#1.dfm.sg2:mx0(24)} {regs.regs(2).lpi#1.dfm.sg2:mx0(25)} {regs.regs(2).lpi#1.dfm.sg2:mx0(26)} {regs.regs(2).lpi#1.dfm.sg2:mx0(27)} {regs.regs(2).lpi#1.dfm.sg2:mx0(28)} {regs.regs(2).lpi#1.dfm.sg2:mx0(29)} -attr xrf 32876 -attr oid 40 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(0)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(1)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(2)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(3)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(4)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(5)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(6)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(7)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(8)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(9)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(10)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(11)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(12)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(13)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(14)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(15)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(16)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(17)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(18)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(19)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(20)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(21)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(22)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(23)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(24)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(25)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(26)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(27)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(28)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm#1:mx0(29)} -attr vt d
+load netBundle {regs.regs(2).lpi#1.dfm#1:mx0} 30 {regs.regs(2).lpi#1.dfm#1:mx0(0)} {regs.regs(2).lpi#1.dfm#1:mx0(1)} {regs.regs(2).lpi#1.dfm#1:mx0(2)} {regs.regs(2).lpi#1.dfm#1:mx0(3)} {regs.regs(2).lpi#1.dfm#1:mx0(4)} {regs.regs(2).lpi#1.dfm#1:mx0(5)} {regs.regs(2).lpi#1.dfm#1:mx0(6)} {regs.regs(2).lpi#1.dfm#1:mx0(7)} {regs.regs(2).lpi#1.dfm#1:mx0(8)} {regs.regs(2).lpi#1.dfm#1:mx0(9)} {regs.regs(2).lpi#1.dfm#1:mx0(10)} {regs.regs(2).lpi#1.dfm#1:mx0(11)} {regs.regs(2).lpi#1.dfm#1:mx0(12)} {regs.regs(2).lpi#1.dfm#1:mx0(13)} {regs.regs(2).lpi#1.dfm#1:mx0(14)} {regs.regs(2).lpi#1.dfm#1:mx0(15)} {regs.regs(2).lpi#1.dfm#1:mx0(16)} {regs.regs(2).lpi#1.dfm#1:mx0(17)} {regs.regs(2).lpi#1.dfm#1:mx0(18)} {regs.regs(2).lpi#1.dfm#1:mx0(19)} {regs.regs(2).lpi#1.dfm#1:mx0(20)} {regs.regs(2).lpi#1.dfm#1:mx0(21)} {regs.regs(2).lpi#1.dfm#1:mx0(22)} {regs.regs(2).lpi#1.dfm#1:mx0(23)} {regs.regs(2).lpi#1.dfm#1:mx0(24)} {regs.regs(2).lpi#1.dfm#1:mx0(25)} {regs.regs(2).lpi#1.dfm#1:mx0(26)} {regs.regs(2).lpi#1.dfm#1:mx0(27)} {regs.regs(2).lpi#1.dfm#1:mx0(28)} {regs.regs(2).lpi#1.dfm#1:mx0(29)} -attr xrf 32877 -attr oid 41 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(1).sva.dfm:mx0(0)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(1)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(2)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(3)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(4)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(5)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(6)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(7)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(8)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(9)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(10)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(11)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(12)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(13)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(14)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(15)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(16)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(17)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(18)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(19)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(20)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(21)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(22)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(23)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(24)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(25)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(26)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(27)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(28)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(29)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(30)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(31)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(32)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(33)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(34)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(35)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(36)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(37)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(38)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(39)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(40)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(41)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(42)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(43)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(44)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(45)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(46)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(47)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(48)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(49)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(50)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(51)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(52)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(53)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(54)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(55)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(56)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(57)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(58)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(59)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(60)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(61)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(62)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(63)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(64)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(65)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(66)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(67)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(68)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(69)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(70)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(71)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(72)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(73)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(74)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(75)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(76)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(77)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(78)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(79)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(80)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(81)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(82)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(83)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(84)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(85)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(86)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(87)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(88)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(89)} -attr vt d
+load netBundle {regs.regs(1).sva.dfm:mx0} 90 {regs.regs(1).sva.dfm:mx0(0)} {regs.regs(1).sva.dfm:mx0(1)} {regs.regs(1).sva.dfm:mx0(2)} {regs.regs(1).sva.dfm:mx0(3)} {regs.regs(1).sva.dfm:mx0(4)} {regs.regs(1).sva.dfm:mx0(5)} {regs.regs(1).sva.dfm:mx0(6)} {regs.regs(1).sva.dfm:mx0(7)} {regs.regs(1).sva.dfm:mx0(8)} {regs.regs(1).sva.dfm:mx0(9)} {regs.regs(1).sva.dfm:mx0(10)} {regs.regs(1).sva.dfm:mx0(11)} {regs.regs(1).sva.dfm:mx0(12)} {regs.regs(1).sva.dfm:mx0(13)} {regs.regs(1).sva.dfm:mx0(14)} {regs.regs(1).sva.dfm:mx0(15)} {regs.regs(1).sva.dfm:mx0(16)} {regs.regs(1).sva.dfm:mx0(17)} {regs.regs(1).sva.dfm:mx0(18)} {regs.regs(1).sva.dfm:mx0(19)} {regs.regs(1).sva.dfm:mx0(20)} {regs.regs(1).sva.dfm:mx0(21)} {regs.regs(1).sva.dfm:mx0(22)} {regs.regs(1).sva.dfm:mx0(23)} {regs.regs(1).sva.dfm:mx0(24)} {regs.regs(1).sva.dfm:mx0(25)} {regs.regs(1).sva.dfm:mx0(26)} {regs.regs(1).sva.dfm:mx0(27)} {regs.regs(1).sva.dfm:mx0(28)} {regs.regs(1).sva.dfm:mx0(29)} {regs.regs(1).sva.dfm:mx0(30)} {regs.regs(1).sva.dfm:mx0(31)} {regs.regs(1).sva.dfm:mx0(32)} {regs.regs(1).sva.dfm:mx0(33)} {regs.regs(1).sva.dfm:mx0(34)} {regs.regs(1).sva.dfm:mx0(35)} {regs.regs(1).sva.dfm:mx0(36)} {regs.regs(1).sva.dfm:mx0(37)} {regs.regs(1).sva.dfm:mx0(38)} {regs.regs(1).sva.dfm:mx0(39)} {regs.regs(1).sva.dfm:mx0(40)} {regs.regs(1).sva.dfm:mx0(41)} {regs.regs(1).sva.dfm:mx0(42)} {regs.regs(1).sva.dfm:mx0(43)} {regs.regs(1).sva.dfm:mx0(44)} {regs.regs(1).sva.dfm:mx0(45)} {regs.regs(1).sva.dfm:mx0(46)} {regs.regs(1).sva.dfm:mx0(47)} {regs.regs(1).sva.dfm:mx0(48)} {regs.regs(1).sva.dfm:mx0(49)} {regs.regs(1).sva.dfm:mx0(50)} {regs.regs(1).sva.dfm:mx0(51)} {regs.regs(1).sva.dfm:mx0(52)} {regs.regs(1).sva.dfm:mx0(53)} {regs.regs(1).sva.dfm:mx0(54)} {regs.regs(1).sva.dfm:mx0(55)} {regs.regs(1).sva.dfm:mx0(56)} {regs.regs(1).sva.dfm:mx0(57)} {regs.regs(1).sva.dfm:mx0(58)} {regs.regs(1).sva.dfm:mx0(59)} {regs.regs(1).sva.dfm:mx0(60)} {regs.regs(1).sva.dfm:mx0(61)} {regs.regs(1).sva.dfm:mx0(62)} {regs.regs(1).sva.dfm:mx0(63)} {regs.regs(1).sva.dfm:mx0(64)} {regs.regs(1).sva.dfm:mx0(65)} {regs.regs(1).sva.dfm:mx0(66)} {regs.regs(1).sva.dfm:mx0(67)} {regs.regs(1).sva.dfm:mx0(68)} {regs.regs(1).sva.dfm:mx0(69)} {regs.regs(1).sva.dfm:mx0(70)} {regs.regs(1).sva.dfm:mx0(71)} {regs.regs(1).sva.dfm:mx0(72)} {regs.regs(1).sva.dfm:mx0(73)} {regs.regs(1).sva.dfm:mx0(74)} {regs.regs(1).sva.dfm:mx0(75)} {regs.regs(1).sva.dfm:mx0(76)} {regs.regs(1).sva.dfm:mx0(77)} {regs.regs(1).sva.dfm:mx0(78)} {regs.regs(1).sva.dfm:mx0(79)} {regs.regs(1).sva.dfm:mx0(80)} {regs.regs(1).sva.dfm:mx0(81)} {regs.regs(1).sva.dfm:mx0(82)} {regs.regs(1).sva.dfm:mx0(83)} {regs.regs(1).sva.dfm:mx0(84)} {regs.regs(1).sva.dfm:mx0(85)} {regs.regs(1).sva.dfm:mx0(86)} {regs.regs(1).sva.dfm:mx0(87)} {regs.regs(1).sva.dfm:mx0(88)} {regs.regs(1).sva.dfm:mx0(89)} -attr xrf 32878 -attr oid 42 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(0)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(1)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(2)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(3)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(4)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(5)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(6)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(7)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(8)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(9)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(10)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(11)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(12)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(13)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(14)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(15)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(16)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(17)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(18)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(19)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(20)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(21)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(22)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(23)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(24)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(25)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(26)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(27)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(28)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(29)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(30)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(31)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(32)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(33)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(34)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(35)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(36)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(37)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(38)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(39)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(40)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(41)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(42)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(43)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(44)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(45)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(46)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(47)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(48)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(49)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(50)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(51)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(52)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(53)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(54)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(55)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(56)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(57)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(58)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(59)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(60)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(61)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(62)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(63)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(64)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(65)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(66)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(67)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(68)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(69)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(70)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(71)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(72)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(73)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(74)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(75)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(76)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(77)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(78)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(79)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(80)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(81)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(82)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(83)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(84)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(85)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(86)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(87)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(88)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(89)} -attr vt d
+load netBundle {regs.regs(0).sva.dfm:mx0} 90 {regs.regs(0).sva.dfm:mx0(0)} {regs.regs(0).sva.dfm:mx0(1)} {regs.regs(0).sva.dfm:mx0(2)} {regs.regs(0).sva.dfm:mx0(3)} {regs.regs(0).sva.dfm:mx0(4)} {regs.regs(0).sva.dfm:mx0(5)} {regs.regs(0).sva.dfm:mx0(6)} {regs.regs(0).sva.dfm:mx0(7)} {regs.regs(0).sva.dfm:mx0(8)} {regs.regs(0).sva.dfm:mx0(9)} {regs.regs(0).sva.dfm:mx0(10)} {regs.regs(0).sva.dfm:mx0(11)} {regs.regs(0).sva.dfm:mx0(12)} {regs.regs(0).sva.dfm:mx0(13)} {regs.regs(0).sva.dfm:mx0(14)} {regs.regs(0).sva.dfm:mx0(15)} {regs.regs(0).sva.dfm:mx0(16)} {regs.regs(0).sva.dfm:mx0(17)} {regs.regs(0).sva.dfm:mx0(18)} {regs.regs(0).sva.dfm:mx0(19)} {regs.regs(0).sva.dfm:mx0(20)} {regs.regs(0).sva.dfm:mx0(21)} {regs.regs(0).sva.dfm:mx0(22)} {regs.regs(0).sva.dfm:mx0(23)} {regs.regs(0).sva.dfm:mx0(24)} {regs.regs(0).sva.dfm:mx0(25)} {regs.regs(0).sva.dfm:mx0(26)} {regs.regs(0).sva.dfm:mx0(27)} {regs.regs(0).sva.dfm:mx0(28)} {regs.regs(0).sva.dfm:mx0(29)} {regs.regs(0).sva.dfm:mx0(30)} {regs.regs(0).sva.dfm:mx0(31)} {regs.regs(0).sva.dfm:mx0(32)} {regs.regs(0).sva.dfm:mx0(33)} {regs.regs(0).sva.dfm:mx0(34)} {regs.regs(0).sva.dfm:mx0(35)} {regs.regs(0).sva.dfm:mx0(36)} {regs.regs(0).sva.dfm:mx0(37)} {regs.regs(0).sva.dfm:mx0(38)} {regs.regs(0).sva.dfm:mx0(39)} {regs.regs(0).sva.dfm:mx0(40)} {regs.regs(0).sva.dfm:mx0(41)} {regs.regs(0).sva.dfm:mx0(42)} {regs.regs(0).sva.dfm:mx0(43)} {regs.regs(0).sva.dfm:mx0(44)} {regs.regs(0).sva.dfm:mx0(45)} {regs.regs(0).sva.dfm:mx0(46)} {regs.regs(0).sva.dfm:mx0(47)} {regs.regs(0).sva.dfm:mx0(48)} {regs.regs(0).sva.dfm:mx0(49)} {regs.regs(0).sva.dfm:mx0(50)} {regs.regs(0).sva.dfm:mx0(51)} {regs.regs(0).sva.dfm:mx0(52)} {regs.regs(0).sva.dfm:mx0(53)} {regs.regs(0).sva.dfm:mx0(54)} {regs.regs(0).sva.dfm:mx0(55)} {regs.regs(0).sva.dfm:mx0(56)} {regs.regs(0).sva.dfm:mx0(57)} {regs.regs(0).sva.dfm:mx0(58)} {regs.regs(0).sva.dfm:mx0(59)} {regs.regs(0).sva.dfm:mx0(60)} {regs.regs(0).sva.dfm:mx0(61)} {regs.regs(0).sva.dfm:mx0(62)} {regs.regs(0).sva.dfm:mx0(63)} {regs.regs(0).sva.dfm:mx0(64)} {regs.regs(0).sva.dfm:mx0(65)} {regs.regs(0).sva.dfm:mx0(66)} {regs.regs(0).sva.dfm:mx0(67)} {regs.regs(0).sva.dfm:mx0(68)} {regs.regs(0).sva.dfm:mx0(69)} {regs.regs(0).sva.dfm:mx0(70)} {regs.regs(0).sva.dfm:mx0(71)} {regs.regs(0).sva.dfm:mx0(72)} {regs.regs(0).sva.dfm:mx0(73)} {regs.regs(0).sva.dfm:mx0(74)} {regs.regs(0).sva.dfm:mx0(75)} {regs.regs(0).sva.dfm:mx0(76)} {regs.regs(0).sva.dfm:mx0(77)} {regs.regs(0).sva.dfm:mx0(78)} {regs.regs(0).sva.dfm:mx0(79)} {regs.regs(0).sva.dfm:mx0(80)} {regs.regs(0).sva.dfm:mx0(81)} {regs.regs(0).sva.dfm:mx0(82)} {regs.regs(0).sva.dfm:mx0(83)} {regs.regs(0).sva.dfm:mx0(84)} {regs.regs(0).sva.dfm:mx0(85)} {regs.regs(0).sva.dfm:mx0(86)} {regs.regs(0).sva.dfm:mx0(87)} {regs.regs(0).sva.dfm:mx0(88)} {regs.regs(0).sva.dfm:mx0(89)} -attr xrf 32879 -attr oid 43 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {acc.imod#7.lpi#1.dfm:mx0(0)} -attr vt d
+load net {acc.imod#7.lpi#1.dfm:mx0(1)} -attr vt d
+load netBundle {acc.imod#7.lpi#1.dfm:mx0} 2 {acc.imod#7.lpi#1.dfm:mx0(0)} {acc.imod#7.lpi#1.dfm:mx0(1)} -attr xrf 32880 -attr oid 44 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm:mx0}
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(0)} -attr vt d
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(1)} -attr vt d
+load netBundle {acc.imod#6.lpi#1.dfm.sg1:mx0} 2 {acc.imod#6.lpi#1.dfm.sg1:mx0(0)} {acc.imod#6.lpi#1.dfm.sg1:mx0(1)} -attr xrf 32881 -attr oid 45 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1:mx0}
+load net {acc.imod#18.sva(0)} -attr vt d
+load net {acc.imod#18.sva(1)} -attr vt d
+load net {acc.imod#18.sva(2)} -attr vt d
+load netBundle {acc.imod#18.sva} 3 {acc.imod#18.sva(0)} {acc.imod#18.sva(1)} {acc.imod#18.sva(2)} -attr xrf 32882 -attr oid 46 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.sva}
+load net {ACC1:acc#118.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#118.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#118.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#118.psp#1.sva} 3 {ACC1:acc#118.psp#1.sva(0)} {ACC1:acc#118.psp#1.sva(1)} {ACC1:acc#118.psp#1.sva(2)} -attr xrf 32883 -attr oid 47 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.sva}
+load net {ACC1:acc#110.psp#2.sva(0)} -attr vt d
+load net {ACC1:acc#110.psp#2.sva(1)} -attr vt d
+load net {ACC1:acc#110.psp#2.sva(2)} -attr vt d
+load net {ACC1:acc#110.psp#2.sva(3)} -attr vt d
+load netBundle {ACC1:acc#110.psp#2.sva} 4 {ACC1:acc#110.psp#2.sva(0)} {ACC1:acc#110.psp#2.sva(1)} {ACC1:acc#110.psp#2.sva(2)} {ACC1:acc#110.psp#2.sva(3)} -attr xrf 32884 -attr oid 48 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.sva}
+load net {ACC1:acc#125.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(2)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(3)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(4)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(5)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(6)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(7)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(8)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(9)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(10)} -attr vt d
+load net {ACC1:acc#125.psp#1.sva(11)} -attr vt d
+load netBundle {ACC1:acc#125.psp#1.sva} 12 {ACC1:acc#125.psp#1.sva(0)} {ACC1:acc#125.psp#1.sva(1)} {ACC1:acc#125.psp#1.sva(2)} {ACC1:acc#125.psp#1.sva(3)} {ACC1:acc#125.psp#1.sva(4)} {ACC1:acc#125.psp#1.sva(5)} {ACC1:acc#125.psp#1.sva(6)} {ACC1:acc#125.psp#1.sva(7)} {ACC1:acc#125.psp#1.sva(8)} {ACC1:acc#125.psp#1.sva(9)} {ACC1:acc#125.psp#1.sva(10)} {ACC1:acc#125.psp#1.sva(11)} -attr xrf 32885 -attr oid 49 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#110.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#110.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#110.psp#1.sva(2)} -attr vt d
+load net {ACC1:acc#110.psp#1.sva(3)} -attr vt d
+load netBundle {ACC1:acc#110.psp#1.sva} 4 {ACC1:acc#110.psp#1.sva(0)} {ACC1:acc#110.psp#1.sva(1)} {ACC1:acc#110.psp#1.sva(2)} {ACC1:acc#110.psp#1.sva(3)} -attr xrf 32886 -attr oid 50 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.sva}
+load net {ACC1:acc#125.psp.sva(0)} -attr vt d
+load net {ACC1:acc#125.psp.sva(1)} -attr vt d
+load net {ACC1:acc#125.psp.sva(2)} -attr vt d
+load net {ACC1:acc#125.psp.sva(3)} -attr vt d
+load net {ACC1:acc#125.psp.sva(4)} -attr vt d
+load net {ACC1:acc#125.psp.sva(5)} -attr vt d
+load net {ACC1:acc#125.psp.sva(6)} -attr vt d
+load net {ACC1:acc#125.psp.sva(7)} -attr vt d
+load net {ACC1:acc#125.psp.sva(8)} -attr vt d
+load net {ACC1:acc#125.psp.sva(9)} -attr vt d
+load net {ACC1:acc#125.psp.sva(10)} -attr vt d
+load net {ACC1:acc#125.psp.sva(11)} -attr vt d
+load netBundle {ACC1:acc#125.psp.sva} 12 {ACC1:acc#125.psp.sva(0)} {ACC1:acc#125.psp.sva(1)} {ACC1:acc#125.psp.sva(2)} {ACC1:acc#125.psp.sva(3)} {ACC1:acc#125.psp.sva(4)} {ACC1:acc#125.psp.sva(5)} {ACC1:acc#125.psp.sva(6)} {ACC1:acc#125.psp.sva(7)} {ACC1:acc#125.psp.sva(8)} {ACC1:acc#125.psp.sva(9)} {ACC1:acc#125.psp.sva(10)} {ACC1:acc#125.psp.sva(11)} -attr xrf 32887 -attr oid 51 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#118.psp.sva(0)} -attr vt d
+load net {ACC1:acc#118.psp.sva(1)} -attr vt d
+load net {ACC1:acc#118.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#118.psp.sva} 3 {ACC1:acc#118.psp.sva(0)} {ACC1:acc#118.psp.sva(1)} {ACC1:acc#118.psp.sva(2)} -attr xrf 32888 -attr oid 52 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.sva}
+load net {acc.imod#6.sva(0)} -attr vt d
+load net {acc.imod#6.sva(1)} -attr vt d
+load net {acc.imod#6.sva(2)} -attr vt d
+load netBundle {acc.imod#6.sva} 3 {acc.imod#6.sva(0)} {acc.imod#6.sva(1)} {acc.imod#6.sva(2)} -attr xrf 32889 -attr oid 53 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.sva}
+load net {ACC1:acc#120.psp.sva(0)} -attr vt d
+load net {ACC1:acc#120.psp.sva(1)} -attr vt d
+load net {ACC1:acc#120.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#120.psp.sva} 3 {ACC1:acc#120.psp.sva(0)} {ACC1:acc#120.psp.sva(1)} {ACC1:acc#120.psp.sva(2)} -attr xrf 32890 -attr oid 54 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#120.psp.sva}
+load net {ACC1:acc#250.cse(0)} -attr vt d
+load net {ACC1:acc#250.cse(1)} -attr vt d
+load net {ACC1:acc#250.cse(2)} -attr vt d
+load netBundle {ACC1:acc#250.cse} 3 {ACC1:acc#250.cse(0)} {ACC1:acc#250.cse(1)} {ACC1:acc#250.cse(2)} -attr xrf 32891 -attr oid 55 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#120.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#120.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#120.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#120.psp#1.sva} 3 {ACC1:acc#120.psp#1.sva(0)} {ACC1:acc#120.psp#1.sva(1)} {ACC1:acc#120.psp#1.sva(2)} -attr xrf 32892 -attr oid 56 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#120.psp#1.sva}
+load net {ACC1:acc#277.cse(0)} -attr vt d
+load net {ACC1:acc#277.cse(1)} -attr vt d
+load net {ACC1:acc#277.cse(2)} -attr vt d
+load netBundle {ACC1:acc#277.cse} 3 {ACC1:acc#277.cse(0)} {ACC1:acc#277.cse(1)} {ACC1:acc#277.cse(2)} -attr xrf 32893 -attr oid 57 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#116.psp.sva(0)} -attr vt d
+load net {ACC1:acc#116.psp.sva(1)} -attr vt d
+load net {ACC1:acc#116.psp.sva(2)} -attr vt d
+load netBundle {ACC1:acc#116.psp.sva} 3 {ACC1:acc#116.psp.sva(0)} {ACC1:acc#116.psp.sva(1)} {ACC1:acc#116.psp.sva(2)} -attr xrf 32894 -attr oid 58 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp.sva}
+load net {ACC1:acc#197.cse(0)} -attr vt d
+load net {ACC1:acc#197.cse(1)} -attr vt d
+load net {ACC1:acc#197.cse(2)} -attr vt d
+load netBundle {ACC1:acc#197.cse} 3 {ACC1:acc#197.cse(0)} {ACC1:acc#197.cse(1)} {ACC1:acc#197.cse(2)} -attr xrf 32895 -attr oid 59 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#116.psp#1.sva(0)} -attr vt d
+load net {ACC1:acc#116.psp#1.sva(1)} -attr vt d
+load net {ACC1:acc#116.psp#1.sva(2)} -attr vt d
+load netBundle {ACC1:acc#116.psp#1.sva} 3 {ACC1:acc#116.psp#1.sva(0)} {ACC1:acc#116.psp#1.sva(1)} {ACC1:acc#116.psp#1.sva(2)} -attr xrf 32896 -attr oid 60 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp#1.sva}
+load net {ACC1:acc#224.cse(0)} -attr vt d
+load net {ACC1:acc#224.cse(1)} -attr vt d
+load net {ACC1:acc#224.cse(2)} -attr vt d
+load netBundle {ACC1:acc#224.cse} 3 {ACC1:acc#224.cse(0)} {ACC1:acc#224.cse(1)} {ACC1:acc#224.cse(2)} -attr xrf 32897 -attr oid 61 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {FRAME:p#1.lpi#1.dfm(0)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(1)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(2)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(3)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(4)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(5)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(6)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(7)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(8)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(9)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(10)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(11)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(12)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(13)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(14)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(15)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(16)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(17)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(18)} -attr vt d
+load netBundle {FRAME:p#1.lpi#1.dfm} 19 {FRAME:p#1.lpi#1.dfm(0)} {FRAME:p#1.lpi#1.dfm(1)} {FRAME:p#1.lpi#1.dfm(2)} {FRAME:p#1.lpi#1.dfm(3)} {FRAME:p#1.lpi#1.dfm(4)} {FRAME:p#1.lpi#1.dfm(5)} {FRAME:p#1.lpi#1.dfm(6)} {FRAME:p#1.lpi#1.dfm(7)} {FRAME:p#1.lpi#1.dfm(8)} {FRAME:p#1.lpi#1.dfm(9)} {FRAME:p#1.lpi#1.dfm(10)} {FRAME:p#1.lpi#1.dfm(11)} {FRAME:p#1.lpi#1.dfm(12)} {FRAME:p#1.lpi#1.dfm(13)} {FRAME:p#1.lpi#1.dfm(14)} {FRAME:p#1.lpi#1.dfm(15)} {FRAME:p#1.lpi#1.dfm(16)} {FRAME:p#1.lpi#1.dfm(17)} {FRAME:p#1.lpi#1.dfm(18)} -attr xrf 32898 -attr oid 62 -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(0)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(1)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(2)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(3)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(5)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(7)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(9)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} -attr vt d
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -attr vt d
+load netBundle {ACC1:acc#125.psp#1.lpi#1.dfm:mx0} 12 {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(0)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(1)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(2)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(3)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(5)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(7)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(9)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -attr xrf 32899 -attr oid 63 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(0)} -attr vt d
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(1)} -attr vt d
+load netBundle {acc.imod#18.lpi#1.dfm.sg1:mx0} 2 {acc.imod#18.lpi#1.dfm.sg1:mx0(0)} {acc.imod#18.lpi#1.dfm.sg1:mx0(1)} -attr xrf 32900 -attr oid 64 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(0)} -attr vt d
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(1)} -attr vt d
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(2)} -attr vt d
+load netBundle {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0} 3 {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(0)} {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(1)} {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(2)} -attr xrf 32901 -attr oid 65 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load net {acc.imod#20.lpi#1.dfm:mx0(0)} -attr vt d
+load net {acc.imod#20.lpi#1.dfm:mx0(1)} -attr vt d
+load netBundle {acc.imod#20.lpi#1.dfm:mx0} 2 {acc.imod#20.lpi#1.dfm:mx0(0)} {acc.imod#20.lpi#1.dfm:mx0(1)} -attr xrf 32902 -attr oid 66 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm:mx0}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(0)} -attr vt d
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(1)} -attr vt d
+load netBundle {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0} 2 {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(0)} {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(1)} -attr xrf 32903 -attr oid 67 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0}
+load net {FRAME:for:conc#16(0)} -attr vt d
+load net {FRAME:for:conc#16(1)} -attr vt d
+load netBundle {FRAME:for:conc#16} 2 {FRAME:for:conc#16(0)} {FRAME:for:conc#16(1)} -attr xrf 32904 -attr oid 68 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {mux.itm(0)} -attr vt d
+load net {mux.itm(1)} -attr vt d
+load net {mux.itm(2)} -attr vt d
+load net {mux.itm(3)} -attr vt d
+load net {mux.itm(4)} -attr vt d
+load net {mux.itm(5)} -attr vt d
+load net {mux.itm(6)} -attr vt d
+load net {mux.itm(7)} -attr vt d
+load net {mux.itm(8)} -attr vt d
+load net {mux.itm(9)} -attr vt d
+load net {mux.itm(10)} -attr vt d
+load net {mux.itm(11)} -attr vt d
+load net {mux.itm(12)} -attr vt d
+load net {mux.itm(13)} -attr vt d
+load net {mux.itm(14)} -attr vt d
+load net {mux.itm(15)} -attr vt d
+load net {mux.itm(16)} -attr vt d
+load net {mux.itm(17)} -attr vt d
+load net {mux.itm(18)} -attr vt d
+load net {mux.itm(19)} -attr vt d
+load net {mux.itm(20)} -attr vt d
+load net {mux.itm(21)} -attr vt d
+load net {mux.itm(22)} -attr vt d
+load net {mux.itm(23)} -attr vt d
+load net {mux.itm(24)} -attr vt d
+load net {mux.itm(25)} -attr vt d
+load net {mux.itm(26)} -attr vt d
+load net {mux.itm(27)} -attr vt d
+load net {mux.itm(28)} -attr vt d
+load net {mux.itm(29)} -attr vt d
+load netBundle {mux.itm} 30 {mux.itm(0)} {mux.itm(1)} {mux.itm(2)} {mux.itm(3)} {mux.itm(4)} {mux.itm(5)} {mux.itm(6)} {mux.itm(7)} {mux.itm(8)} {mux.itm(9)} {mux.itm(10)} {mux.itm(11)} {mux.itm(12)} {mux.itm(13)} {mux.itm(14)} {mux.itm(15)} {mux.itm(16)} {mux.itm(17)} {mux.itm(18)} {mux.itm(19)} {mux.itm(20)} {mux.itm(21)} {mux.itm(22)} {mux.itm(23)} {mux.itm(24)} {mux.itm(25)} {mux.itm(26)} {mux.itm(27)} {mux.itm(28)} {mux.itm(29)} -attr xrf 32905 -attr oid 69 -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {FRAME:conc#11.itm(0)} -attr vt d
+load net {FRAME:conc#11.itm(1)} -attr vt d
+load net {FRAME:conc#11.itm(2)} -attr vt d
+load net {FRAME:conc#11.itm(3)} -attr vt d
+load net {FRAME:conc#11.itm(4)} -attr vt d
+load net {FRAME:conc#11.itm(5)} -attr vt d
+load net {FRAME:conc#11.itm(6)} -attr vt d
+load net {FRAME:conc#11.itm(7)} -attr vt d
+load net {FRAME:conc#11.itm(8)} -attr vt d
+load net {FRAME:conc#11.itm(9)} -attr vt d
+load net {FRAME:conc#11.itm(10)} -attr vt d
+load net {FRAME:conc#11.itm(11)} -attr vt d
+load net {FRAME:conc#11.itm(12)} -attr vt d
+load net {FRAME:conc#11.itm(13)} -attr vt d
+load net {FRAME:conc#11.itm(14)} -attr vt d
+load net {FRAME:conc#11.itm(15)} -attr vt d
+load net {FRAME:conc#11.itm(16)} -attr vt d
+load net {FRAME:conc#11.itm(17)} -attr vt d
+load net {FRAME:conc#11.itm(18)} -attr vt d
+load net {FRAME:conc#11.itm(19)} -attr vt d
+load net {FRAME:conc#11.itm(20)} -attr vt d
+load net {FRAME:conc#11.itm(21)} -attr vt d
+load net {FRAME:conc#11.itm(22)} -attr vt d
+load net {FRAME:conc#11.itm(23)} -attr vt d
+load net {FRAME:conc#11.itm(24)} -attr vt d
+load net {FRAME:conc#11.itm(25)} -attr vt d
+load net {FRAME:conc#11.itm(26)} -attr vt d
+load net {FRAME:conc#11.itm(27)} -attr vt d
+load net {FRAME:conc#11.itm(28)} -attr vt d
+load net {FRAME:conc#11.itm(29)} -attr vt d
+load netBundle {FRAME:conc#11.itm} 30 {FRAME:conc#11.itm(0)} {FRAME:conc#11.itm(1)} {FRAME:conc#11.itm(2)} {FRAME:conc#11.itm(3)} {FRAME:conc#11.itm(4)} {FRAME:conc#11.itm(5)} {FRAME:conc#11.itm(6)} {FRAME:conc#11.itm(7)} {FRAME:conc#11.itm(8)} {FRAME:conc#11.itm(9)} {FRAME:conc#11.itm(10)} {FRAME:conc#11.itm(11)} {FRAME:conc#11.itm(12)} {FRAME:conc#11.itm(13)} {FRAME:conc#11.itm(14)} {FRAME:conc#11.itm(15)} {FRAME:conc#11.itm(16)} {FRAME:conc#11.itm(17)} {FRAME:conc#11.itm(18)} {FRAME:conc#11.itm(19)} {FRAME:conc#11.itm(20)} {FRAME:conc#11.itm(21)} {FRAME:conc#11.itm(22)} {FRAME:conc#11.itm(23)} {FRAME:conc#11.itm(24)} {FRAME:conc#11.itm(25)} {FRAME:conc#11.itm(26)} {FRAME:conc#11.itm(27)} {FRAME:conc#11.itm(28)} {FRAME:conc#11.itm(29)} -attr xrf 32906 -attr oid 70 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(0)} -attr vt d
+load net {FRAME:or.itm(1)} -attr vt d
+load net {FRAME:or.itm(2)} -attr vt d
+load net {FRAME:or.itm(3)} -attr vt d
+load net {FRAME:or.itm(4)} -attr vt d
+load net {FRAME:or.itm(5)} -attr vt d
+load net {FRAME:or.itm(6)} -attr vt d
+load net {FRAME:or.itm(7)} -attr vt d
+load net {FRAME:or.itm(8)} -attr vt d
+load net {FRAME:or.itm(9)} -attr vt d
+load netBundle {FRAME:or.itm} 10 {FRAME:or.itm(0)} {FRAME:or.itm(1)} {FRAME:or.itm(2)} {FRAME:or.itm(3)} {FRAME:or.itm(4)} {FRAME:or.itm(5)} {FRAME:or.itm(6)} {FRAME:or.itm(7)} {FRAME:or.itm(8)} {FRAME:or.itm(9)} -attr xrf 32907 -attr oid 71 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(3)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(4)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(5)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(6)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(7)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(8)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#4.itm(9)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#4.itm} 10 {slc(FRAME:acc#2.psp.sva)#4.itm(0)} {slc(FRAME:acc#2.psp.sva)#4.itm(1)} {slc(FRAME:acc#2.psp.sva)#4.itm(2)} {slc(FRAME:acc#2.psp.sva)#4.itm(3)} {slc(FRAME:acc#2.psp.sva)#4.itm(4)} {slc(FRAME:acc#2.psp.sva)#4.itm(5)} {slc(FRAME:acc#2.psp.sva)#4.itm(6)} {slc(FRAME:acc#2.psp.sva)#4.itm(7)} {slc(FRAME:acc#2.psp.sva)#4.itm(8)} {slc(FRAME:acc#2.psp.sva)#4.itm(9)} -attr xrf 32908 -attr oid 72 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {conc.itm(0)} -attr vt d
+load net {conc.itm(1)} -attr vt d
+load net {conc.itm(2)} -attr vt d
+load net {conc.itm(3)} -attr vt d
+load net {conc.itm(4)} -attr vt d
+load net {conc.itm(5)} -attr vt d
+load net {conc.itm(6)} -attr vt d
+load net {conc.itm(7)} -attr vt d
+load net {conc.itm(8)} -attr vt d
+load net {conc.itm(9)} -attr vt d
+load netBundle {conc.itm} 10 {conc.itm(0)} {conc.itm(1)} {conc.itm(2)} {conc.itm(3)} {conc.itm(4)} {conc.itm(5)} {conc.itm(6)} {conc.itm(7)} {conc.itm(8)} {conc.itm(9)} -attr xrf 32909 -attr oid 73 -attr vt d -attr @path {/sobel/sobel:core/conc.itm}
+load net {slc(FRAME:acc#2.psp.sva)#5.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#5.itm(1)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#5.itm} 2 {slc(FRAME:acc#2.psp.sva)#5.itm(0)} {slc(FRAME:acc#2.psp.sva)#5.itm(1)} -attr xrf 32910 -attr oid 74 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#5.itm}
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#2.itm(3)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#2.itm} 4 {slc(FRAME:acc#2.psp.sva)#2.itm(0)} {slc(FRAME:acc#2.psp.sva)#2.itm(1)} {slc(FRAME:acc#2.psp.sva)#2.itm(2)} {slc(FRAME:acc#2.psp.sva)#2.itm(3)} -attr xrf 32911 -attr oid 75 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#2.itm}
+load net {FRAME:or#3.itm(0)} -attr vt d
+load net {FRAME:or#3.itm(1)} -attr vt d
+load net {FRAME:or#3.itm(2)} -attr vt d
+load net {FRAME:or#3.itm(3)} -attr vt d
+load net {FRAME:or#3.itm(4)} -attr vt d
+load net {FRAME:or#3.itm(5)} -attr vt d
+load netBundle {FRAME:or#3.itm} 6 {FRAME:or#3.itm(0)} {FRAME:or#3.itm(1)} {FRAME:or#3.itm(2)} {FRAME:or#3.itm(3)} {FRAME:or#3.itm(4)} {FRAME:or#3.itm(5)} -attr xrf 32912 -attr oid 76 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(3)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(4)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#3.itm(5)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#3.itm} 6 {slc(FRAME:acc#2.psp.sva)#3.itm(0)} {slc(FRAME:acc#2.psp.sva)#3.itm(1)} {slc(FRAME:acc#2.psp.sva)#3.itm(2)} {slc(FRAME:acc#2.psp.sva)#3.itm(3)} {slc(FRAME:acc#2.psp.sva)#3.itm(4)} {slc(FRAME:acc#2.psp.sva)#3.itm(5)} -attr xrf 32913 -attr oid 77 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {conc#589.itm(0)} -attr vt d
+load net {conc#589.itm(1)} -attr vt d
+load net {conc#589.itm(2)} -attr vt d
+load net {conc#589.itm(3)} -attr vt d
+load net {conc#589.itm(4)} -attr vt d
+load net {conc#589.itm(5)} -attr vt d
+load netBundle {conc#589.itm} 6 {conc#589.itm(0)} {conc#589.itm(1)} {conc#589.itm(2)} {conc#589.itm(3)} {conc#589.itm(4)} {conc#589.itm(5)} -attr xrf 32914 -attr oid 78 -attr vt d -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {slc(FRAME:acc#2.psp.sva)#1.itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva)#1.itm(1)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva)#1.itm} 2 {slc(FRAME:acc#2.psp.sva)#1.itm(0)} {slc(FRAME:acc#2.psp.sva)#1.itm(1)} -attr xrf 32915 -attr oid 79 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#1.itm}
+load net {slc(FRAME:acc#2.psp.sva).itm(0)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(1)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(2)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(3)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(4)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(5)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(6)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(7)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(8)} -attr vt d
+load net {slc(FRAME:acc#2.psp.sva).itm(9)} -attr vt d
+load netBundle {slc(FRAME:acc#2.psp.sva).itm} 10 {slc(FRAME:acc#2.psp.sva).itm(0)} {slc(FRAME:acc#2.psp.sva).itm(1)} {slc(FRAME:acc#2.psp.sva).itm(2)} {slc(FRAME:acc#2.psp.sva).itm(3)} {slc(FRAME:acc#2.psp.sva).itm(4)} {slc(FRAME:acc#2.psp.sva).itm(5)} {slc(FRAME:acc#2.psp.sva).itm(6)} {slc(FRAME:acc#2.psp.sva).itm(7)} {slc(FRAME:acc#2.psp.sva).itm(8)} {slc(FRAME:acc#2.psp.sva).itm(9)} -attr xrf 32916 -attr oid 80 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva).itm}
+load net {mux#1.itm(0)} -attr vt d
+load net {mux#1.itm(1)} -attr vt d
+load net {mux#1.itm(2)} -attr vt d
+load net {mux#1.itm(3)} -attr vt d
+load net {mux#1.itm(4)} -attr vt d
+load net {mux#1.itm(5)} -attr vt d
+load net {mux#1.itm(6)} -attr vt d
+load net {mux#1.itm(7)} -attr vt d
+load net {mux#1.itm(8)} -attr vt d
+load net {mux#1.itm(9)} -attr vt d
+load net {mux#1.itm(10)} -attr vt d
+load net {mux#1.itm(11)} -attr vt d
+load net {mux#1.itm(12)} -attr vt d
+load net {mux#1.itm(13)} -attr vt d
+load net {mux#1.itm(14)} -attr vt d
+load net {mux#1.itm(15)} -attr vt d
+load netBundle {mux#1.itm} 16 {mux#1.itm(0)} {mux#1.itm(1)} {mux#1.itm(2)} {mux#1.itm(3)} {mux#1.itm(4)} {mux#1.itm(5)} {mux#1.itm(6)} {mux#1.itm(7)} {mux#1.itm(8)} {mux#1.itm(9)} {mux#1.itm(10)} {mux#1.itm(11)} {mux#1.itm(12)} {mux#1.itm(13)} {mux#1.itm(14)} {mux#1.itm(15)} -attr xrf 32917 -attr oid 81 -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {ACC1:acc#341.itm(0)} -attr vt d
+load net {ACC1:acc#341.itm(1)} -attr vt d
+load net {ACC1:acc#341.itm(2)} -attr vt d
+load net {ACC1:acc#341.itm(3)} -attr vt d
+load net {ACC1:acc#341.itm(4)} -attr vt d
+load net {ACC1:acc#341.itm(5)} -attr vt d
+load net {ACC1:acc#341.itm(6)} -attr vt d
+load net {ACC1:acc#341.itm(7)} -attr vt d
+load net {ACC1:acc#341.itm(8)} -attr vt d
+load net {ACC1:acc#341.itm(9)} -attr vt d
+load net {ACC1:acc#341.itm(10)} -attr vt d
+load net {ACC1:acc#341.itm(11)} -attr vt d
+load net {ACC1:acc#341.itm(12)} -attr vt d
+load netBundle {ACC1:acc#341.itm} 13 {ACC1:acc#341.itm(0)} {ACC1:acc#341.itm(1)} {ACC1:acc#341.itm(2)} {ACC1:acc#341.itm(3)} {ACC1:acc#341.itm(4)} {ACC1:acc#341.itm(5)} {ACC1:acc#341.itm(6)} {ACC1:acc#341.itm(7)} {ACC1:acc#341.itm(8)} {ACC1:acc#341.itm(9)} {ACC1:acc#341.itm(10)} {ACC1:acc#341.itm(11)} {ACC1:acc#341.itm(12)} -attr xrf 32918 -attr oid 82 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#340.itm(0)} -attr vt d
+load net {ACC1:acc#340.itm(1)} -attr vt d
+load net {ACC1:acc#340.itm(2)} -attr vt d
+load net {ACC1:acc#340.itm(3)} -attr vt d
+load net {ACC1:acc#340.itm(4)} -attr vt d
+load net {ACC1:acc#340.itm(5)} -attr vt d
+load net {ACC1:acc#340.itm(6)} -attr vt d
+load net {ACC1:acc#340.itm(7)} -attr vt d
+load net {ACC1:acc#340.itm(8)} -attr vt d
+load net {ACC1:acc#340.itm(9)} -attr vt d
+load net {ACC1:acc#340.itm(10)} -attr vt d
+load net {ACC1:acc#340.itm(11)} -attr vt d
+load net {ACC1:acc#340.itm(12)} -attr vt d
+load netBundle {ACC1:acc#340.itm} 13 {ACC1:acc#340.itm(0)} {ACC1:acc#340.itm(1)} {ACC1:acc#340.itm(2)} {ACC1:acc#340.itm(3)} {ACC1:acc#340.itm(4)} {ACC1:acc#340.itm(5)} {ACC1:acc#340.itm(6)} {ACC1:acc#340.itm(7)} {ACC1:acc#340.itm(8)} {ACC1:acc#340.itm(9)} {ACC1:acc#340.itm(10)} {ACC1:acc#340.itm(11)} {ACC1:acc#340.itm(12)} -attr xrf 32919 -attr oid 83 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:mul#20.itm(0)} -attr vt d
+load net {ACC1:mul#20.itm(1)} -attr vt d
+load net {ACC1:mul#20.itm(2)} -attr vt d
+load net {ACC1:mul#20.itm(3)} -attr vt d
+load net {ACC1:mul#20.itm(4)} -attr vt d
+load net {ACC1:mul#20.itm(5)} -attr vt d
+load net {ACC1:mul#20.itm(6)} -attr vt d
+load net {ACC1:mul#20.itm(7)} -attr vt d
+load net {ACC1:mul#20.itm(8)} -attr vt d
+load net {ACC1:mul#20.itm(9)} -attr vt d
+load net {ACC1:mul#20.itm(10)} -attr vt d
+load net {ACC1:mul#20.itm(11)} -attr vt d
+load net {ACC1:mul#20.itm(12)} -attr vt d
+load netBundle {ACC1:mul#20.itm} 13 {ACC1:mul#20.itm(0)} {ACC1:mul#20.itm(1)} {ACC1:mul#20.itm(2)} {ACC1:mul#20.itm(3)} {ACC1:mul#20.itm(4)} {ACC1:mul#20.itm(5)} {ACC1:mul#20.itm(6)} {ACC1:mul#20.itm(7)} {ACC1:mul#20.itm(8)} {ACC1:mul#20.itm(9)} {ACC1:mul#20.itm(10)} {ACC1:mul#20.itm(11)} {ACC1:mul#20.itm(12)} -attr xrf 32920 -attr oid 84 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC2:acc#5.itm(0)} -attr vt d
+load net {ACC2:acc#5.itm(1)} -attr vt d
+load netBundle {ACC2:acc#5.itm} 2 {ACC2:acc#5.itm(0)} {ACC2:acc#5.itm(1)} -attr xrf 32921 -attr oid 85 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#5.itm}
+load net {ACC1:acc#338.itm(0)} -attr vt d
+load net {ACC1:acc#338.itm(1)} -attr vt d
+load net {ACC1:acc#338.itm(2)} -attr vt d
+load net {ACC1:acc#338.itm(3)} -attr vt d
+load net {ACC1:acc#338.itm(4)} -attr vt d
+load net {ACC1:acc#338.itm(5)} -attr vt d
+load net {ACC1:acc#338.itm(6)} -attr vt d
+load net {ACC1:acc#338.itm(7)} -attr vt d
+load net {ACC1:acc#338.itm(8)} -attr vt d
+load net {ACC1:acc#338.itm(9)} -attr vt d
+load netBundle {ACC1:acc#338.itm} 10 {ACC1:acc#338.itm(0)} {ACC1:acc#338.itm(1)} {ACC1:acc#338.itm(2)} {ACC1:acc#338.itm(3)} {ACC1:acc#338.itm(4)} {ACC1:acc#338.itm(5)} {ACC1:acc#338.itm(6)} {ACC1:acc#338.itm(7)} {ACC1:acc#338.itm(8)} {ACC1:acc#338.itm(9)} -attr xrf 32922 -attr oid 86 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#336.itm(0)} -attr vt d
+load net {ACC1:acc#336.itm(1)} -attr vt d
+load net {ACC1:acc#336.itm(2)} -attr vt d
+load net {ACC1:acc#336.itm(3)} -attr vt d
+load net {ACC1:acc#336.itm(4)} -attr vt d
+load net {ACC1:acc#336.itm(5)} -attr vt d
+load net {ACC1:acc#336.itm(6)} -attr vt d
+load net {ACC1:acc#336.itm(7)} -attr vt d
+load net {ACC1:acc#336.itm(8)} -attr vt d
+load net {ACC1:acc#336.itm(9)} -attr vt d
+load netBundle {ACC1:acc#336.itm} 10 {ACC1:acc#336.itm(0)} {ACC1:acc#336.itm(1)} {ACC1:acc#336.itm(2)} {ACC1:acc#336.itm(3)} {ACC1:acc#336.itm(4)} {ACC1:acc#336.itm(5)} {ACC1:acc#336.itm(6)} {ACC1:acc#336.itm(7)} {ACC1:acc#336.itm(8)} {ACC1:acc#336.itm(9)} -attr xrf 32923 -attr oid 87 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:conc.itm(0)} -attr vt d
+load net {ACC1:conc.itm(1)} -attr vt d
+load net {ACC1:conc.itm(2)} -attr vt d
+load net {ACC1:conc.itm(3)} -attr vt d
+load net {ACC1:conc.itm(4)} -attr vt d
+load net {ACC1:conc.itm(5)} -attr vt d
+load net {ACC1:conc.itm(6)} -attr vt d
+load net {ACC1:conc.itm(7)} -attr vt d
+load net {ACC1:conc.itm(8)} -attr vt d
+load netBundle {ACC1:conc.itm} 9 {ACC1:conc.itm(0)} {ACC1:conc.itm(1)} {ACC1:conc.itm(2)} {ACC1:conc.itm(3)} {ACC1:conc.itm(4)} {ACC1:conc.itm(5)} {ACC1:conc.itm(6)} {ACC1:conc.itm(7)} {ACC1:conc.itm(8)} -attr xrf 32924 -attr oid 88 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(0)} -attr vt d
+load net {ACC1:mul#21.itm(1)} -attr vt d
+load net {ACC1:mul#21.itm(2)} -attr vt d
+load net {ACC1:mul#21.itm(3)} -attr vt d
+load net {ACC1:mul#21.itm(4)} -attr vt d
+load net {ACC1:mul#21.itm(5)} -attr vt d
+load netBundle {ACC1:mul#21.itm} 6 {ACC1:mul#21.itm(0)} {ACC1:mul#21.itm(1)} {ACC1:mul#21.itm(2)} {ACC1:mul#21.itm(3)} {ACC1:mul#21.itm(4)} {ACC1:mul#21.itm(5)} -attr xrf 32925 -attr oid 89 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load net {ACC2:acc#6.itm(0)} -attr vt d
+load net {ACC2:acc#6.itm(1)} -attr vt d
+load netBundle {ACC2:acc#6.itm} 2 {ACC2:acc#6.itm(0)} {ACC2:acc#6.itm(1)} -attr xrf 32926 -attr oid 90 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#6.itm}
+load net {ACC1-3:exs#563.itm(0)} -attr vt d
+load net {ACC1-3:exs#563.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#563.itm} 2 {ACC1-3:exs#563.itm(0)} {ACC1-3:exs#563.itm(1)} -attr xrf 32927 -attr oid 91 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#563.itm}
+load net {ACC1:acc#334.itm(0)} -attr vt d
+load net {ACC1:acc#334.itm(1)} -attr vt d
+load net {ACC1:acc#334.itm(2)} -attr vt d
+load net {ACC1:acc#334.itm(3)} -attr vt d
+load net {ACC1:acc#334.itm(4)} -attr vt d
+load net {ACC1:acc#334.itm(5)} -attr vt d
+load net {ACC1:acc#334.itm(6)} -attr vt d
+load net {ACC1:acc#334.itm(7)} -attr vt d
+load netBundle {ACC1:acc#334.itm} 8 {ACC1:acc#334.itm(0)} {ACC1:acc#334.itm(1)} {ACC1:acc#334.itm(2)} {ACC1:acc#334.itm(3)} {ACC1:acc#334.itm(4)} {ACC1:acc#334.itm(5)} {ACC1:acc#334.itm(6)} {ACC1:acc#334.itm(7)} -attr xrf 32928 -attr oid 92 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:mul#18.itm(0)} -attr vt d
+load net {ACC1:mul#18.itm(1)} -attr vt d
+load net {ACC1:mul#18.itm(2)} -attr vt d
+load net {ACC1:mul#18.itm(3)} -attr vt d
+load net {ACC1:mul#18.itm(4)} -attr vt d
+load net {ACC1:mul#18.itm(5)} -attr vt d
+load net {ACC1:mul#18.itm(6)} -attr vt d
+load net {ACC1:mul#18.itm(7)} -attr vt d
+load netBundle {ACC1:mul#18.itm} 8 {ACC1:mul#18.itm(0)} {ACC1:mul#18.itm(1)} {ACC1:mul#18.itm(2)} {ACC1:mul#18.itm(3)} {ACC1:mul#18.itm(4)} {ACC1:mul#18.itm(5)} {ACC1:mul#18.itm(6)} {ACC1:mul#18.itm(7)} -attr xrf 32929 -attr oid 93 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC2:acc#3.itm(0)} -attr vt d
+load net {ACC2:acc#3.itm(1)} -attr vt d
+load netBundle {ACC2:acc#3.itm} 2 {ACC2:acc#3.itm(0)} {ACC2:acc#3.itm(1)} -attr xrf 32930 -attr oid 94 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#3.itm}
+load net {ACC1:acc#330.itm(0)} -attr vt d
+load net {ACC1:acc#330.itm(1)} -attr vt d
+load net {ACC1:acc#330.itm(2)} -attr vt d
+load net {ACC1:acc#330.itm(3)} -attr vt d
+load net {ACC1:acc#330.itm(4)} -attr vt d
+load net {ACC1:acc#330.itm(5)} -attr vt d
+load net {ACC1:acc#330.itm(6)} -attr vt d
+load netBundle {ACC1:acc#330.itm} 7 {ACC1:acc#330.itm(0)} {ACC1:acc#330.itm(1)} {ACC1:acc#330.itm(2)} {ACC1:acc#330.itm(3)} {ACC1:acc#330.itm(4)} {ACC1:acc#330.itm(5)} {ACC1:acc#330.itm(6)} -attr xrf 32931 -attr oid 95 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:mul.itm(0)} -attr vt d
+load net {ACC1:mul.itm(1)} -attr vt d
+load net {ACC1:mul.itm(2)} -attr vt d
+load net {ACC1:mul.itm(3)} -attr vt d
+load net {ACC1:mul.itm(4)} -attr vt d
+load net {ACC1:mul.itm(5)} -attr vt d
+load netBundle {ACC1:mul.itm} 6 {ACC1:mul.itm(0)} {ACC1:mul.itm(1)} {ACC1:mul.itm(2)} {ACC1:mul.itm(3)} {ACC1:mul.itm(4)} {ACC1:mul.itm(5)} -attr xrf 32932 -attr oid 96 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC2:acc.itm(0)} -attr vt d
+load net {ACC2:acc.itm(1)} -attr vt d
+load netBundle {ACC2:acc.itm} 2 {ACC2:acc.itm(0)} {ACC2:acc.itm(1)} -attr xrf 32933 -attr oid 97 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {conc#590.itm(0)} -attr vt d
+load net {conc#590.itm(1)} -attr vt d
+load net {conc#590.itm(2)} -attr vt d
+load net {conc#590.itm(3)} -attr vt d
+load net {conc#590.itm(4)} -attr vt d
+load net {conc#590.itm(5)} -attr vt d
+load netBundle {conc#590.itm} 6 {conc#590.itm(0)} {conc#590.itm(1)} {conc#590.itm(2)} {conc#590.itm(3)} {conc#590.itm(4)} {conc#590.itm(5)} -attr xrf 32934 -attr oid 98 -attr vt d -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {ACC1-3:exs#568.itm(0)} -attr vt d
+load net {ACC1-3:exs#568.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#568.itm} 2 {ACC1-3:exs#568.itm(0)} {ACC1-3:exs#568.itm(1)} -attr xrf 32935 -attr oid 99 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#568.itm}
+load net {ACC1:acc#335.itm(0)} -attr vt d
+load net {ACC1:acc#335.itm(1)} -attr vt d
+load net {ACC1:acc#335.itm(2)} -attr vt d
+load net {ACC1:acc#335.itm(3)} -attr vt d
+load net {ACC1:acc#335.itm(4)} -attr vt d
+load net {ACC1:acc#335.itm(5)} -attr vt d
+load net {ACC1:acc#335.itm(6)} -attr vt d
+load net {ACC1:acc#335.itm(7)} -attr vt d
+load netBundle {ACC1:acc#335.itm} 8 {ACC1:acc#335.itm(0)} {ACC1:acc#335.itm(1)} {ACC1:acc#335.itm(2)} {ACC1:acc#335.itm(3)} {ACC1:acc#335.itm(4)} {ACC1:acc#335.itm(5)} {ACC1:acc#335.itm(6)} {ACC1:acc#335.itm(7)} -attr xrf 32936 -attr oid 100 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#332.itm(0)} -attr vt d
+load net {ACC1:acc#332.itm(1)} -attr vt d
+load net {ACC1:acc#332.itm(2)} -attr vt d
+load net {ACC1:acc#332.itm(3)} -attr vt d
+load net {ACC1:acc#332.itm(4)} -attr vt d
+load net {ACC1:acc#332.itm(5)} -attr vt d
+load net {ACC1:acc#332.itm(6)} -attr vt d
+load net {ACC1:acc#332.itm(7)} -attr vt d
+load netBundle {ACC1:acc#332.itm} 8 {ACC1:acc#332.itm(0)} {ACC1:acc#332.itm(1)} {ACC1:acc#332.itm(2)} {ACC1:acc#332.itm(3)} {ACC1:acc#332.itm(4)} {ACC1:acc#332.itm(5)} {ACC1:acc#332.itm(6)} {ACC1:acc#332.itm(7)} -attr xrf 32937 -attr oid 101 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1-1:exs#541.itm(0)} -attr vt d
+load net {ACC1-1:exs#541.itm(1)} -attr vt d
+load net {ACC1-1:exs#541.itm(2)} -attr vt d
+load net {ACC1-1:exs#541.itm(3)} -attr vt d
+load net {ACC1-1:exs#541.itm(4)} -attr vt d
+load net {ACC1-1:exs#541.itm(5)} -attr vt d
+load net {ACC1-1:exs#541.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#541.itm} 7 {ACC1-1:exs#541.itm(0)} {ACC1-1:exs#541.itm(1)} {ACC1-1:exs#541.itm(2)} {ACC1-1:exs#541.itm(3)} {ACC1-1:exs#541.itm(4)} {ACC1-1:exs#541.itm(5)} {ACC1-1:exs#541.itm(6)} -attr xrf 32938 -attr oid 102 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1-1:conc#240.itm(0)} -attr vt d
+load net {ACC1-1:conc#240.itm(1)} -attr vt d
+load net {ACC1-1:conc#240.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#240.itm} 3 {ACC1-1:conc#240.itm(0)} {ACC1-1:conc#240.itm(1)} {ACC1-1:conc#240.itm(2)} -attr xrf 32939 -attr oid 103 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#240.itm}
+load net {ACC1-1:exs#30.itm(0)} -attr vt d
+load net {ACC1-1:exs#30.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#30.itm} 2 {ACC1-1:exs#30.itm(0)} {ACC1-1:exs#30.itm(1)} -attr xrf 32940 -attr oid 104 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#30.itm}
+load net {ACC1:acc#328.itm(0)} -attr vt d
+load net {ACC1:acc#328.itm(1)} -attr vt d
+load net {ACC1:acc#328.itm(2)} -attr vt d
+load net {ACC1:acc#328.itm(3)} -attr vt d
+load net {ACC1:acc#328.itm(4)} -attr vt d
+load net {ACC1:acc#328.itm(5)} -attr vt d
+load netBundle {ACC1:acc#328.itm} 6 {ACC1:acc#328.itm(0)} {ACC1:acc#328.itm(1)} {ACC1:acc#328.itm(2)} {ACC1:acc#328.itm(3)} {ACC1:acc#328.itm(4)} {ACC1:acc#328.itm(5)} -attr xrf 32941 -attr oid 105 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#325.itm(0)} -attr vt d
+load net {ACC1:acc#325.itm(1)} -attr vt d
+load net {ACC1:acc#325.itm(2)} -attr vt d
+load net {ACC1:acc#325.itm(3)} -attr vt d
+load net {ACC1:acc#325.itm(4)} -attr vt d
+load netBundle {ACC1:acc#325.itm} 5 {ACC1:acc#325.itm(0)} {ACC1:acc#325.itm(1)} {ACC1:acc#325.itm(2)} {ACC1:acc#325.itm(3)} {ACC1:acc#325.itm(4)} -attr xrf 32942 -attr oid 106 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#319.itm(0)} -attr vt d
+load net {ACC1:acc#319.itm(1)} -attr vt d
+load net {ACC1:acc#319.itm(2)} -attr vt d
+load net {ACC1:acc#319.itm(3)} -attr vt d
+load netBundle {ACC1:acc#319.itm} 4 {ACC1:acc#319.itm(0)} {ACC1:acc#319.itm(1)} {ACC1:acc#319.itm(2)} {ACC1:acc#319.itm(3)} -attr xrf 32943 -attr oid 107 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:slc#97.itm(0)} -attr vt d
+load net {ACC1:slc#97.itm(1)} -attr vt d
+load net {ACC1:slc#97.itm(2)} -attr vt d
+load netBundle {ACC1:slc#97.itm} 3 {ACC1:slc#97.itm(0)} {ACC1:slc#97.itm(1)} {ACC1:slc#97.itm(2)} -attr xrf 32944 -attr oid 108 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#310.itm(0)} -attr vt d
+load net {ACC1:acc#310.itm(1)} -attr vt d
+load net {ACC1:acc#310.itm(2)} -attr vt d
+load net {ACC1:acc#310.itm(3)} -attr vt d
+load netBundle {ACC1:acc#310.itm} 4 {ACC1:acc#310.itm(0)} {ACC1:acc#310.itm(1)} {ACC1:acc#310.itm(2)} {ACC1:acc#310.itm(3)} -attr xrf 32945 -attr oid 109 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {exs.itm(0)} -attr vt d
+load net {exs.itm(1)} -attr vt d
+load net {exs.itm(2)} -attr vt d
+load netBundle {exs.itm} 3 {exs.itm(0)} {exs.itm(1)} {exs.itm(2)} -attr xrf 32946 -attr oid 110 -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {conc#591.itm(0)} -attr vt d
+load net {conc#591.itm(1)} -attr vt d
+load netBundle {conc#591.itm} 2 {conc#591.itm(0)} {conc#591.itm(1)} -attr xrf 32947 -attr oid 111 -attr vt d -attr @path {/sobel/sobel:core/conc#591.itm}
+load net {ACC1:exs#793.itm(0)} -attr vt d
+load net {ACC1:exs#793.itm(1)} -attr vt d
+load net {ACC1:exs#793.itm(2)} -attr vt d
+load netBundle {ACC1:exs#793.itm} 3 {ACC1:exs#793.itm(0)} {ACC1:exs#793.itm(1)} {ACC1:exs#793.itm(2)} -attr xrf 32948 -attr oid 112 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#793.itm}
+load net {ACC1:conc#642.itm(0)} -attr vt d
+load net {ACC1:conc#642.itm(1)} -attr vt d
+load netBundle {ACC1:conc#642.itm} 2 {ACC1:conc#642.itm(0)} {ACC1:conc#642.itm(1)} -attr xrf 32949 -attr oid 113 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#642.itm}
+load net {ACC1:slc#96.itm(0)} -attr vt d
+load net {ACC1:slc#96.itm(1)} -attr vt d
+load net {ACC1:slc#96.itm(2)} -attr vt d
+load netBundle {ACC1:slc#96.itm} 3 {ACC1:slc#96.itm(0)} {ACC1:slc#96.itm(1)} {ACC1:slc#96.itm(2)} -attr xrf 32950 -attr oid 114 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#309.itm(0)} -attr vt d
+load net {ACC1:acc#309.itm(1)} -attr vt d
+load net {ACC1:acc#309.itm(2)} -attr vt d
+load net {ACC1:acc#309.itm(3)} -attr vt d
+load netBundle {ACC1:acc#309.itm} 4 {ACC1:acc#309.itm(0)} {ACC1:acc#309.itm(1)} {ACC1:acc#309.itm(2)} {ACC1:acc#309.itm(3)} -attr xrf 32951 -attr oid 115 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {exs#54.itm(0)} -attr vt d
+load net {exs#54.itm(1)} -attr vt d
+load net {exs#54.itm(2)} -attr vt d
+load netBundle {exs#54.itm} 3 {exs#54.itm(0)} {exs#54.itm(1)} {exs#54.itm(2)} -attr xrf 32952 -attr oid 116 -attr vt d -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {conc#592.itm(0)} -attr vt d
+load net {conc#592.itm(1)} -attr vt d
+load netBundle {conc#592.itm} 2 {conc#592.itm(0)} {conc#592.itm(1)} -attr xrf 32953 -attr oid 117 -attr vt d -attr @path {/sobel/sobel:core/conc#592.itm}
+load net {ACC1:exs#795.itm(0)} -attr vt d
+load net {ACC1:exs#795.itm(1)} -attr vt d
+load net {ACC1:exs#795.itm(2)} -attr vt d
+load netBundle {ACC1:exs#795.itm} 3 {ACC1:exs#795.itm(0)} {ACC1:exs#795.itm(1)} {ACC1:exs#795.itm(2)} -attr xrf 32954 -attr oid 118 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#795.itm}
+load net {ACC1:conc#640.itm(0)} -attr vt d
+load net {ACC1:conc#640.itm(1)} -attr vt d
+load netBundle {ACC1:conc#640.itm} 2 {ACC1:conc#640.itm(0)} {ACC1:conc#640.itm(1)} -attr xrf 32955 -attr oid 119 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#640.itm}
+load net {ACC1:acc#318.itm(0)} -attr vt d
+load net {ACC1:acc#318.itm(1)} -attr vt d
+load net {ACC1:acc#318.itm(2)} -attr vt d
+load net {ACC1:acc#318.itm(3)} -attr vt d
+load netBundle {ACC1:acc#318.itm} 4 {ACC1:acc#318.itm(0)} {ACC1:acc#318.itm(1)} {ACC1:acc#318.itm(2)} {ACC1:acc#318.itm(3)} -attr xrf 32956 -attr oid 120 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:slc#94.itm(0)} -attr vt d
+load net {ACC1:slc#94.itm(1)} -attr vt d
+load net {ACC1:slc#94.itm(2)} -attr vt d
+load netBundle {ACC1:slc#94.itm} 3 {ACC1:slc#94.itm(0)} {ACC1:slc#94.itm(1)} {ACC1:slc#94.itm(2)} -attr xrf 32957 -attr oid 121 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#307.itm(0)} -attr vt d
+load net {ACC1:acc#307.itm(1)} -attr vt d
+load net {ACC1:acc#307.itm(2)} -attr vt d
+load net {ACC1:acc#307.itm(3)} -attr vt d
+load netBundle {ACC1:acc#307.itm} 4 {ACC1:acc#307.itm(0)} {ACC1:acc#307.itm(1)} {ACC1:acc#307.itm(2)} {ACC1:acc#307.itm(3)} -attr xrf 32958 -attr oid 122 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {exs#28.itm(0)} -attr vt d
+load net {exs#28.itm(1)} -attr vt d
+load net {exs#28.itm(2)} -attr vt d
+load netBundle {exs#28.itm} 3 {exs#28.itm(0)} {exs#28.itm(1)} {exs#28.itm(2)} -attr xrf 32959 -attr oid 123 -attr vt d -attr @path {/sobel/sobel:core/exs#28.itm}
+load net {conc#594.itm(0)} -attr vt d
+load net {conc#594.itm(1)} -attr vt d
+load netBundle {conc#594.itm} 2 {conc#594.itm(0)} {conc#594.itm(1)} -attr xrf 32960 -attr oid 124 -attr vt d -attr @path {/sobel/sobel:core/conc#594.itm}
+load net {ACC1:exs#797.itm(0)} -attr vt d
+load net {ACC1:exs#797.itm(1)} -attr vt d
+load net {ACC1:exs#797.itm(2)} -attr vt d
+load netBundle {ACC1:exs#797.itm} 3 {ACC1:exs#797.itm(0)} {ACC1:exs#797.itm(1)} {ACC1:exs#797.itm(2)} -attr xrf 32961 -attr oid 125 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#797.itm}
+load net {ACC1:conc#636.itm(0)} -attr vt d
+load net {ACC1:conc#636.itm(1)} -attr vt d
+load netBundle {ACC1:conc#636.itm} 2 {ACC1:conc#636.itm(0)} {ACC1:conc#636.itm(1)} -attr xrf 32962 -attr oid 126 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#636.itm}
+load net {ACC1:slc#93.itm(0)} -attr vt d
+load net {ACC1:slc#93.itm(1)} -attr vt d
+load net {ACC1:slc#93.itm(2)} -attr vt d
+load netBundle {ACC1:slc#93.itm} 3 {ACC1:slc#93.itm(0)} {ACC1:slc#93.itm(1)} {ACC1:slc#93.itm(2)} -attr xrf 32963 -attr oid 127 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#306.itm(0)} -attr vt d
+load net {ACC1:acc#306.itm(1)} -attr vt d
+load net {ACC1:acc#306.itm(2)} -attr vt d
+load net {ACC1:acc#306.itm(3)} -attr vt d
+load netBundle {ACC1:acc#306.itm} 4 {ACC1:acc#306.itm(0)} {ACC1:acc#306.itm(1)} {ACC1:acc#306.itm(2)} {ACC1:acc#306.itm(3)} -attr xrf 32964 -attr oid 128 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {exs#29.itm(0)} -attr vt d
+load net {exs#29.itm(1)} -attr vt d
+load net {exs#29.itm(2)} -attr vt d
+load netBundle {exs#29.itm} 3 {exs#29.itm(0)} {exs#29.itm(1)} {exs#29.itm(2)} -attr xrf 32965 -attr oid 129 -attr vt d -attr @path {/sobel/sobel:core/exs#29.itm}
+load net {conc#595.itm(0)} -attr vt d
+load net {conc#595.itm(1)} -attr vt d
+load netBundle {conc#595.itm} 2 {conc#595.itm(0)} {conc#595.itm(1)} -attr xrf 32966 -attr oid 130 -attr vt d -attr @path {/sobel/sobel:core/conc#595.itm}
+load net {ACC1:exs#799.itm(0)} -attr vt d
+load net {ACC1:exs#799.itm(1)} -attr vt d
+load net {ACC1:exs#799.itm(2)} -attr vt d
+load netBundle {ACC1:exs#799.itm} 3 {ACC1:exs#799.itm(0)} {ACC1:exs#799.itm(1)} {ACC1:exs#799.itm(2)} -attr xrf 32967 -attr oid 131 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#799.itm}
+load net {ACC1:conc#634.itm(0)} -attr vt d
+load net {ACC1:conc#634.itm(1)} -attr vt d
+load netBundle {ACC1:conc#634.itm} 2 {ACC1:conc#634.itm(0)} {ACC1:conc#634.itm(1)} -attr xrf 32968 -attr oid 132 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#634.itm}
+load net {ACC1:acc#324.itm(0)} -attr vt d
+load net {ACC1:acc#324.itm(1)} -attr vt d
+load net {ACC1:acc#324.itm(2)} -attr vt d
+load net {ACC1:acc#324.itm(3)} -attr vt d
+load net {ACC1:acc#324.itm(4)} -attr vt d
+load netBundle {ACC1:acc#324.itm} 5 {ACC1:acc#324.itm(0)} {ACC1:acc#324.itm(1)} {ACC1:acc#324.itm(2)} {ACC1:acc#324.itm(3)} {ACC1:acc#324.itm(4)} -attr xrf 32969 -attr oid 133 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#317.itm(0)} -attr vt d
+load net {ACC1:acc#317.itm(1)} -attr vt d
+load net {ACC1:acc#317.itm(2)} -attr vt d
+load net {ACC1:acc#317.itm(3)} -attr vt d
+load netBundle {ACC1:acc#317.itm} 4 {ACC1:acc#317.itm(0)} {ACC1:acc#317.itm(1)} {ACC1:acc#317.itm(2)} {ACC1:acc#317.itm(3)} -attr xrf 32970 -attr oid 134 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:slc#92.itm(0)} -attr vt d
+load net {ACC1:slc#92.itm(1)} -attr vt d
+load net {ACC1:slc#92.itm(2)} -attr vt d
+load netBundle {ACC1:slc#92.itm} 3 {ACC1:slc#92.itm(0)} {ACC1:slc#92.itm(1)} {ACC1:slc#92.itm(2)} -attr xrf 32971 -attr oid 135 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#92.itm}
+load net {ACC1:acc#305.itm(0)} -attr vt d
+load net {ACC1:acc#305.itm(1)} -attr vt d
+load net {ACC1:acc#305.itm(2)} -attr vt d
+load net {ACC1:acc#305.itm(3)} -attr vt d
+load netBundle {ACC1:acc#305.itm} 4 {ACC1:acc#305.itm(0)} {ACC1:acc#305.itm(1)} {ACC1:acc#305.itm(2)} {ACC1:acc#305.itm(3)} -attr xrf 32972 -attr oid 136 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {exs#55.itm(0)} -attr vt d
+load net {exs#55.itm(1)} -attr vt d
+load net {exs#55.itm(2)} -attr vt d
+load netBundle {exs#55.itm} 3 {exs#55.itm(0)} {exs#55.itm(1)} {exs#55.itm(2)} -attr xrf 32973 -attr oid 137 -attr vt d -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {conc#596.itm(0)} -attr vt d
+load net {conc#596.itm(1)} -attr vt d
+load netBundle {conc#596.itm} 2 {conc#596.itm(0)} {conc#596.itm(1)} -attr xrf 32974 -attr oid 138 -attr vt d -attr @path {/sobel/sobel:core/conc#596.itm}
+load net {ACC1:exs#801.itm(0)} -attr vt d
+load net {ACC1:exs#801.itm(1)} -attr vt d
+load net {ACC1:exs#801.itm(2)} -attr vt d
+load netBundle {ACC1:exs#801.itm} 3 {ACC1:exs#801.itm(0)} {ACC1:exs#801.itm(1)} {ACC1:exs#801.itm(2)} -attr xrf 32975 -attr oid 139 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#801.itm}
+load net {ACC1:conc#632.itm(0)} -attr vt d
+load net {ACC1:conc#632.itm(1)} -attr vt d
+load netBundle {ACC1:conc#632.itm} 2 {ACC1:conc#632.itm(0)} {ACC1:conc#632.itm(1)} -attr xrf 32976 -attr oid 140 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#632.itm}
+load net {ACC1:slc#91.itm(0)} -attr vt d
+load net {ACC1:slc#91.itm(1)} -attr vt d
+load net {ACC1:slc#91.itm(2)} -attr vt d
+load netBundle {ACC1:slc#91.itm} 3 {ACC1:slc#91.itm(0)} {ACC1:slc#91.itm(1)} {ACC1:slc#91.itm(2)} -attr xrf 32977 -attr oid 141 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#91.itm}
+load net {ACC1:acc#304.itm(0)} -attr vt d
+load net {ACC1:acc#304.itm(1)} -attr vt d
+load net {ACC1:acc#304.itm(2)} -attr vt d
+load net {ACC1:acc#304.itm(3)} -attr vt d
+load netBundle {ACC1:acc#304.itm} 4 {ACC1:acc#304.itm(0)} {ACC1:acc#304.itm(1)} {ACC1:acc#304.itm(2)} {ACC1:acc#304.itm(3)} -attr xrf 32978 -attr oid 142 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {exs#56.itm(0)} -attr vt d
+load net {exs#56.itm(1)} -attr vt d
+load net {exs#56.itm(2)} -attr vt d
+load netBundle {exs#56.itm} 3 {exs#56.itm(0)} {exs#56.itm(1)} {exs#56.itm(2)} -attr xrf 32979 -attr oid 143 -attr vt d -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {conc#598.itm(0)} -attr vt d
+load net {conc#598.itm(1)} -attr vt d
+load netBundle {conc#598.itm} 2 {conc#598.itm(0)} {conc#598.itm(1)} -attr xrf 32980 -attr oid 144 -attr vt d -attr @path {/sobel/sobel:core/conc#598.itm}
+load net {ACC1:exs#803.itm(0)} -attr vt d
+load net {ACC1:exs#803.itm(1)} -attr vt d
+load net {ACC1:exs#803.itm(2)} -attr vt d
+load netBundle {ACC1:exs#803.itm} 3 {ACC1:exs#803.itm(0)} {ACC1:exs#803.itm(1)} {ACC1:exs#803.itm(2)} -attr xrf 32981 -attr oid 145 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#803.itm}
+load net {ACC1:conc#630.itm(0)} -attr vt d
+load net {ACC1:conc#630.itm(1)} -attr vt d
+load netBundle {ACC1:conc#630.itm} 2 {ACC1:conc#630.itm(0)} {ACC1:conc#630.itm(1)} -attr xrf 32982 -attr oid 146 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#630.itm}
+load net {ACC1:acc#316.itm(0)} -attr vt d
+load net {ACC1:acc#316.itm(1)} -attr vt d
+load net {ACC1:acc#316.itm(2)} -attr vt d
+load net {ACC1:acc#316.itm(3)} -attr vt d
+load netBundle {ACC1:acc#316.itm} 4 {ACC1:acc#316.itm(0)} {ACC1:acc#316.itm(1)} {ACC1:acc#316.itm(2)} {ACC1:acc#316.itm(3)} -attr xrf 32983 -attr oid 147 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:slc#90.itm(0)} -attr vt d
+load net {ACC1:slc#90.itm(1)} -attr vt d
+load net {ACC1:slc#90.itm(2)} -attr vt d
+load netBundle {ACC1:slc#90.itm} 3 {ACC1:slc#90.itm(0)} {ACC1:slc#90.itm(1)} {ACC1:slc#90.itm(2)} -attr xrf 32984 -attr oid 148 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1:acc#303.itm(0)} -attr vt d
+load net {ACC1:acc#303.itm(1)} -attr vt d
+load net {ACC1:acc#303.itm(2)} -attr vt d
+load net {ACC1:acc#303.itm(3)} -attr vt d
+load netBundle {ACC1:acc#303.itm} 4 {ACC1:acc#303.itm(0)} {ACC1:acc#303.itm(1)} {ACC1:acc#303.itm(2)} {ACC1:acc#303.itm(3)} -attr xrf 32985 -attr oid 149 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {exs#30.itm(0)} -attr vt d
+load net {exs#30.itm(1)} -attr vt d
+load net {exs#30.itm(2)} -attr vt d
+load netBundle {exs#30.itm} 3 {exs#30.itm(0)} {exs#30.itm(1)} {exs#30.itm(2)} -attr xrf 32986 -attr oid 150 -attr vt d -attr @path {/sobel/sobel:core/exs#30.itm}
+load net {conc#600.itm(0)} -attr vt d
+load net {conc#600.itm(1)} -attr vt d
+load netBundle {conc#600.itm} 2 {conc#600.itm(0)} {conc#600.itm(1)} -attr xrf 32987 -attr oid 151 -attr vt d -attr @path {/sobel/sobel:core/conc#600.itm}
+load net {ACC1:exs#805.itm(0)} -attr vt d
+load net {ACC1:exs#805.itm(1)} -attr vt d
+load net {ACC1:exs#805.itm(2)} -attr vt d
+load netBundle {ACC1:exs#805.itm} 3 {ACC1:exs#805.itm(0)} {ACC1:exs#805.itm(1)} {ACC1:exs#805.itm(2)} -attr xrf 32988 -attr oid 152 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#805.itm}
+load net {ACC1:conc#628.itm(0)} -attr vt d
+load net {ACC1:conc#628.itm(1)} -attr vt d
+load netBundle {ACC1:conc#628.itm} 2 {ACC1:conc#628.itm(0)} {ACC1:conc#628.itm(1)} -attr xrf 32989 -attr oid 153 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#628.itm}
+load net {ACC1:slc#89.itm(0)} -attr vt d
+load net {ACC1:slc#89.itm(1)} -attr vt d
+load net {ACC1:slc#89.itm(2)} -attr vt d
+load netBundle {ACC1:slc#89.itm} 3 {ACC1:slc#89.itm(0)} {ACC1:slc#89.itm(1)} {ACC1:slc#89.itm(2)} -attr xrf 32990 -attr oid 154 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#302.itm(0)} -attr vt d
+load net {ACC1:acc#302.itm(1)} -attr vt d
+load net {ACC1:acc#302.itm(2)} -attr vt d
+load net {ACC1:acc#302.itm(3)} -attr vt d
+load netBundle {ACC1:acc#302.itm} 4 {ACC1:acc#302.itm(0)} {ACC1:acc#302.itm(1)} {ACC1:acc#302.itm(2)} {ACC1:acc#302.itm(3)} -attr xrf 32991 -attr oid 155 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {exs#31.itm(0)} -attr vt d
+load net {exs#31.itm(1)} -attr vt d
+load net {exs#31.itm(2)} -attr vt d
+load netBundle {exs#31.itm} 3 {exs#31.itm(0)} {exs#31.itm(1)} {exs#31.itm(2)} -attr xrf 32992 -attr oid 156 -attr vt d -attr @path {/sobel/sobel:core/exs#31.itm}
+load net {conc#601.itm(0)} -attr vt d
+load net {conc#601.itm(1)} -attr vt d
+load netBundle {conc#601.itm} 2 {conc#601.itm(0)} {conc#601.itm(1)} -attr xrf 32993 -attr oid 157 -attr vt d -attr @path {/sobel/sobel:core/conc#601.itm}
+load net {ACC1:exs#807.itm(0)} -attr vt d
+load net {ACC1:exs#807.itm(1)} -attr vt d
+load net {ACC1:exs#807.itm(2)} -attr vt d
+load netBundle {ACC1:exs#807.itm} 3 {ACC1:exs#807.itm(0)} {ACC1:exs#807.itm(1)} {ACC1:exs#807.itm(2)} -attr xrf 32994 -attr oid 158 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#807.itm}
+load net {ACC1:conc#626.itm(0)} -attr vt d
+load net {ACC1:conc#626.itm(1)} -attr vt d
+load netBundle {ACC1:conc#626.itm} 2 {ACC1:conc#626.itm(0)} {ACC1:conc#626.itm(1)} -attr xrf 32995 -attr oid 159 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#626.itm}
+load net {ACC1:acc#331.itm(0)} -attr vt d
+load net {ACC1:acc#331.itm(1)} -attr vt d
+load net {ACC1:acc#331.itm(2)} -attr vt d
+load net {ACC1:acc#331.itm(3)} -attr vt d
+load net {ACC1:acc#331.itm(4)} -attr vt d
+load net {ACC1:acc#331.itm(5)} -attr vt d
+load net {ACC1:acc#331.itm(6)} -attr vt d
+load netBundle {ACC1:acc#331.itm} 7 {ACC1:acc#331.itm(0)} {ACC1:acc#331.itm(1)} {ACC1:acc#331.itm(2)} {ACC1:acc#331.itm(3)} {ACC1:acc#331.itm(4)} {ACC1:acc#331.itm(5)} {ACC1:acc#331.itm(6)} -attr xrf 32996 -attr oid 160 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#327.itm(0)} -attr vt d
+load net {ACC1:acc#327.itm(1)} -attr vt d
+load net {ACC1:acc#327.itm(2)} -attr vt d
+load net {ACC1:acc#327.itm(3)} -attr vt d
+load net {ACC1:acc#327.itm(4)} -attr vt d
+load net {ACC1:acc#327.itm(5)} -attr vt d
+load netBundle {ACC1:acc#327.itm} 6 {ACC1:acc#327.itm(0)} {ACC1:acc#327.itm(1)} {ACC1:acc#327.itm(2)} {ACC1:acc#327.itm(3)} {ACC1:acc#327.itm(4)} {ACC1:acc#327.itm(5)} -attr xrf 32997 -attr oid 161 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#323.itm(0)} -attr vt d
+load net {ACC1:acc#323.itm(1)} -attr vt d
+load net {ACC1:acc#323.itm(2)} -attr vt d
+load net {ACC1:acc#323.itm(3)} -attr vt d
+load net {ACC1:acc#323.itm(4)} -attr vt d
+load netBundle {ACC1:acc#323.itm} 5 {ACC1:acc#323.itm(0)} {ACC1:acc#323.itm(1)} {ACC1:acc#323.itm(2)} {ACC1:acc#323.itm(3)} {ACC1:acc#323.itm(4)} -attr xrf 32998 -attr oid 162 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#315.itm(0)} -attr vt d
+load net {ACC1:acc#315.itm(1)} -attr vt d
+load net {ACC1:acc#315.itm(2)} -attr vt d
+load net {ACC1:acc#315.itm(3)} -attr vt d
+load netBundle {ACC1:acc#315.itm} 4 {ACC1:acc#315.itm(0)} {ACC1:acc#315.itm(1)} {ACC1:acc#315.itm(2)} {ACC1:acc#315.itm(3)} -attr xrf 32999 -attr oid 163 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:slc#88.itm(0)} -attr vt d
+load net {ACC1:slc#88.itm(1)} -attr vt d
+load net {ACC1:slc#88.itm(2)} -attr vt d
+load netBundle {ACC1:slc#88.itm} 3 {ACC1:slc#88.itm(0)} {ACC1:slc#88.itm(1)} {ACC1:slc#88.itm(2)} -attr xrf 33000 -attr oid 164 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#301.itm(0)} -attr vt d
+load net {ACC1:acc#301.itm(1)} -attr vt d
+load net {ACC1:acc#301.itm(2)} -attr vt d
+load net {ACC1:acc#301.itm(3)} -attr vt d
+load netBundle {ACC1:acc#301.itm} 4 {ACC1:acc#301.itm(0)} {ACC1:acc#301.itm(1)} {ACC1:acc#301.itm(2)} {ACC1:acc#301.itm(3)} -attr xrf 33001 -attr oid 165 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {exs#32.itm(0)} -attr vt d
+load net {exs#32.itm(1)} -attr vt d
+load net {exs#32.itm(2)} -attr vt d
+load netBundle {exs#32.itm} 3 {exs#32.itm(0)} {exs#32.itm(1)} {exs#32.itm(2)} -attr xrf 33002 -attr oid 166 -attr vt d -attr @path {/sobel/sobel:core/exs#32.itm}
+load net {conc#602.itm(0)} -attr vt d
+load net {conc#602.itm(1)} -attr vt d
+load netBundle {conc#602.itm} 2 {conc#602.itm(0)} {conc#602.itm(1)} -attr xrf 33003 -attr oid 167 -attr vt d -attr @path {/sobel/sobel:core/conc#602.itm}
+load net {ACC1:exs#809.itm(0)} -attr vt d
+load net {ACC1:exs#809.itm(1)} -attr vt d
+load net {ACC1:exs#809.itm(2)} -attr vt d
+load netBundle {ACC1:exs#809.itm} 3 {ACC1:exs#809.itm(0)} {ACC1:exs#809.itm(1)} {ACC1:exs#809.itm(2)} -attr xrf 33004 -attr oid 168 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#809.itm}
+load net {ACC1:conc#624.itm(0)} -attr vt d
+load net {ACC1:conc#624.itm(1)} -attr vt d
+load netBundle {ACC1:conc#624.itm} 2 {ACC1:conc#624.itm(0)} {ACC1:conc#624.itm(1)} -attr xrf 33005 -attr oid 169 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#624.itm}
+load net {ACC1:slc#87.itm(0)} -attr vt d
+load net {ACC1:slc#87.itm(1)} -attr vt d
+load net {ACC1:slc#87.itm(2)} -attr vt d
+load netBundle {ACC1:slc#87.itm} 3 {ACC1:slc#87.itm(0)} {ACC1:slc#87.itm(1)} {ACC1:slc#87.itm(2)} -attr xrf 33006 -attr oid 170 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#87.itm}
+load net {ACC1:acc#300.itm(0)} -attr vt d
+load net {ACC1:acc#300.itm(1)} -attr vt d
+load net {ACC1:acc#300.itm(2)} -attr vt d
+load net {ACC1:acc#300.itm(3)} -attr vt d
+load netBundle {ACC1:acc#300.itm} 4 {ACC1:acc#300.itm(0)} {ACC1:acc#300.itm(1)} {ACC1:acc#300.itm(2)} {ACC1:acc#300.itm(3)} -attr xrf 33007 -attr oid 171 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {exs#33.itm(0)} -attr vt d
+load net {exs#33.itm(1)} -attr vt d
+load net {exs#33.itm(2)} -attr vt d
+load netBundle {exs#33.itm} 3 {exs#33.itm(0)} {exs#33.itm(1)} {exs#33.itm(2)} -attr xrf 33008 -attr oid 172 -attr vt d -attr @path {/sobel/sobel:core/exs#33.itm}
+load net {conc#603.itm(0)} -attr vt d
+load net {conc#603.itm(1)} -attr vt d
+load netBundle {conc#603.itm} 2 {conc#603.itm(0)} {conc#603.itm(1)} -attr xrf 33009 -attr oid 173 -attr vt d -attr @path {/sobel/sobel:core/conc#603.itm}
+load net {ACC1:exs#811.itm(0)} -attr vt d
+load net {ACC1:exs#811.itm(1)} -attr vt d
+load net {ACC1:exs#811.itm(2)} -attr vt d
+load netBundle {ACC1:exs#811.itm} 3 {ACC1:exs#811.itm(0)} {ACC1:exs#811.itm(1)} {ACC1:exs#811.itm(2)} -attr xrf 33010 -attr oid 174 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#811.itm}
+load net {slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#6.itm(0)} -attr vt d
+load net {slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#6.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#6.itm} 2 {slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#6.itm(0)} {slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#6.itm(1)} -attr xrf 33011 -attr oid 175 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#6.itm}
+load net {ACC1:acc#314.itm(0)} -attr vt d
+load net {ACC1:acc#314.itm(1)} -attr vt d
+load net {ACC1:acc#314.itm(2)} -attr vt d
+load net {ACC1:acc#314.itm(3)} -attr vt d
+load netBundle {ACC1:acc#314.itm} 4 {ACC1:acc#314.itm(0)} {ACC1:acc#314.itm(1)} {ACC1:acc#314.itm(2)} {ACC1:acc#314.itm(3)} -attr xrf 33012 -attr oid 176 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:slc#86.itm(0)} -attr vt d
+load net {ACC1:slc#86.itm(1)} -attr vt d
+load net {ACC1:slc#86.itm(2)} -attr vt d
+load netBundle {ACC1:slc#86.itm} 3 {ACC1:slc#86.itm(0)} {ACC1:slc#86.itm(1)} {ACC1:slc#86.itm(2)} -attr xrf 33013 -attr oid 177 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#86.itm}
+load net {ACC1:acc#299.itm(0)} -attr vt d
+load net {ACC1:acc#299.itm(1)} -attr vt d
+load net {ACC1:acc#299.itm(2)} -attr vt d
+load net {ACC1:acc#299.itm(3)} -attr vt d
+load netBundle {ACC1:acc#299.itm} 4 {ACC1:acc#299.itm(0)} {ACC1:acc#299.itm(1)} {ACC1:acc#299.itm(2)} {ACC1:acc#299.itm(3)} -attr xrf 33014 -attr oid 178 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {exs#34.itm(0)} -attr vt d
+load net {exs#34.itm(1)} -attr vt d
+load net {exs#34.itm(2)} -attr vt d
+load netBundle {exs#34.itm} 3 {exs#34.itm(0)} {exs#34.itm(1)} {exs#34.itm(2)} -attr xrf 33015 -attr oid 179 -attr vt d -attr @path {/sobel/sobel:core/exs#34.itm}
+load net {conc#604.itm(0)} -attr vt d
+load net {conc#604.itm(1)} -attr vt d
+load netBundle {conc#604.itm} 2 {conc#604.itm(0)} {conc#604.itm(1)} -attr xrf 33016 -attr oid 180 -attr vt d -attr @path {/sobel/sobel:core/conc#604.itm}
+load net {ACC1:exs#813.itm(0)} -attr vt d
+load net {ACC1:exs#813.itm(1)} -attr vt d
+load net {ACC1:exs#813.itm(2)} -attr vt d
+load netBundle {ACC1:exs#813.itm} 3 {ACC1:exs#813.itm(0)} {ACC1:exs#813.itm(1)} {ACC1:exs#813.itm(2)} -attr xrf 33017 -attr oid 181 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#813.itm}
+load net {ACC1:conc#620.itm(0)} -attr vt d
+load net {ACC1:conc#620.itm(1)} -attr vt d
+load netBundle {ACC1:conc#620.itm} 2 {ACC1:conc#620.itm(0)} {ACC1:conc#620.itm(1)} -attr xrf 33018 -attr oid 182 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#620.itm}
+load net {ACC1:slc#85.itm(0)} -attr vt d
+load net {ACC1:slc#85.itm(1)} -attr vt d
+load net {ACC1:slc#85.itm(2)} -attr vt d
+load netBundle {ACC1:slc#85.itm} 3 {ACC1:slc#85.itm(0)} {ACC1:slc#85.itm(1)} {ACC1:slc#85.itm(2)} -attr xrf 33019 -attr oid 183 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#85.itm}
+load net {ACC1:acc#298.itm(0)} -attr vt d
+load net {ACC1:acc#298.itm(1)} -attr vt d
+load net {ACC1:acc#298.itm(2)} -attr vt d
+load net {ACC1:acc#298.itm(3)} -attr vt d
+load netBundle {ACC1:acc#298.itm} 4 {ACC1:acc#298.itm(0)} {ACC1:acc#298.itm(1)} {ACC1:acc#298.itm(2)} {ACC1:acc#298.itm(3)} -attr xrf 33020 -attr oid 184 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {exs#57.itm(0)} -attr vt d
+load net {exs#57.itm(1)} -attr vt d
+load net {exs#57.itm(2)} -attr vt d
+load netBundle {exs#57.itm} 3 {exs#57.itm(0)} {exs#57.itm(1)} {exs#57.itm(2)} -attr xrf 33021 -attr oid 185 -attr vt d -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {conc#605.itm(0)} -attr vt d
+load net {conc#605.itm(1)} -attr vt d
+load netBundle {conc#605.itm} 2 {conc#605.itm(0)} {conc#605.itm(1)} -attr xrf 33022 -attr oid 186 -attr vt d -attr @path {/sobel/sobel:core/conc#605.itm}
+load net {ACC1:exs#815.itm(0)} -attr vt d
+load net {ACC1:exs#815.itm(1)} -attr vt d
+load net {ACC1:exs#815.itm(2)} -attr vt d
+load netBundle {ACC1:exs#815.itm} 3 {ACC1:exs#815.itm(0)} {ACC1:exs#815.itm(1)} {ACC1:exs#815.itm(2)} -attr xrf 33023 -attr oid 187 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#815.itm}
+load net {ACC1:conc#618.itm(0)} -attr vt d
+load net {ACC1:conc#618.itm(1)} -attr vt d
+load netBundle {ACC1:conc#618.itm} 2 {ACC1:conc#618.itm(0)} {ACC1:conc#618.itm(1)} -attr xrf 33024 -attr oid 188 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#618.itm}
+load net {ACC1:acc#322.itm(0)} -attr vt d
+load net {ACC1:acc#322.itm(1)} -attr vt d
+load net {ACC1:acc#322.itm(2)} -attr vt d
+load net {ACC1:acc#322.itm(3)} -attr vt d
+load net {ACC1:acc#322.itm(4)} -attr vt d
+load netBundle {ACC1:acc#322.itm} 5 {ACC1:acc#322.itm(0)} {ACC1:acc#322.itm(1)} {ACC1:acc#322.itm(2)} {ACC1:acc#322.itm(3)} {ACC1:acc#322.itm(4)} -attr xrf 33025 -attr oid 189 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#313.itm(0)} -attr vt d
+load net {ACC1:acc#313.itm(1)} -attr vt d
+load net {ACC1:acc#313.itm(2)} -attr vt d
+load net {ACC1:acc#313.itm(3)} -attr vt d
+load netBundle {ACC1:acc#313.itm} 4 {ACC1:acc#313.itm(0)} {ACC1:acc#313.itm(1)} {ACC1:acc#313.itm(2)} {ACC1:acc#313.itm(3)} -attr xrf 33026 -attr oid 190 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:slc#84.itm(0)} -attr vt d
+load net {ACC1:slc#84.itm(1)} -attr vt d
+load net {ACC1:slc#84.itm(2)} -attr vt d
+load netBundle {ACC1:slc#84.itm} 3 {ACC1:slc#84.itm(0)} {ACC1:slc#84.itm(1)} {ACC1:slc#84.itm(2)} -attr xrf 33027 -attr oid 191 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#84.itm}
+load net {ACC1:acc#297.itm(0)} -attr vt d
+load net {ACC1:acc#297.itm(1)} -attr vt d
+load net {ACC1:acc#297.itm(2)} -attr vt d
+load net {ACC1:acc#297.itm(3)} -attr vt d
+load netBundle {ACC1:acc#297.itm} 4 {ACC1:acc#297.itm(0)} {ACC1:acc#297.itm(1)} {ACC1:acc#297.itm(2)} {ACC1:acc#297.itm(3)} -attr xrf 33028 -attr oid 192 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {exs#35.itm(0)} -attr vt d
+load net {exs#35.itm(1)} -attr vt d
+load net {exs#35.itm(2)} -attr vt d
+load netBundle {exs#35.itm} 3 {exs#35.itm(0)} {exs#35.itm(1)} {exs#35.itm(2)} -attr xrf 33029 -attr oid 193 -attr vt d -attr @path {/sobel/sobel:core/exs#35.itm}
+load net {conc#607.itm(0)} -attr vt d
+load net {conc#607.itm(1)} -attr vt d
+load netBundle {conc#607.itm} 2 {conc#607.itm(0)} {conc#607.itm(1)} -attr xrf 33030 -attr oid 194 -attr vt d -attr @path {/sobel/sobel:core/conc#607.itm}
+load net {ACC1:exs#817.itm(0)} -attr vt d
+load net {ACC1:exs#817.itm(1)} -attr vt d
+load net {ACC1:exs#817.itm(2)} -attr vt d
+load netBundle {ACC1:exs#817.itm} 3 {ACC1:exs#817.itm(0)} {ACC1:exs#817.itm(1)} {ACC1:exs#817.itm(2)} -attr xrf 33031 -attr oid 195 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#817.itm}
+load net {ACC1:conc#616.itm(0)} -attr vt d
+load net {ACC1:conc#616.itm(1)} -attr vt d
+load netBundle {ACC1:conc#616.itm} 2 {ACC1:conc#616.itm(0)} {ACC1:conc#616.itm(1)} -attr xrf 33032 -attr oid 196 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#616.itm}
+load net {ACC1:slc#83.itm(0)} -attr vt d
+load net {ACC1:slc#83.itm(1)} -attr vt d
+load net {ACC1:slc#83.itm(2)} -attr vt d
+load netBundle {ACC1:slc#83.itm} 3 {ACC1:slc#83.itm(0)} {ACC1:slc#83.itm(1)} {ACC1:slc#83.itm(2)} -attr xrf 33033 -attr oid 197 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#83.itm}
+load net {ACC1:acc#296.itm(0)} -attr vt d
+load net {ACC1:acc#296.itm(1)} -attr vt d
+load net {ACC1:acc#296.itm(2)} -attr vt d
+load net {ACC1:acc#296.itm(3)} -attr vt d
+load netBundle {ACC1:acc#296.itm} 4 {ACC1:acc#296.itm(0)} {ACC1:acc#296.itm(1)} {ACC1:acc#296.itm(2)} {ACC1:acc#296.itm(3)} -attr xrf 33034 -attr oid 198 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {exs#36.itm(0)} -attr vt d
+load net {exs#36.itm(1)} -attr vt d
+load net {exs#36.itm(2)} -attr vt d
+load netBundle {exs#36.itm} 3 {exs#36.itm(0)} {exs#36.itm(1)} {exs#36.itm(2)} -attr xrf 33035 -attr oid 199 -attr vt d -attr @path {/sobel/sobel:core/exs#36.itm}
+load net {conc#608.itm(0)} -attr vt d
+load net {conc#608.itm(1)} -attr vt d
+load netBundle {conc#608.itm} 2 {conc#608.itm(0)} {conc#608.itm(1)} -attr xrf 33036 -attr oid 200 -attr vt d -attr @path {/sobel/sobel:core/conc#608.itm}
+load net {ACC1:exs#819.itm(0)} -attr vt d
+load net {ACC1:exs#819.itm(1)} -attr vt d
+load net {ACC1:exs#819.itm(2)} -attr vt d
+load netBundle {ACC1:exs#819.itm} 3 {ACC1:exs#819.itm(0)} {ACC1:exs#819.itm(1)} {ACC1:exs#819.itm(2)} -attr xrf 33037 -attr oid 201 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#819.itm}
+load net {ACC1:conc#614.itm(0)} -attr vt d
+load net {ACC1:conc#614.itm(1)} -attr vt d
+load netBundle {ACC1:conc#614.itm} 2 {ACC1:conc#614.itm(0)} {ACC1:conc#614.itm(1)} -attr xrf 33038 -attr oid 202 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#614.itm}
+load net {ACC1:slc#99.itm(0)} -attr vt d
+load net {ACC1:slc#99.itm(1)} -attr vt d
+load net {ACC1:slc#99.itm(2)} -attr vt d
+load net {ACC1:slc#99.itm(3)} -attr vt d
+load netBundle {ACC1:slc#99.itm} 4 {ACC1:slc#99.itm(0)} {ACC1:slc#99.itm(1)} {ACC1:slc#99.itm(2)} {ACC1:slc#99.itm(3)} -attr xrf 33039 -attr oid 203 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#312.itm(0)} -attr vt d
+load net {ACC1:acc#312.itm(1)} -attr vt d
+load net {ACC1:acc#312.itm(2)} -attr vt d
+load net {ACC1:acc#312.itm(3)} -attr vt d
+load net {ACC1:acc#312.itm(4)} -attr vt d
+load netBundle {ACC1:acc#312.itm} 5 {ACC1:acc#312.itm(0)} {ACC1:acc#312.itm(1)} {ACC1:acc#312.itm(2)} {ACC1:acc#312.itm(3)} {ACC1:acc#312.itm(4)} -attr xrf 33040 -attr oid 204 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {conc#609.itm(0)} -attr vt d
+load net {conc#609.itm(1)} -attr vt d
+load net {conc#609.itm(2)} -attr vt d
+load net {conc#609.itm(3)} -attr vt d
+load netBundle {conc#609.itm} 4 {conc#609.itm(0)} {conc#609.itm(1)} {conc#609.itm(2)} {conc#609.itm(3)} -attr xrf 33041 -attr oid 205 -attr vt d -attr @path {/sobel/sobel:core/conc#609.itm}
+load net {ACC1:slc#82.itm(0)} -attr vt d
+load net {ACC1:slc#82.itm(1)} -attr vt d
+load net {ACC1:slc#82.itm(2)} -attr vt d
+load netBundle {ACC1:slc#82.itm} 3 {ACC1:slc#82.itm(0)} {ACC1:slc#82.itm(1)} {ACC1:slc#82.itm(2)} -attr xrf 33042 -attr oid 206 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#82.itm}
+load net {ACC1:acc#295.itm(0)} -attr vt d
+load net {ACC1:acc#295.itm(1)} -attr vt d
+load net {ACC1:acc#295.itm(2)} -attr vt d
+load net {ACC1:acc#295.itm(3)} -attr vt d
+load netBundle {ACC1:acc#295.itm} 4 {ACC1:acc#295.itm(0)} {ACC1:acc#295.itm(1)} {ACC1:acc#295.itm(2)} {ACC1:acc#295.itm(3)} -attr xrf 33043 -attr oid 207 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {conc#610.itm(0)} -attr vt d
+load net {conc#610.itm(1)} -attr vt d
+load net {conc#610.itm(2)} -attr vt d
+load netBundle {conc#610.itm} 3 {conc#610.itm(0)} {conc#610.itm(1)} {conc#610.itm(2)} -attr xrf 33044 -attr oid 208 -attr vt d -attr @path {/sobel/sobel:core/conc#610.itm}
+load net {ACC1:conc#612.itm(0)} -attr vt d
+load net {ACC1:conc#612.itm(1)} -attr vt d
+load net {ACC1:conc#612.itm(2)} -attr vt d
+load netBundle {ACC1:conc#612.itm} 3 {ACC1:conc#612.itm(0)} {ACC1:conc#612.itm(1)} {ACC1:conc#612.itm(2)} -attr xrf 33045 -attr oid 209 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#612.itm}
+load net {conc#611.itm(0)} -attr vt d
+load net {conc#611.itm(1)} -attr vt d
+load net {conc#611.itm(2)} -attr vt d
+load net {conc#611.itm(3)} -attr vt d
+load netBundle {conc#611.itm} 4 {conc#611.itm(0)} {conc#611.itm(1)} {conc#611.itm(2)} {conc#611.itm(3)} -attr xrf 33046 -attr oid 210 -attr vt d -attr @path {/sobel/sobel:core/conc#611.itm}
+load net {ACC1:acc#321.itm(0)} -attr vt d
+load net {ACC1:acc#321.itm(1)} -attr vt d
+load net {ACC1:acc#321.itm(2)} -attr vt d
+load net {ACC1:acc#321.itm(3)} -attr vt d
+load netBundle {ACC1:acc#321.itm} 4 {ACC1:acc#321.itm(0)} {ACC1:acc#321.itm(1)} {ACC1:acc#321.itm(2)} {ACC1:acc#321.itm(3)} -attr xrf 33047 -attr oid 211 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:slc#98.itm(0)} -attr vt d
+load net {ACC1:slc#98.itm(1)} -attr vt d
+load net {ACC1:slc#98.itm(2)} -attr vt d
+load net {ACC1:slc#98.itm(3)} -attr vt d
+load netBundle {ACC1:slc#98.itm} 4 {ACC1:slc#98.itm(0)} {ACC1:slc#98.itm(1)} {ACC1:slc#98.itm(2)} {ACC1:slc#98.itm(3)} -attr xrf 33048 -attr oid 212 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#311.itm(0)} -attr vt d
+load net {ACC1:acc#311.itm(1)} -attr vt d
+load net {ACC1:acc#311.itm(2)} -attr vt d
+load net {ACC1:acc#311.itm(3)} -attr vt d
+load net {ACC1:acc#311.itm(4)} -attr vt d
+load netBundle {ACC1:acc#311.itm} 5 {ACC1:acc#311.itm(0)} {ACC1:acc#311.itm(1)} {ACC1:acc#311.itm(2)} {ACC1:acc#311.itm(3)} {ACC1:acc#311.itm(4)} -attr xrf 33049 -attr oid 213 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {conc#612.itm(0)} -attr vt d
+load net {conc#612.itm(1)} -attr vt d
+load net {conc#612.itm(2)} -attr vt d
+load net {conc#612.itm(3)} -attr vt d
+load netBundle {conc#612.itm} 4 {conc#612.itm(0)} {conc#612.itm(1)} {conc#612.itm(2)} {conc#612.itm(3)} -attr xrf 33050 -attr oid 214 -attr vt d -attr @path {/sobel/sobel:core/conc#612.itm}
+load net {ACC1:conc#644.itm(0)} -attr vt d
+load net {ACC1:conc#644.itm(1)} -attr vt d
+load net {ACC1:conc#644.itm(2)} -attr vt d
+load netBundle {ACC1:conc#644.itm} 3 {ACC1:conc#644.itm(0)} {ACC1:conc#644.itm(1)} {ACC1:conc#644.itm(2)} -attr xrf 33051 -attr oid 215 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#644.itm}
+load net {ACC1:slc#95.itm(0)} -attr vt d
+load net {ACC1:slc#95.itm(1)} -attr vt d
+load net {ACC1:slc#95.itm(2)} -attr vt d
+load net {ACC1:slc#95.itm(3)} -attr vt d
+load netBundle {ACC1:slc#95.itm} 4 {ACC1:slc#95.itm(0)} {ACC1:slc#95.itm(1)} {ACC1:slc#95.itm(2)} {ACC1:slc#95.itm(3)} -attr xrf 33052 -attr oid 216 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#308.itm(0)} -attr vt d
+load net {ACC1:acc#308.itm(1)} -attr vt d
+load net {ACC1:acc#308.itm(2)} -attr vt d
+load net {ACC1:acc#308.itm(3)} -attr vt d
+load net {ACC1:acc#308.itm(4)} -attr vt d
+load netBundle {ACC1:acc#308.itm} 5 {ACC1:acc#308.itm(0)} {ACC1:acc#308.itm(1)} {ACC1:acc#308.itm(2)} {ACC1:acc#308.itm(3)} {ACC1:acc#308.itm(4)} -attr xrf 33053 -attr oid 217 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {exs#37.itm(0)} -attr vt d
+load net {exs#37.itm(1)} -attr vt d
+load net {exs#37.itm(2)} -attr vt d
+load netBundle {exs#37.itm} 3 {exs#37.itm(0)} {exs#37.itm(1)} {exs#37.itm(2)} -attr xrf 33054 -attr oid 218 -attr vt d -attr @path {/sobel/sobel:core/exs#37.itm}
+load net {conc#613.itm(0)} -attr vt d
+load net {conc#613.itm(1)} -attr vt d
+load netBundle {conc#613.itm} 2 {conc#613.itm(0)} {conc#613.itm(1)} -attr xrf 33055 -attr oid 219 -attr vt d -attr @path {/sobel/sobel:core/conc#613.itm}
+load net {ACC1:conc#638.itm(0)} -attr vt d
+load net {ACC1:conc#638.itm(1)} -attr vt d
+load net {ACC1:conc#638.itm(2)} -attr vt d
+load netBundle {ACC1:conc#638.itm} 3 {ACC1:conc#638.itm(0)} {ACC1:conc#638.itm(1)} {ACC1:conc#638.itm(2)} -attr xrf 33056 -attr oid 220 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#638.itm}
+load net {ACC1:acc#339.itm(0)} -attr vt d
+load net {ACC1:acc#339.itm(1)} -attr vt d
+load net {ACC1:acc#339.itm(2)} -attr vt d
+load net {ACC1:acc#339.itm(3)} -attr vt d
+load net {ACC1:acc#339.itm(4)} -attr vt d
+load net {ACC1:acc#339.itm(5)} -attr vt d
+load net {ACC1:acc#339.itm(6)} -attr vt d
+load net {ACC1:acc#339.itm(7)} -attr vt d
+load net {ACC1:acc#339.itm(8)} -attr vt d
+load net {ACC1:acc#339.itm(9)} -attr vt d
+load net {ACC1:acc#339.itm(10)} -attr vt d
+load net {ACC1:acc#339.itm(11)} -attr vt d
+load net {ACC1:acc#339.itm(12)} -attr vt d
+load netBundle {ACC1:acc#339.itm} 13 {ACC1:acc#339.itm(0)} {ACC1:acc#339.itm(1)} {ACC1:acc#339.itm(2)} {ACC1:acc#339.itm(3)} {ACC1:acc#339.itm(4)} {ACC1:acc#339.itm(5)} {ACC1:acc#339.itm(6)} {ACC1:acc#339.itm(7)} {ACC1:acc#339.itm(8)} {ACC1:acc#339.itm(9)} {ACC1:acc#339.itm(10)} {ACC1:acc#339.itm(11)} {ACC1:acc#339.itm(12)} -attr xrf 33057 -attr oid 221 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#337.itm(0)} -attr vt d
+load net {ACC1:acc#337.itm(1)} -attr vt d
+load net {ACC1:acc#337.itm(2)} -attr vt d
+load net {ACC1:acc#337.itm(3)} -attr vt d
+load net {ACC1:acc#337.itm(4)} -attr vt d
+load net {ACC1:acc#337.itm(5)} -attr vt d
+load net {ACC1:acc#337.itm(6)} -attr vt d
+load net {ACC1:acc#337.itm(7)} -attr vt d
+load net {ACC1:acc#337.itm(8)} -attr vt d
+load net {ACC1:acc#337.itm(9)} -attr vt d
+load net {ACC1:acc#337.itm(10)} -attr vt d
+load netBundle {ACC1:acc#337.itm} 11 {ACC1:acc#337.itm(0)} {ACC1:acc#337.itm(1)} {ACC1:acc#337.itm(2)} {ACC1:acc#337.itm(3)} {ACC1:acc#337.itm(4)} {ACC1:acc#337.itm(5)} {ACC1:acc#337.itm(6)} {ACC1:acc#337.itm(7)} {ACC1:acc#337.itm(8)} {ACC1:acc#337.itm(9)} {ACC1:acc#337.itm(10)} -attr xrf 33058 -attr oid 222 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:mul#19.itm(0)} -attr vt d
+load net {ACC1:mul#19.itm(1)} -attr vt d
+load net {ACC1:mul#19.itm(2)} -attr vt d
+load net {ACC1:mul#19.itm(3)} -attr vt d
+load net {ACC1:mul#19.itm(4)} -attr vt d
+load net {ACC1:mul#19.itm(5)} -attr vt d
+load net {ACC1:mul#19.itm(6)} -attr vt d
+load net {ACC1:mul#19.itm(7)} -attr vt d
+load net {ACC1:mul#19.itm(8)} -attr vt d
+load net {ACC1:mul#19.itm(9)} -attr vt d
+load netBundle {ACC1:mul#19.itm} 10 {ACC1:mul#19.itm(0)} {ACC1:mul#19.itm(1)} {ACC1:mul#19.itm(2)} {ACC1:mul#19.itm(3)} {ACC1:mul#19.itm(4)} {ACC1:mul#19.itm(5)} {ACC1:mul#19.itm(6)} {ACC1:mul#19.itm(7)} {ACC1:mul#19.itm(8)} {ACC1:mul#19.itm(9)} -attr xrf 33059 -attr oid 223 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC2:acc#4.itm(0)} -attr vt d
+load net {ACC2:acc#4.itm(1)} -attr vt d
+load netBundle {ACC2:acc#4.itm} 2 {ACC2:acc#4.itm(0)} {ACC2:acc#4.itm(1)} -attr xrf 33060 -attr oid 224 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#4.itm}
+load net {ACC1:acc#333.itm(0)} -attr vt d
+load net {ACC1:acc#333.itm(1)} -attr vt d
+load net {ACC1:acc#333.itm(2)} -attr vt d
+load net {ACC1:acc#333.itm(3)} -attr vt d
+load net {ACC1:acc#333.itm(4)} -attr vt d
+load net {ACC1:acc#333.itm(5)} -attr vt d
+load net {ACC1:acc#333.itm(6)} -attr vt d
+load net {ACC1:acc#333.itm(7)} -attr vt d
+load net {ACC1:acc#333.itm(8)} -attr vt d
+load netBundle {ACC1:acc#333.itm} 9 {ACC1:acc#333.itm(0)} {ACC1:acc#333.itm(1)} {ACC1:acc#333.itm(2)} {ACC1:acc#333.itm(3)} {ACC1:acc#333.itm(4)} {ACC1:acc#333.itm(5)} {ACC1:acc#333.itm(6)} {ACC1:acc#333.itm(7)} {ACC1:acc#333.itm(8)} -attr xrf 33061 -attr oid 225 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#329.itm(0)} -attr vt d
+load net {ACC1:acc#329.itm(1)} -attr vt d
+load net {ACC1:acc#329.itm(2)} -attr vt d
+load net {ACC1:acc#329.itm(3)} -attr vt d
+load net {ACC1:acc#329.itm(4)} -attr vt d
+load net {ACC1:acc#329.itm(5)} -attr vt d
+load net {ACC1:acc#329.itm(6)} -attr vt d
+load netBundle {ACC1:acc#329.itm} 7 {ACC1:acc#329.itm(0)} {ACC1:acc#329.itm(1)} {ACC1:acc#329.itm(2)} {ACC1:acc#329.itm(3)} {ACC1:acc#329.itm(4)} {ACC1:acc#329.itm(5)} {ACC1:acc#329.itm(6)} -attr xrf 33062 -attr oid 226 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {conc#614.itm(0)} -attr vt d
+load net {conc#614.itm(1)} -attr vt d
+load net {conc#614.itm(2)} -attr vt d
+load net {conc#614.itm(3)} -attr vt d
+load net {conc#614.itm(4)} -attr vt d
+load net {conc#614.itm(5)} -attr vt d
+load netBundle {conc#614.itm} 6 {conc#614.itm(0)} {conc#614.itm(1)} {conc#614.itm(2)} {conc#614.itm(3)} {conc#614.itm(4)} {conc#614.itm(5)} -attr xrf 33063 -attr oid 227 -attr vt d -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {ACC1-3:exs#570.itm(0)} -attr vt d
+load net {ACC1-3:exs#570.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#570.itm} 2 {ACC1-3:exs#570.itm(0)} {ACC1-3:exs#570.itm(1)} -attr xrf 33064 -attr oid 228 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#570.itm}
+load net {ACC1:acc#326.itm(0)} -attr vt d
+load net {ACC1:acc#326.itm(1)} -attr vt d
+load net {ACC1:acc#326.itm(2)} -attr vt d
+load net {ACC1:acc#326.itm(3)} -attr vt d
+load net {ACC1:acc#326.itm(4)} -attr vt d
+load netBundle {ACC1:acc#326.itm} 5 {ACC1:acc#326.itm(0)} {ACC1:acc#326.itm(1)} {ACC1:acc#326.itm(2)} {ACC1:acc#326.itm(3)} {ACC1:acc#326.itm(4)} -attr xrf 33065 -attr oid 229 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:conc#657.itm(0)} -attr vt d
+load net {ACC1:conc#657.itm(1)} -attr vt d
+load net {ACC1:conc#657.itm(2)} -attr vt d
+load net {ACC1:conc#657.itm(3)} -attr vt d
+load net {ACC1:conc#657.itm(4)} -attr vt d
+load netBundle {ACC1:conc#657.itm} 5 {ACC1:conc#657.itm(0)} {ACC1:conc#657.itm(1)} {ACC1:conc#657.itm(2)} {ACC1:conc#657.itm(3)} {ACC1:conc#657.itm(4)} -attr xrf 33066 -attr oid 230 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#657.itm}
+load net {ACC1:acc#347.itm(0)} -attr vt d
+load net {ACC1:acc#347.itm(1)} -attr vt d
+load netBundle {ACC1:acc#347.itm} 2 {ACC1:acc#347.itm(0)} {ACC1:acc#347.itm(1)} -attr xrf 33067 -attr oid 231 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load net {ACC1:acc#348.itm(0)} -attr vt d
+load net {ACC1:acc#348.itm(1)} -attr vt d
+load net {ACC1:acc#348.itm(2)} -attr vt d
+load netBundle {ACC1:acc#348.itm} 3 {ACC1:acc#348.itm(0)} {ACC1:acc#348.itm(1)} {ACC1:acc#348.itm(2)} -attr xrf 33068 -attr oid 232 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {ACC2:exs.itm(0)} -attr vt d
+load net {ACC2:exs.itm(1)} -attr vt d
+load netBundle {ACC2:exs.itm} 2 {ACC2:exs.itm(0)} {ACC2:exs.itm(1)} -attr xrf 33069 -attr oid 233 -attr vt d -attr @path {/sobel/sobel:core/ACC2:exs.itm}
+load net {ACC2:exs#1.itm(0)} -attr vt d
+load net {ACC2:exs#1.itm(1)} -attr vt d
+load netBundle {ACC2:exs#1.itm} 2 {ACC2:exs#1.itm(0)} {ACC2:exs#1.itm(1)} -attr xrf 33070 -attr oid 234 -attr vt d -attr @path {/sobel/sobel:core/ACC2:exs#1.itm}
+load net {conc#615.itm(0)} -attr vt d
+load net {conc#615.itm(1)} -attr vt d
+load net {conc#615.itm(2)} -attr vt d
+load net {conc#615.itm(3)} -attr vt d
+load net {conc#615.itm(4)} -attr vt d
+load netBundle {conc#615.itm} 5 {conc#615.itm(0)} {conc#615.itm(1)} {conc#615.itm(2)} {conc#615.itm(3)} {conc#615.itm(4)} -attr xrf 33071 -attr oid 235 -attr vt d -attr @path {/sobel/sobel:core/conc#615.itm}
+load net {ACC1-3:exs#566.itm(0)} -attr vt d
+load net {ACC1-3:exs#566.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#566.itm} 2 {ACC1-3:exs#566.itm(0)} {ACC1-3:exs#566.itm(1)} -attr xrf 33072 -attr oid 236 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#566.itm}
+load net {ACC1-3:exs#541.itm(0)} -attr vt d
+load net {ACC1-3:exs#541.itm(1)} -attr vt d
+load net {ACC1-3:exs#541.itm(2)} -attr vt d
+load net {ACC1-3:exs#541.itm(3)} -attr vt d
+load net {ACC1-3:exs#541.itm(4)} -attr vt d
+load net {ACC1-3:exs#541.itm(5)} -attr vt d
+load net {ACC1-3:exs#541.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#541.itm} 7 {ACC1-3:exs#541.itm(0)} {ACC1-3:exs#541.itm(1)} {ACC1-3:exs#541.itm(2)} {ACC1-3:exs#541.itm(3)} {ACC1-3:exs#541.itm(4)} {ACC1-3:exs#541.itm(5)} {ACC1-3:exs#541.itm(6)} -attr xrf 33073 -attr oid 237 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1-3:conc#240.itm(0)} -attr vt d
+load net {ACC1-3:conc#240.itm(1)} -attr vt d
+load net {ACC1-3:conc#240.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#240.itm} 3 {ACC1-3:conc#240.itm(0)} {ACC1-3:conc#240.itm(1)} {ACC1-3:conc#240.itm(2)} -attr xrf 33074 -attr oid 238 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#240.itm}
+load net {ACC1-3:exs#567.itm(0)} -attr vt d
+load net {ACC1-3:exs#567.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#567.itm} 2 {ACC1-3:exs#567.itm(0)} {ACC1-3:exs#567.itm(1)} -attr xrf 33075 -attr oid 239 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#567.itm}
+load net {ACC1:conc#441.itm(0)} -attr vt d
+load net {ACC1:conc#441.itm(1)} -attr vt d
+load net {ACC1:conc#441.itm(2)} -attr vt d
+load net {ACC1:conc#441.itm(3)} -attr vt d
+load net {ACC1:conc#441.itm(4)} -attr vt d
+load net {ACC1:conc#441.itm(5)} -attr vt d
+load net {ACC1:conc#441.itm(6)} -attr vt d
+load net {ACC1:conc#441.itm(7)} -attr vt d
+load net {ACC1:conc#441.itm(8)} -attr vt d
+load net {ACC1:conc#441.itm(9)} -attr vt d
+load net {ACC1:conc#441.itm(10)} -attr vt d
+load netBundle {ACC1:conc#441.itm} 11 {ACC1:conc#441.itm(0)} {ACC1:conc#441.itm(1)} {ACC1:conc#441.itm(2)} {ACC1:conc#441.itm(3)} {ACC1:conc#441.itm(4)} {ACC1:conc#441.itm(5)} {ACC1:conc#441.itm(6)} {ACC1:conc#441.itm(7)} {ACC1:conc#441.itm(8)} {ACC1:conc#441.itm(9)} {ACC1:conc#441.itm(10)} -attr xrf 33076 -attr oid 240 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(0)} -attr vt d
+load net {ACC1:mul#22.itm(1)} -attr vt d
+load net {ACC1:mul#22.itm(2)} -attr vt d
+load net {ACC1:mul#22.itm(3)} -attr vt d
+load net {ACC1:mul#22.itm(4)} -attr vt d
+load net {ACC1:mul#22.itm(5)} -attr vt d
+load net {ACC1:mul#22.itm(6)} -attr vt d
+load net {ACC1:mul#22.itm(7)} -attr vt d
+load netBundle {ACC1:mul#22.itm} 8 {ACC1:mul#22.itm(0)} {ACC1:mul#22.itm(1)} {ACC1:mul#22.itm(2)} {ACC1:mul#22.itm(3)} {ACC1:mul#22.itm(4)} {ACC1:mul#22.itm(5)} {ACC1:mul#22.itm(6)} {ACC1:mul#22.itm(7)} -attr xrf 33077 -attr oid 241 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC2:acc#7.itm(0)} -attr vt d
+load net {ACC2:acc#7.itm(1)} -attr vt d
+load netBundle {ACC2:acc#7.itm} 2 {ACC2:acc#7.itm(0)} {ACC2:acc#7.itm(1)} -attr xrf 33078 -attr oid 242 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC1-3:exs#553.itm(0)} -attr vt d
+load net {ACC1-3:exs#553.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#553.itm} 2 {ACC1-3:exs#553.itm(0)} {ACC1-3:exs#553.itm(1)} -attr xrf 33079 -attr oid 243 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#553.itm}
+load net {mux#2.itm(0)} -attr vt d
+load net {mux#2.itm(1)} -attr vt d
+load net {mux#2.itm(2)} -attr vt d
+load net {mux#2.itm(3)} -attr vt d
+load net {mux#2.itm(4)} -attr vt d
+load net {mux#2.itm(5)} -attr vt d
+load net {mux#2.itm(6)} -attr vt d
+load net {mux#2.itm(7)} -attr vt d
+load net {mux#2.itm(8)} -attr vt d
+load net {mux#2.itm(9)} -attr vt d
+load net {mux#2.itm(10)} -attr vt d
+load net {mux#2.itm(11)} -attr vt d
+load net {mux#2.itm(12)} -attr vt d
+load net {mux#2.itm(13)} -attr vt d
+load net {mux#2.itm(14)} -attr vt d
+load net {mux#2.itm(15)} -attr vt d
+load netBundle {mux#2.itm} 16 {mux#2.itm(0)} {mux#2.itm(1)} {mux#2.itm(2)} {mux#2.itm(3)} {mux#2.itm(4)} {mux#2.itm(5)} {mux#2.itm(6)} {mux#2.itm(7)} {mux#2.itm(8)} {mux#2.itm(9)} {mux#2.itm(10)} {mux#2.itm(11)} {mux#2.itm(12)} {mux#2.itm(13)} {mux#2.itm(14)} {mux#2.itm(15)} -attr xrf 33080 -attr oid 244 -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {FRAME:for:acc#26.itm(0)} -attr vt d
+load net {FRAME:for:acc#26.itm(1)} -attr vt d
+load net {FRAME:for:acc#26.itm(2)} -attr vt d
+load net {FRAME:for:acc#26.itm(3)} -attr vt d
+load net {FRAME:for:acc#26.itm(4)} -attr vt d
+load net {FRAME:for:acc#26.itm(5)} -attr vt d
+load net {FRAME:for:acc#26.itm(6)} -attr vt d
+load net {FRAME:for:acc#26.itm(7)} -attr vt d
+load net {FRAME:for:acc#26.itm(8)} -attr vt d
+load net {FRAME:for:acc#26.itm(9)} -attr vt d
+load net {FRAME:for:acc#26.itm(10)} -attr vt d
+load net {FRAME:for:acc#26.itm(11)} -attr vt d
+load netBundle {FRAME:for:acc#26.itm} 12 {FRAME:for:acc#26.itm(0)} {FRAME:for:acc#26.itm(1)} {FRAME:for:acc#26.itm(2)} {FRAME:for:acc#26.itm(3)} {FRAME:for:acc#26.itm(4)} {FRAME:for:acc#26.itm(5)} {FRAME:for:acc#26.itm(6)} {FRAME:for:acc#26.itm(7)} {FRAME:for:acc#26.itm(8)} {FRAME:for:acc#26.itm(9)} {FRAME:for:acc#26.itm(10)} {FRAME:for:acc#26.itm(11)} -attr xrf 33081 -attr oid 245 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#25.itm(0)} -attr vt d
+load net {FRAME:for:acc#25.itm(1)} -attr vt d
+load net {FRAME:for:acc#25.itm(2)} -attr vt d
+load net {FRAME:for:acc#25.itm(3)} -attr vt d
+load net {FRAME:for:acc#25.itm(4)} -attr vt d
+load net {FRAME:for:acc#25.itm(5)} -attr vt d
+load net {FRAME:for:acc#25.itm(6)} -attr vt d
+load net {FRAME:for:acc#25.itm(7)} -attr vt d
+load net {FRAME:for:acc#25.itm(8)} -attr vt d
+load net {FRAME:for:acc#25.itm(9)} -attr vt d
+load net {FRAME:for:acc#25.itm(10)} -attr vt d
+load net {FRAME:for:acc#25.itm(11)} -attr vt d
+load netBundle {FRAME:for:acc#25.itm} 12 {FRAME:for:acc#25.itm(0)} {FRAME:for:acc#25.itm(1)} {FRAME:for:acc#25.itm(2)} {FRAME:for:acc#25.itm(3)} {FRAME:for:acc#25.itm(4)} {FRAME:for:acc#25.itm(5)} {FRAME:for:acc#25.itm(6)} {FRAME:for:acc#25.itm(7)} {FRAME:for:acc#25.itm(8)} {FRAME:for:acc#25.itm(9)} {FRAME:for:acc#25.itm(10)} {FRAME:for:acc#25.itm(11)} -attr xrf 33082 -attr oid 246 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:mul#7.itm(0)} -attr vt d
+load net {FRAME:for:mul#7.itm(1)} -attr vt d
+load net {FRAME:for:mul#7.itm(2)} -attr vt d
+load net {FRAME:for:mul#7.itm(3)} -attr vt d
+load net {FRAME:for:mul#7.itm(4)} -attr vt d
+load net {FRAME:for:mul#7.itm(5)} -attr vt d
+load net {FRAME:for:mul#7.itm(6)} -attr vt d
+load net {FRAME:for:mul#7.itm(7)} -attr vt d
+load net {FRAME:for:mul#7.itm(8)} -attr vt d
+load net {FRAME:for:mul#7.itm(9)} -attr vt d
+load net {FRAME:for:mul#7.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#7.itm} 11 {FRAME:for:mul#7.itm(0)} {FRAME:for:mul#7.itm(1)} {FRAME:for:mul#7.itm(2)} {FRAME:for:mul#7.itm(3)} {FRAME:for:mul#7.itm(4)} {FRAME:for:mul#7.itm(5)} {FRAME:for:mul#7.itm(6)} {FRAME:for:mul#7.itm(7)} {FRAME:for:mul#7.itm(8)} {FRAME:for:mul#7.itm(9)} {FRAME:for:mul#7.itm(10)} -attr xrf 33083 -attr oid 247 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {regs.operator[]#16:mux.itm(0)} -attr vt d
+load net {regs.operator[]#16:mux.itm(1)} -attr vt d
+load net {regs.operator[]#16:mux.itm(2)} -attr vt d
+load net {regs.operator[]#16:mux.itm(3)} -attr vt d
+load net {regs.operator[]#16:mux.itm(4)} -attr vt d
+load net {regs.operator[]#16:mux.itm(5)} -attr vt d
+load net {regs.operator[]#16:mux.itm(6)} -attr vt d
+load net {regs.operator[]#16:mux.itm(7)} -attr vt d
+load net {regs.operator[]#16:mux.itm(8)} -attr vt d
+load net {regs.operator[]#16:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#16:mux.itm} 10 {regs.operator[]#16:mux.itm(0)} {regs.operator[]#16:mux.itm(1)} {regs.operator[]#16:mux.itm(2)} {regs.operator[]#16:mux.itm(3)} {regs.operator[]#16:mux.itm(4)} {regs.operator[]#16:mux.itm(5)} {regs.operator[]#16:mux.itm(6)} {regs.operator[]#16:mux.itm(7)} {regs.operator[]#16:mux.itm(8)} {regs.operator[]#16:mux.itm(9)} -attr xrf 33084 -attr oid 248 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm} 10 {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(0)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(1)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(2)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(3)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(4)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(5)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(6)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(7)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(8)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm(9)} -attr xrf 33085 -attr oid 249 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0).itm} 10 {slc(regs.regs(1).sva.dfm:mx0).itm(0)} {slc(regs.regs(1).sva.dfm:mx0).itm(1)} {slc(regs.regs(1).sva.dfm:mx0).itm(2)} {slc(regs.regs(1).sva.dfm:mx0).itm(3)} {slc(regs.regs(1).sva.dfm:mx0).itm(4)} {slc(regs.regs(1).sva.dfm:mx0).itm(5)} {slc(regs.regs(1).sva.dfm:mx0).itm(6)} {slc(regs.regs(1).sva.dfm:mx0).itm(7)} {slc(regs.regs(1).sva.dfm:mx0).itm(8)} {slc(regs.regs(1).sva.dfm:mx0).itm(9)} -attr xrf 33086 -attr oid 250 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0).itm} 10 {slc(regs.regs(0).sva.dfm:mx0).itm(0)} {slc(regs.regs(0).sva.dfm:mx0).itm(1)} {slc(regs.regs(0).sva.dfm:mx0).itm(2)} {slc(regs.regs(0).sva.dfm:mx0).itm(3)} {slc(regs.regs(0).sva.dfm:mx0).itm(4)} {slc(regs.regs(0).sva.dfm:mx0).itm(5)} {slc(regs.regs(0).sva.dfm:mx0).itm(6)} {slc(regs.regs(0).sva.dfm:mx0).itm(7)} {slc(regs.regs(0).sva.dfm:mx0).itm(8)} {slc(regs.regs(0).sva.dfm:mx0).itm(9)} -attr xrf 33087 -attr oid 251 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {FRAME:for:mul#8.itm(0)} -attr vt d
+load net {FRAME:for:mul#8.itm(1)} -attr vt d
+load net {FRAME:for:mul#8.itm(2)} -attr vt d
+load net {FRAME:for:mul#8.itm(3)} -attr vt d
+load net {FRAME:for:mul#8.itm(4)} -attr vt d
+load net {FRAME:for:mul#8.itm(5)} -attr vt d
+load net {FRAME:for:mul#8.itm(6)} -attr vt d
+load net {FRAME:for:mul#8.itm(7)} -attr vt d
+load net {FRAME:for:mul#8.itm(8)} -attr vt d
+load net {FRAME:for:mul#8.itm(9)} -attr vt d
+load net {FRAME:for:mul#8.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#8.itm} 11 {FRAME:for:mul#8.itm(0)} {FRAME:for:mul#8.itm(1)} {FRAME:for:mul#8.itm(2)} {FRAME:for:mul#8.itm(3)} {FRAME:for:mul#8.itm(4)} {FRAME:for:mul#8.itm(5)} {FRAME:for:mul#8.itm(6)} {FRAME:for:mul#8.itm(7)} {FRAME:for:mul#8.itm(8)} {FRAME:for:mul#8.itm(9)} {FRAME:for:mul#8.itm(10)} -attr xrf 33088 -attr oid 252 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {regs.operator[]#17:mux.itm(0)} -attr vt d
+load net {regs.operator[]#17:mux.itm(1)} -attr vt d
+load net {regs.operator[]#17:mux.itm(2)} -attr vt d
+load net {regs.operator[]#17:mux.itm(3)} -attr vt d
+load net {regs.operator[]#17:mux.itm(4)} -attr vt d
+load net {regs.operator[]#17:mux.itm(5)} -attr vt d
+load net {regs.operator[]#17:mux.itm(6)} -attr vt d
+load net {regs.operator[]#17:mux.itm(7)} -attr vt d
+load net {regs.operator[]#17:mux.itm(8)} -attr vt d
+load net {regs.operator[]#17:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#17:mux.itm} 10 {regs.operator[]#17:mux.itm(0)} {regs.operator[]#17:mux.itm(1)} {regs.operator[]#17:mux.itm(2)} {regs.operator[]#17:mux.itm(3)} {regs.operator[]#17:mux.itm(4)} {regs.operator[]#17:mux.itm(5)} {regs.operator[]#17:mux.itm(6)} {regs.operator[]#17:mux.itm(7)} {regs.operator[]#17:mux.itm(8)} {regs.operator[]#17:mux.itm(9)} -attr xrf 33089 -attr oid 253 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm} 10 {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(0)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(1)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(2)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(3)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(4)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(5)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(6)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(7)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(8)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm(9)} -attr xrf 33090 -attr oid 254 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#1.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#1.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(9)} -attr xrf 33091 -attr oid 255 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#1.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#1.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(9)} -attr xrf 33092 -attr oid 256 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {FRAME:for:mul#6.itm(0)} -attr vt d
+load net {FRAME:for:mul#6.itm(1)} -attr vt d
+load net {FRAME:for:mul#6.itm(2)} -attr vt d
+load net {FRAME:for:mul#6.itm(3)} -attr vt d
+load net {FRAME:for:mul#6.itm(4)} -attr vt d
+load net {FRAME:for:mul#6.itm(5)} -attr vt d
+load net {FRAME:for:mul#6.itm(6)} -attr vt d
+load net {FRAME:for:mul#6.itm(7)} -attr vt d
+load net {FRAME:for:mul#6.itm(8)} -attr vt d
+load net {FRAME:for:mul#6.itm(9)} -attr vt d
+load net {FRAME:for:mul#6.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#6.itm} 11 {FRAME:for:mul#6.itm(0)} {FRAME:for:mul#6.itm(1)} {FRAME:for:mul#6.itm(2)} {FRAME:for:mul#6.itm(3)} {FRAME:for:mul#6.itm(4)} {FRAME:for:mul#6.itm(5)} {FRAME:for:mul#6.itm(6)} {FRAME:for:mul#6.itm(7)} {FRAME:for:mul#6.itm(8)} {FRAME:for:mul#6.itm(9)} {FRAME:for:mul#6.itm(10)} -attr xrf 33093 -attr oid 257 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {regs.operator[]#15:mux.itm(0)} -attr vt d
+load net {regs.operator[]#15:mux.itm(1)} -attr vt d
+load net {regs.operator[]#15:mux.itm(2)} -attr vt d
+load net {regs.operator[]#15:mux.itm(3)} -attr vt d
+load net {regs.operator[]#15:mux.itm(4)} -attr vt d
+load net {regs.operator[]#15:mux.itm(5)} -attr vt d
+load net {regs.operator[]#15:mux.itm(6)} -attr vt d
+load net {regs.operator[]#15:mux.itm(7)} -attr vt d
+load net {regs.operator[]#15:mux.itm(8)} -attr vt d
+load net {regs.operator[]#15:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#15:mux.itm} 10 {regs.operator[]#15:mux.itm(0)} {regs.operator[]#15:mux.itm(1)} {regs.operator[]#15:mux.itm(2)} {regs.operator[]#15:mux.itm(3)} {regs.operator[]#15:mux.itm(4)} {regs.operator[]#15:mux.itm(5)} {regs.operator[]#15:mux.itm(6)} {regs.operator[]#15:mux.itm(7)} {regs.operator[]#15:mux.itm(8)} {regs.operator[]#15:mux.itm(9)} -attr xrf 33094 -attr oid 258 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm} 10 {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(0)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(1)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(2)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(3)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(4)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(5)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(6)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(7)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(8)} {slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm(9)} -attr xrf 33095 -attr oid 259 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#2.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#2.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(9)} -attr xrf 33096 -attr oid 260 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#2.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#2.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(9)} -attr xrf 33097 -attr oid 261 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {ACC1-3:acc#124.itm(0)} -attr vt d
+load net {ACC1-3:acc#124.itm(1)} -attr vt d
+load net {ACC1-3:acc#124.itm(2)} -attr vt d
+load net {ACC1-3:acc#124.itm(3)} -attr vt d
+load net {ACC1-3:acc#124.itm(4)} -attr vt d
+load net {ACC1-3:acc#124.itm(5)} -attr vt d
+load net {ACC1-3:acc#124.itm(6)} -attr vt d
+load net {ACC1-3:acc#124.itm(7)} -attr vt d
+load net {ACC1-3:acc#124.itm(8)} -attr vt d
+load net {ACC1-3:acc#124.itm(9)} -attr vt d
+load net {ACC1-3:acc#124.itm(10)} -attr vt d
+load net {ACC1-3:acc#124.itm(11)} -attr vt d
+load netBundle {ACC1-3:acc#124.itm} 12 {ACC1-3:acc#124.itm(0)} {ACC1-3:acc#124.itm(1)} {ACC1-3:acc#124.itm(2)} {ACC1-3:acc#124.itm(3)} {ACC1-3:acc#124.itm(4)} {ACC1-3:acc#124.itm(5)} {ACC1-3:acc#124.itm(6)} {ACC1-3:acc#124.itm(7)} {ACC1-3:acc#124.itm(8)} {ACC1-3:acc#124.itm(9)} {ACC1-3:acc#124.itm(10)} {ACC1-3:acc#124.itm(11)} -attr xrf 33098 -attr oid 262 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1:acc#268.itm(0)} -attr vt d
+load net {ACC1:acc#268.itm(1)} -attr vt d
+load net {ACC1:acc#268.itm(2)} -attr vt d
+load net {ACC1:acc#268.itm(3)} -attr vt d
+load net {ACC1:acc#268.itm(4)} -attr vt d
+load net {ACC1:acc#268.itm(5)} -attr vt d
+load net {ACC1:acc#268.itm(6)} -attr vt d
+load net {ACC1:acc#268.itm(7)} -attr vt d
+load net {ACC1:acc#268.itm(8)} -attr vt d
+load net {ACC1:acc#268.itm(9)} -attr vt d
+load net {ACC1:acc#268.itm(10)} -attr vt d
+load netBundle {ACC1:acc#268.itm} 11 {ACC1:acc#268.itm(0)} {ACC1:acc#268.itm(1)} {ACC1:acc#268.itm(2)} {ACC1:acc#268.itm(3)} {ACC1:acc#268.itm(4)} {ACC1:acc#268.itm(5)} {ACC1:acc#268.itm(6)} {ACC1:acc#268.itm(7)} {ACC1:acc#268.itm(8)} {ACC1:acc#268.itm(9)} {ACC1:acc#268.itm(10)} -attr xrf 33099 -attr oid 263 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {conc#616.itm(0)} -attr vt d
+load net {conc#616.itm(1)} -attr vt d
+load net {conc#616.itm(2)} -attr vt d
+load net {conc#616.itm(3)} -attr vt d
+load net {conc#616.itm(4)} -attr vt d
+load net {conc#616.itm(5)} -attr vt d
+load net {conc#616.itm(6)} -attr vt d
+load net {conc#616.itm(7)} -attr vt d
+load net {conc#616.itm(8)} -attr vt d
+load net {conc#616.itm(9)} -attr vt d
+load netBundle {conc#616.itm} 10 {conc#616.itm(0)} {conc#616.itm(1)} {conc#616.itm(2)} {conc#616.itm(3)} {conc#616.itm(4)} {conc#616.itm(5)} {conc#616.itm(6)} {conc#616.itm(7)} {conc#616.itm(8)} {conc#616.itm(9)} -attr xrf 33100 -attr oid 264 -attr vt d -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {ACC1:acc#266.itm(0)} -attr vt d
+load net {ACC1:acc#266.itm(1)} -attr vt d
+load net {ACC1:acc#266.itm(2)} -attr vt d
+load net {ACC1:acc#266.itm(3)} -attr vt d
+load net {ACC1:acc#266.itm(4)} -attr vt d
+load net {ACC1:acc#266.itm(5)} -attr vt d
+load net {ACC1:acc#266.itm(6)} -attr vt d
+load net {ACC1:acc#266.itm(7)} -attr vt d
+load net {ACC1:acc#266.itm(8)} -attr vt d
+load net {ACC1:acc#266.itm(9)} -attr vt d
+load net {ACC1:acc#266.itm(10)} -attr vt d
+load netBundle {ACC1:acc#266.itm} 11 {ACC1:acc#266.itm(0)} {ACC1:acc#266.itm(1)} {ACC1:acc#266.itm(2)} {ACC1:acc#266.itm(3)} {ACC1:acc#266.itm(4)} {ACC1:acc#266.itm(5)} {ACC1:acc#266.itm(6)} {ACC1:acc#266.itm(7)} {ACC1:acc#266.itm(8)} {ACC1:acc#266.itm(9)} {ACC1:acc#266.itm(10)} -attr xrf 33101 -attr oid 265 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#264.itm(0)} -attr vt d
+load net {ACC1:acc#264.itm(1)} -attr vt d
+load net {ACC1:acc#264.itm(2)} -attr vt d
+load net {ACC1:acc#264.itm(3)} -attr vt d
+load net {ACC1:acc#264.itm(4)} -attr vt d
+load net {ACC1:acc#264.itm(5)} -attr vt d
+load net {ACC1:acc#264.itm(6)} -attr vt d
+load net {ACC1:acc#264.itm(7)} -attr vt d
+load net {ACC1:acc#264.itm(8)} -attr vt d
+load net {ACC1:acc#264.itm(9)} -attr vt d
+load netBundle {ACC1:acc#264.itm} 10 {ACC1:acc#264.itm(0)} {ACC1:acc#264.itm(1)} {ACC1:acc#264.itm(2)} {ACC1:acc#264.itm(3)} {ACC1:acc#264.itm(4)} {ACC1:acc#264.itm(5)} {ACC1:acc#264.itm(6)} {ACC1:acc#264.itm(7)} {ACC1:acc#264.itm(8)} {ACC1:acc#264.itm(9)} -attr xrf 33102 -attr oid 266 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#262.itm(0)} -attr vt d
+load net {ACC1:acc#262.itm(1)} -attr vt d
+load net {ACC1:acc#262.itm(2)} -attr vt d
+load net {ACC1:acc#262.itm(3)} -attr vt d
+load net {ACC1:acc#262.itm(4)} -attr vt d
+load net {ACC1:acc#262.itm(5)} -attr vt d
+load net {ACC1:acc#262.itm(6)} -attr vt d
+load net {ACC1:acc#262.itm(7)} -attr vt d
+load netBundle {ACC1:acc#262.itm} 8 {ACC1:acc#262.itm(0)} {ACC1:acc#262.itm(1)} {ACC1:acc#262.itm(2)} {ACC1:acc#262.itm(3)} {ACC1:acc#262.itm(4)} {ACC1:acc#262.itm(5)} {ACC1:acc#262.itm(6)} {ACC1:acc#262.itm(7)} -attr xrf 33103 -attr oid 267 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#259.itm(0)} -attr vt d
+load net {ACC1:acc#259.itm(1)} -attr vt d
+load net {ACC1:acc#259.itm(2)} -attr vt d
+load net {ACC1:acc#259.itm(3)} -attr vt d
+load net {ACC1:acc#259.itm(4)} -attr vt d
+load net {ACC1:acc#259.itm(5)} -attr vt d
+load netBundle {ACC1:acc#259.itm} 6 {ACC1:acc#259.itm(0)} {ACC1:acc#259.itm(1)} {ACC1:acc#259.itm(2)} {ACC1:acc#259.itm(3)} {ACC1:acc#259.itm(4)} {ACC1:acc#259.itm(5)} -attr xrf 33104 -attr oid 268 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#256.itm(0)} -attr vt d
+load net {ACC1:acc#256.itm(1)} -attr vt d
+load net {ACC1:acc#256.itm(2)} -attr vt d
+load net {ACC1:acc#256.itm(3)} -attr vt d
+load netBundle {ACC1:acc#256.itm} 4 {ACC1:acc#256.itm(0)} {ACC1:acc#256.itm(1)} {ACC1:acc#256.itm(2)} {ACC1:acc#256.itm(3)} -attr xrf 33105 -attr oid 269 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#251.itm(0)} -attr vt d
+load net {ACC1:acc#251.itm(1)} -attr vt d
+load net {ACC1:acc#251.itm(2)} -attr vt d
+load netBundle {ACC1:acc#251.itm} 3 {ACC1:acc#251.itm(0)} {ACC1:acc#251.itm(1)} {ACC1:acc#251.itm(2)} -attr xrf 33106 -attr oid 270 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load net {ACC1:slc#68.itm(0)} -attr vt d
+load net {ACC1:slc#68.itm(1)} -attr vt d
+load net {ACC1:slc#68.itm(2)} -attr vt d
+load netBundle {ACC1:slc#68.itm} 3 {ACC1:slc#68.itm(0)} {ACC1:slc#68.itm(1)} {ACC1:slc#68.itm(2)} -attr xrf 33107 -attr oid 271 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#68.itm}
+load net {ACC1:acc#242.itm(0)} -attr vt d
+load net {ACC1:acc#242.itm(1)} -attr vt d
+load net {ACC1:acc#242.itm(2)} -attr vt d
+load net {ACC1:acc#242.itm(3)} -attr vt d
+load netBundle {ACC1:acc#242.itm} 4 {ACC1:acc#242.itm(0)} {ACC1:acc#242.itm(1)} {ACC1:acc#242.itm(2)} {ACC1:acc#242.itm(3)} -attr xrf 33108 -attr oid 272 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
+load net {conc#617.itm(0)} -attr vt d
+load net {conc#617.itm(1)} -attr vt d
+load net {conc#617.itm(2)} -attr vt d
+load netBundle {conc#617.itm} 3 {conc#617.itm(0)} {conc#617.itm(1)} {conc#617.itm(2)} -attr xrf 33109 -attr oid 273 -attr vt d -attr @path {/sobel/sobel:core/conc#617.itm}
+load net {ACC1:conc#582.itm(0)} -attr vt d
+load net {ACC1:conc#582.itm(1)} -attr vt d
+load netBundle {ACC1:conc#582.itm} 2 {ACC1:conc#582.itm(0)} {ACC1:conc#582.itm(1)} -attr xrf 33110 -attr oid 274 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#582.itm}
+load net {slc(ACC1:acc#120.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#120.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#120.psp.sva)#2.itm} 2 {slc(ACC1:acc#120.psp.sva)#2.itm(0)} {slc(ACC1:acc#120.psp.sva)#2.itm(1)} -attr xrf 33111 -attr oid 275 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp.sva)#2.itm}
+load net {ACC1:slc#69.itm(0)} -attr vt d
+load net {ACC1:slc#69.itm(1)} -attr vt d
+load net {ACC1:slc#69.itm(2)} -attr vt d
+load net {ACC1:slc#69.itm(3)} -attr vt d
+load netBundle {ACC1:slc#69.itm} 4 {ACC1:slc#69.itm(0)} {ACC1:slc#69.itm(1)} {ACC1:slc#69.itm(2)} {ACC1:slc#69.itm(3)} -attr xrf 33112 -attr oid 276 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#69.itm}
+load net {ACC1:acc#243.itm(0)} -attr vt d
+load net {ACC1:acc#243.itm(1)} -attr vt d
+load net {ACC1:acc#243.itm(2)} -attr vt d
+load net {ACC1:acc#243.itm(3)} -attr vt d
+load net {ACC1:acc#243.itm(4)} -attr vt d
+load netBundle {ACC1:acc#243.itm} 5 {ACC1:acc#243.itm(0)} {ACC1:acc#243.itm(1)} {ACC1:acc#243.itm(2)} {ACC1:acc#243.itm(3)} {ACC1:acc#243.itm(4)} -attr xrf 33113 -attr oid 277 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
+load net {conc#618.itm(0)} -attr vt d
+load net {conc#618.itm(1)} -attr vt d
+load net {conc#618.itm(2)} -attr vt d
+load netBundle {conc#618.itm} 3 {conc#618.itm(0)} {conc#618.itm(1)} {conc#618.itm(2)} -attr xrf 33114 -attr oid 278 -attr vt d -attr @path {/sobel/sobel:core/conc#618.itm}
+load net {ACC1:conc#584.itm(0)} -attr vt d
+load net {ACC1:conc#584.itm(1)} -attr vt d
+load net {ACC1:conc#584.itm(2)} -attr vt d
+load netBundle {ACC1:conc#584.itm} 3 {ACC1:conc#584.itm(0)} {ACC1:conc#584.itm(1)} {ACC1:conc#584.itm(2)} -attr xrf 33115 -attr oid 279 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#584.itm}
+load net {ACC1:acc#255.itm(0)} -attr vt d
+load net {ACC1:acc#255.itm(1)} -attr vt d
+load net {ACC1:acc#255.itm(2)} -attr vt d
+load net {ACC1:acc#255.itm(3)} -attr vt d
+load net {ACC1:acc#255.itm(4)} -attr vt d
+load netBundle {ACC1:acc#255.itm} 5 {ACC1:acc#255.itm(0)} {ACC1:acc#255.itm(1)} {ACC1:acc#255.itm(2)} {ACC1:acc#255.itm(3)} {ACC1:acc#255.itm(4)} -attr xrf 33116 -attr oid 280 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1-3:conc#284.itm(0)} -attr vt d
+load net {ACC1-3:conc#284.itm(1)} -attr vt d
+load net {ACC1-3:conc#284.itm(2)} -attr vt d
+load net {ACC1-3:conc#284.itm(3)} -attr vt d
+load netBundle {ACC1-3:conc#284.itm} 4 {ACC1-3:conc#284.itm(0)} {ACC1-3:conc#284.itm(1)} {ACC1-3:conc#284.itm(2)} {ACC1-3:conc#284.itm(3)} -attr xrf 33117 -attr oid 281 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#284.itm}
+load net {ACC1-3:exs#555.itm(0)} -attr vt d
+load net {ACC1-3:exs#555.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#555.itm} 2 {ACC1-3:exs#555.itm(0)} {ACC1-3:exs#555.itm(1)} -attr xrf 33118 -attr oid 282 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#555.itm}
+load net {conc#619.itm(0)} -attr vt d
+load net {conc#619.itm(1)} -attr vt d
+load net {conc#619.itm(2)} -attr vt d
+load net {conc#619.itm(3)} -attr vt d
+load net {conc#619.itm(4)} -attr vt d
+load net {conc#619.itm(5)} -attr vt d
+load net {conc#619.itm(6)} -attr vt d
+load netBundle {conc#619.itm} 7 {conc#619.itm(0)} {conc#619.itm(1)} {conc#619.itm(2)} {conc#619.itm(3)} {conc#619.itm(4)} {conc#619.itm(5)} {conc#619.itm(6)} -attr xrf 33119 -attr oid 283 -attr vt d -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {ACC1:acc#261.itm(0)} -attr vt d
+load net {ACC1:acc#261.itm(1)} -attr vt d
+load net {ACC1:acc#261.itm(2)} -attr vt d
+load net {ACC1:acc#261.itm(3)} -attr vt d
+load net {ACC1:acc#261.itm(4)} -attr vt d
+load net {ACC1:acc#261.itm(5)} -attr vt d
+load net {ACC1:acc#261.itm(6)} -attr vt d
+load net {ACC1:acc#261.itm(7)} -attr vt d
+load netBundle {ACC1:acc#261.itm} 8 {ACC1:acc#261.itm(0)} {ACC1:acc#261.itm(1)} {ACC1:acc#261.itm(2)} {ACC1:acc#261.itm(3)} {ACC1:acc#261.itm(4)} {ACC1:acc#261.itm(5)} {ACC1:acc#261.itm(6)} {ACC1:acc#261.itm(7)} -attr xrf 33120 -attr oid 284 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1-3:exs#544.itm(0)} -attr vt d
+load net {ACC1-3:exs#544.itm(1)} -attr vt d
+load net {ACC1-3:exs#544.itm(2)} -attr vt d
+load net {ACC1-3:exs#544.itm(3)} -attr vt d
+load net {ACC1-3:exs#544.itm(4)} -attr vt d
+load net {ACC1-3:exs#544.itm(5)} -attr vt d
+load net {ACC1-3:exs#544.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#544.itm} 7 {ACC1-3:exs#544.itm(0)} {ACC1-3:exs#544.itm(1)} {ACC1-3:exs#544.itm(2)} {ACC1-3:exs#544.itm(3)} {ACC1-3:exs#544.itm(4)} {ACC1-3:exs#544.itm(5)} {ACC1-3:exs#544.itm(6)} -attr xrf 33121 -attr oid 285 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {ACC1-3:conc#254.itm(0)} -attr vt d
+load net {ACC1-3:conc#254.itm(1)} -attr vt d
+load net {ACC1-3:conc#254.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#254.itm} 3 {ACC1-3:conc#254.itm(0)} {ACC1-3:conc#254.itm(1)} {ACC1-3:conc#254.itm(2)} -attr xrf 33122 -attr oid 286 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#254.itm}
+load net {ACC1-3:exs#556.itm(0)} -attr vt d
+load net {ACC1-3:exs#556.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#556.itm} 2 {ACC1-3:exs#556.itm(0)} {ACC1-3:exs#556.itm(1)} -attr xrf 33123 -attr oid 287 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#556.itm}
+load net {ACC1:acc#258.itm(0)} -attr vt d
+load net {ACC1:acc#258.itm(1)} -attr vt d
+load net {ACC1:acc#258.itm(2)} -attr vt d
+load net {ACC1:acc#258.itm(3)} -attr vt d
+load net {ACC1:acc#258.itm(4)} -attr vt d
+load net {ACC1:acc#258.itm(5)} -attr vt d
+load netBundle {ACC1:acc#258.itm} 6 {ACC1:acc#258.itm(0)} {ACC1:acc#258.itm(1)} {ACC1:acc#258.itm(2)} {ACC1:acc#258.itm(3)} {ACC1:acc#258.itm(4)} {ACC1:acc#258.itm(5)} -attr xrf 33124 -attr oid 288 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {conc#620.itm(0)} -attr vt d
+load net {conc#620.itm(1)} -attr vt d
+load net {conc#620.itm(2)} -attr vt d
+load net {conc#620.itm(3)} -attr vt d
+load net {conc#620.itm(4)} -attr vt d
+load netBundle {conc#620.itm} 5 {conc#620.itm(0)} {conc#620.itm(1)} {conc#620.itm(2)} {conc#620.itm(3)} {conc#620.itm(4)} -attr xrf 33125 -attr oid 289 -attr vt d -attr @path {/sobel/sobel:core/conc#620.itm}
+load net {ACC1:acc#254.itm(0)} -attr vt d
+load net {ACC1:acc#254.itm(1)} -attr vt d
+load net {ACC1:acc#254.itm(2)} -attr vt d
+load net {ACC1:acc#254.itm(3)} -attr vt d
+load netBundle {ACC1:acc#254.itm} 4 {ACC1:acc#254.itm(0)} {ACC1:acc#254.itm(1)} {ACC1:acc#254.itm(2)} {ACC1:acc#254.itm(3)} -attr xrf 33126 -attr oid 290 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:slc#74.itm(0)} -attr vt d
+load net {ACC1:slc#74.itm(1)} -attr vt d
+load net {ACC1:slc#74.itm(2)} -attr vt d
+load netBundle {ACC1:slc#74.itm} 3 {ACC1:slc#74.itm(0)} {ACC1:slc#74.itm(1)} {ACC1:slc#74.itm(2)} -attr xrf 33127 -attr oid 291 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:acc#248.itm(0)} -attr vt d
+load net {ACC1:acc#248.itm(1)} -attr vt d
+load net {ACC1:acc#248.itm(2)} -attr vt d
+load net {ACC1:acc#248.itm(3)} -attr vt d
+load netBundle {ACC1:acc#248.itm} 4 {ACC1:acc#248.itm(0)} {ACC1:acc#248.itm(1)} {ACC1:acc#248.itm(2)} {ACC1:acc#248.itm(3)} -attr xrf 33128 -attr oid 292 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
+load net {exs#58.itm(0)} -attr vt d
+load net {exs#58.itm(1)} -attr vt d
+load net {exs#58.itm(2)} -attr vt d
+load netBundle {exs#58.itm} 3 {exs#58.itm(0)} {exs#58.itm(1)} {exs#58.itm(2)} -attr xrf 33129 -attr oid 293 -attr vt d -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {conc#621.itm(0)} -attr vt d
+load net {conc#621.itm(1)} -attr vt d
+load netBundle {conc#621.itm} 2 {conc#621.itm(0)} {conc#621.itm(1)} -attr xrf 33130 -attr oid 294 -attr vt d -attr @path {/sobel/sobel:core/conc#621.itm}
+load net {ACC1:exs#772.itm(0)} -attr vt d
+load net {ACC1:exs#772.itm(1)} -attr vt d
+load net {ACC1:exs#772.itm(2)} -attr vt d
+load netBundle {ACC1:exs#772.itm} 3 {ACC1:exs#772.itm(0)} {ACC1:exs#772.itm(1)} {ACC1:exs#772.itm(2)} -attr xrf 33131 -attr oid 295 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#772.itm}
+load net {ACC1:conc#594.itm(0)} -attr vt d
+load net {ACC1:conc#594.itm(1)} -attr vt d
+load netBundle {ACC1:conc#594.itm} 2 {ACC1:conc#594.itm(0)} {ACC1:conc#594.itm(1)} -attr xrf 33132 -attr oid 296 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#594.itm}
+load net {conc#623.itm(0)} -attr vt d
+load net {conc#623.itm(1)} -attr vt d
+load net {conc#623.itm(2)} -attr vt d
+load net {conc#623.itm(3)} -attr vt d
+load net {conc#623.itm(4)} -attr vt d
+load net {conc#623.itm(5)} -attr vt d
+load net {conc#623.itm(6)} -attr vt d
+load net {conc#623.itm(7)} -attr vt d
+load net {conc#623.itm(8)} -attr vt d
+load net {conc#623.itm(9)} -attr vt d
+load netBundle {conc#623.itm} 10 {conc#623.itm(0)} {conc#623.itm(1)} {conc#623.itm(2)} {conc#623.itm(3)} {conc#623.itm(4)} {conc#623.itm(5)} {conc#623.itm(6)} {conc#623.itm(7)} {conc#623.itm(8)} {conc#623.itm(9)} -attr xrf 33133 -attr oid 297 -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {ACC1-3:exs#572.itm(0)} -attr vt d
+load net {ACC1-3:exs#572.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#572.itm} 2 {ACC1-3:exs#572.itm(0)} {ACC1-3:exs#572.itm(1)} -attr xrf 33134 -attr oid 298 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#572.itm}
+load net {ACC1:acc#267.itm(0)} -attr vt d
+load net {ACC1:acc#267.itm(1)} -attr vt d
+load net {ACC1:acc#267.itm(2)} -attr vt d
+load net {ACC1:acc#267.itm(3)} -attr vt d
+load net {ACC1:acc#267.itm(4)} -attr vt d
+load net {ACC1:acc#267.itm(5)} -attr vt d
+load net {ACC1:acc#267.itm(6)} -attr vt d
+load net {ACC1:acc#267.itm(7)} -attr vt d
+load net {ACC1:acc#267.itm(8)} -attr vt d
+load net {ACC1:acc#267.itm(9)} -attr vt d
+load net {ACC1:acc#267.itm(10)} -attr vt d
+load net {ACC1:acc#267.itm(11)} -attr vt d
+load netBundle {ACC1:acc#267.itm} 12 {ACC1:acc#267.itm(0)} {ACC1:acc#267.itm(1)} {ACC1:acc#267.itm(2)} {ACC1:acc#267.itm(3)} {ACC1:acc#267.itm(4)} {ACC1:acc#267.itm(5)} {ACC1:acc#267.itm(6)} {ACC1:acc#267.itm(7)} {ACC1:acc#267.itm(8)} {ACC1:acc#267.itm(9)} {ACC1:acc#267.itm(10)} {ACC1:acc#267.itm(11)} -attr xrf 33135 -attr oid 299 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1-1:acc#124.itm(0)} -attr vt d
+load net {ACC1-1:acc#124.itm(1)} -attr vt d
+load net {ACC1-1:acc#124.itm(2)} -attr vt d
+load net {ACC1-1:acc#124.itm(3)} -attr vt d
+load net {ACC1-1:acc#124.itm(4)} -attr vt d
+load net {ACC1-1:acc#124.itm(5)} -attr vt d
+load net {ACC1-1:acc#124.itm(6)} -attr vt d
+load net {ACC1-1:acc#124.itm(7)} -attr vt d
+load net {ACC1-1:acc#124.itm(8)} -attr vt d
+load net {ACC1-1:acc#124.itm(9)} -attr vt d
+load net {ACC1-1:acc#124.itm(10)} -attr vt d
+load netBundle {ACC1-1:acc#124.itm} 11 {ACC1-1:acc#124.itm(0)} {ACC1-1:acc#124.itm(1)} {ACC1-1:acc#124.itm(2)} {ACC1-1:acc#124.itm(3)} {ACC1-1:acc#124.itm(4)} {ACC1-1:acc#124.itm(5)} {ACC1-1:acc#124.itm(6)} {ACC1-1:acc#124.itm(7)} {ACC1-1:acc#124.itm(8)} {ACC1-1:acc#124.itm(9)} {ACC1-1:acc#124.itm(10)} -attr xrf 33136 -attr oid 300 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1:acc#294.itm(0)} -attr vt d
+load net {ACC1:acc#294.itm(1)} -attr vt d
+load net {ACC1:acc#294.itm(2)} -attr vt d
+load net {ACC1:acc#294.itm(3)} -attr vt d
+load net {ACC1:acc#294.itm(4)} -attr vt d
+load net {ACC1:acc#294.itm(5)} -attr vt d
+load net {ACC1:acc#294.itm(6)} -attr vt d
+load net {ACC1:acc#294.itm(7)} -attr vt d
+load net {ACC1:acc#294.itm(8)} -attr vt d
+load net {ACC1:acc#294.itm(9)} -attr vt d
+load net {ACC1:acc#294.itm(10)} -attr vt d
+load netBundle {ACC1:acc#294.itm} 11 {ACC1:acc#294.itm(0)} {ACC1:acc#294.itm(1)} {ACC1:acc#294.itm(2)} {ACC1:acc#294.itm(3)} {ACC1:acc#294.itm(4)} {ACC1:acc#294.itm(5)} {ACC1:acc#294.itm(6)} {ACC1:acc#294.itm(7)} {ACC1:acc#294.itm(8)} {ACC1:acc#294.itm(9)} {ACC1:acc#294.itm(10)} -attr xrf 33137 -attr oid 301 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#292.itm(0)} -attr vt d
+load net {ACC1:acc#292.itm(1)} -attr vt d
+load net {ACC1:acc#292.itm(2)} -attr vt d
+load net {ACC1:acc#292.itm(3)} -attr vt d
+load net {ACC1:acc#292.itm(4)} -attr vt d
+load net {ACC1:acc#292.itm(5)} -attr vt d
+load net {ACC1:acc#292.itm(6)} -attr vt d
+load net {ACC1:acc#292.itm(7)} -attr vt d
+load net {ACC1:acc#292.itm(8)} -attr vt d
+load net {ACC1:acc#292.itm(9)} -attr vt d
+load netBundle {ACC1:acc#292.itm} 10 {ACC1:acc#292.itm(0)} {ACC1:acc#292.itm(1)} {ACC1:acc#292.itm(2)} {ACC1:acc#292.itm(3)} {ACC1:acc#292.itm(4)} {ACC1:acc#292.itm(5)} {ACC1:acc#292.itm(6)} {ACC1:acc#292.itm(7)} {ACC1:acc#292.itm(8)} {ACC1:acc#292.itm(9)} -attr xrf 33138 -attr oid 302 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {conc#624.itm(0)} -attr vt d
+load net {conc#624.itm(1)} -attr vt d
+load net {conc#624.itm(2)} -attr vt d
+load net {conc#624.itm(3)} -attr vt d
+load net {conc#624.itm(4)} -attr vt d
+load net {conc#624.itm(5)} -attr vt d
+load net {conc#624.itm(6)} -attr vt d
+load net {conc#624.itm(7)} -attr vt d
+load net {conc#624.itm(8)} -attr vt d
+load netBundle {conc#624.itm} 9 {conc#624.itm(0)} {conc#624.itm(1)} {conc#624.itm(2)} {conc#624.itm(3)} {conc#624.itm(4)} {conc#624.itm(5)} {conc#624.itm(6)} {conc#624.itm(7)} {conc#624.itm(8)} -attr xrf 33139 -attr oid 303 -attr vt d -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {ACC1:acc#290.itm(0)} -attr vt d
+load net {ACC1:acc#290.itm(1)} -attr vt d
+load net {ACC1:acc#290.itm(2)} -attr vt d
+load net {ACC1:acc#290.itm(3)} -attr vt d
+load net {ACC1:acc#290.itm(4)} -attr vt d
+load net {ACC1:acc#290.itm(5)} -attr vt d
+load net {ACC1:acc#290.itm(6)} -attr vt d
+load net {ACC1:acc#290.itm(7)} -attr vt d
+load netBundle {ACC1:acc#290.itm} 8 {ACC1:acc#290.itm(0)} {ACC1:acc#290.itm(1)} {ACC1:acc#290.itm(2)} {ACC1:acc#290.itm(3)} {ACC1:acc#290.itm(4)} {ACC1:acc#290.itm(5)} {ACC1:acc#290.itm(6)} {ACC1:acc#290.itm(7)} -attr xrf 33140 -attr oid 304 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {conc#625.itm(0)} -attr vt d
+load net {conc#625.itm(1)} -attr vt d
+load net {conc#625.itm(2)} -attr vt d
+load net {conc#625.itm(3)} -attr vt d
+load net {conc#625.itm(4)} -attr vt d
+load net {conc#625.itm(5)} -attr vt d
+load net {conc#625.itm(6)} -attr vt d
+load net {conc#625.itm(7)} -attr vt d
+load netBundle {conc#625.itm} 8 {conc#625.itm(0)} {conc#625.itm(1)} {conc#625.itm(2)} {conc#625.itm(3)} {conc#625.itm(4)} {conc#625.itm(5)} {conc#625.itm(6)} {conc#625.itm(7)} -attr xrf 33141 -attr oid 305 -attr vt d -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {ACC1-1:exs#553.itm(0)} -attr vt d
+load net {ACC1-1:exs#553.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#553.itm} 2 {ACC1-1:exs#553.itm(0)} {ACC1-1:exs#553.itm(1)} -attr xrf 33142 -attr oid 306 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#553.itm}
+load net {ACC1:acc#287.itm(0)} -attr vt d
+load net {ACC1:acc#287.itm(1)} -attr vt d
+load net {ACC1:acc#287.itm(2)} -attr vt d
+load net {ACC1:acc#287.itm(3)} -attr vt d
+load net {ACC1:acc#287.itm(4)} -attr vt d
+load net {ACC1:acc#287.itm(5)} -attr vt d
+load net {ACC1:acc#287.itm(6)} -attr vt d
+load netBundle {ACC1:acc#287.itm} 7 {ACC1:acc#287.itm(0)} {ACC1:acc#287.itm(1)} {ACC1:acc#287.itm(2)} {ACC1:acc#287.itm(3)} {ACC1:acc#287.itm(4)} {ACC1:acc#287.itm(5)} {ACC1:acc#287.itm(6)} -attr xrf 33143 -attr oid 307 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {conc#626.itm(0)} -attr vt d
+load net {conc#626.itm(1)} -attr vt d
+load net {conc#626.itm(2)} -attr vt d
+load net {conc#626.itm(3)} -attr vt d
+load net {conc#626.itm(4)} -attr vt d
+load net {conc#626.itm(5)} -attr vt d
+load netBundle {conc#626.itm} 6 {conc#626.itm(0)} {conc#626.itm(1)} {conc#626.itm(2)} {conc#626.itm(3)} {conc#626.itm(4)} {conc#626.itm(5)} -attr xrf 33144 -attr oid 308 -attr vt d -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {ACC1-1:exs#556.itm(0)} -attr vt d
+load net {ACC1-1:exs#556.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#556.itm} 2 {ACC1-1:exs#556.itm(0)} {ACC1-1:exs#556.itm(1)} -attr xrf 33145 -attr oid 309 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#556.itm}
+load net {ACC1:acc#284.itm(0)} -attr vt d
+load net {ACC1:acc#284.itm(1)} -attr vt d
+load net {ACC1:acc#284.itm(2)} -attr vt d
+load net {ACC1:acc#284.itm(3)} -attr vt d
+load net {ACC1:acc#284.itm(4)} -attr vt d
+load netBundle {ACC1:acc#284.itm} 5 {ACC1:acc#284.itm(0)} {ACC1:acc#284.itm(1)} {ACC1:acc#284.itm(2)} {ACC1:acc#284.itm(3)} {ACC1:acc#284.itm(4)} -attr xrf 33146 -attr oid 310 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#280.itm(0)} -attr vt d
+load net {ACC1:acc#280.itm(1)} -attr vt d
+load net {ACC1:acc#280.itm(2)} -attr vt d
+load net {ACC1:acc#280.itm(3)} -attr vt d
+load netBundle {ACC1:acc#280.itm} 4 {ACC1:acc#280.itm(0)} {ACC1:acc#280.itm(1)} {ACC1:acc#280.itm(2)} {ACC1:acc#280.itm(3)} -attr xrf 33147 -attr oid 311 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:slc#80.itm(0)} -attr vt d
+load net {ACC1:slc#80.itm(1)} -attr vt d
+load net {ACC1:slc#80.itm(2)} -attr vt d
+load netBundle {ACC1:slc#80.itm} 3 {ACC1:slc#80.itm(0)} {ACC1:slc#80.itm(1)} {ACC1:slc#80.itm(2)} -attr xrf 33148 -attr oid 312 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#80.itm}
+load net {ACC1:acc#274.itm(0)} -attr vt d
+load net {ACC1:acc#274.itm(1)} -attr vt d
+load net {ACC1:acc#274.itm(2)} -attr vt d
+load net {ACC1:acc#274.itm(3)} -attr vt d
+load netBundle {ACC1:acc#274.itm} 4 {ACC1:acc#274.itm(0)} {ACC1:acc#274.itm(1)} {ACC1:acc#274.itm(2)} {ACC1:acc#274.itm(3)} -attr xrf 33149 -attr oid 313 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
+load net {exs#38.itm(0)} -attr vt d
+load net {exs#38.itm(1)} -attr vt d
+load net {exs#38.itm(2)} -attr vt d
+load netBundle {exs#38.itm} 3 {exs#38.itm(0)} {exs#38.itm(1)} {exs#38.itm(2)} -attr xrf 33150 -attr oid 314 -attr vt d -attr @path {/sobel/sobel:core/exs#38.itm}
+load net {conc#627.itm(0)} -attr vt d
+load net {conc#627.itm(1)} -attr vt d
+load netBundle {conc#627.itm} 2 {conc#627.itm(0)} {conc#627.itm(1)} -attr xrf 33151 -attr oid 315 -attr vt d -attr @path {/sobel/sobel:core/conc#627.itm}
+load net {ACC1:exs#774.itm(0)} -attr vt d
+load net {ACC1:exs#774.itm(1)} -attr vt d
+load net {ACC1:exs#774.itm(2)} -attr vt d
+load netBundle {ACC1:exs#774.itm} 3 {ACC1:exs#774.itm(0)} {ACC1:exs#774.itm(1)} {ACC1:exs#774.itm(2)} -attr xrf 33152 -attr oid 316 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#774.itm}
+load net {ACC1:conc#607.itm(0)} -attr vt d
+load net {ACC1:conc#607.itm(1)} -attr vt d
+load netBundle {ACC1:conc#607.itm} 2 {ACC1:conc#607.itm(0)} {ACC1:conc#607.itm(1)} -attr xrf 33153 -attr oid 317 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#607.itm}
+load net {ACC1:slc#79.itm(0)} -attr vt d
+load net {ACC1:slc#79.itm(1)} -attr vt d
+load net {ACC1:slc#79.itm(2)} -attr vt d
+load netBundle {ACC1:slc#79.itm} 3 {ACC1:slc#79.itm(0)} {ACC1:slc#79.itm(1)} {ACC1:slc#79.itm(2)} -attr xrf 33154 -attr oid 318 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#79.itm}
+load net {ACC1:acc#273.itm(0)} -attr vt d
+load net {ACC1:acc#273.itm(1)} -attr vt d
+load net {ACC1:acc#273.itm(2)} -attr vt d
+load net {ACC1:acc#273.itm(3)} -attr vt d
+load netBundle {ACC1:acc#273.itm} 4 {ACC1:acc#273.itm(0)} {ACC1:acc#273.itm(1)} {ACC1:acc#273.itm(2)} {ACC1:acc#273.itm(3)} -attr xrf 33155 -attr oid 319 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
+load net {exs#39.itm(0)} -attr vt d
+load net {exs#39.itm(1)} -attr vt d
+load net {exs#39.itm(2)} -attr vt d
+load netBundle {exs#39.itm} 3 {exs#39.itm(0)} {exs#39.itm(1)} {exs#39.itm(2)} -attr xrf 33156 -attr oid 320 -attr vt d -attr @path {/sobel/sobel:core/exs#39.itm}
+load net {conc#628.itm(0)} -attr vt d
+load net {conc#628.itm(1)} -attr vt d
+load netBundle {conc#628.itm} 2 {conc#628.itm(0)} {conc#628.itm(1)} -attr xrf 33157 -attr oid 321 -attr vt d -attr @path {/sobel/sobel:core/conc#628.itm}
+load net {ACC1:exs#776.itm(0)} -attr vt d
+load net {ACC1:exs#776.itm(1)} -attr vt d
+load net {ACC1:exs#776.itm(2)} -attr vt d
+load netBundle {ACC1:exs#776.itm} 3 {ACC1:exs#776.itm(0)} {ACC1:exs#776.itm(1)} {ACC1:exs#776.itm(2)} -attr xrf 33158 -attr oid 322 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#776.itm}
+load net {ACC1:conc#605.itm(0)} -attr vt d
+load net {ACC1:conc#605.itm(1)} -attr vt d
+load netBundle {ACC1:conc#605.itm} 2 {ACC1:conc#605.itm(0)} {ACC1:conc#605.itm(1)} -attr xrf 33159 -attr oid 323 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#605.itm}
+load net {ACC1:acc#279.itm(0)} -attr vt d
+load net {ACC1:acc#279.itm(1)} -attr vt d
+load net {ACC1:acc#279.itm(2)} -attr vt d
+load net {ACC1:acc#279.itm(3)} -attr vt d
+load netBundle {ACC1:acc#279.itm} 4 {ACC1:acc#279.itm(0)} {ACC1:acc#279.itm(1)} {ACC1:acc#279.itm(2)} {ACC1:acc#279.itm(3)} -attr xrf 33160 -attr oid 324 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:slc#78.itm(0)} -attr vt d
+load net {ACC1:slc#78.itm(1)} -attr vt d
+load net {ACC1:slc#78.itm(2)} -attr vt d
+load netBundle {ACC1:slc#78.itm} 3 {ACC1:slc#78.itm(0)} {ACC1:slc#78.itm(1)} {ACC1:slc#78.itm(2)} -attr xrf 33161 -attr oid 325 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#78.itm}
+load net {ACC1:acc#272.itm(0)} -attr vt d
+load net {ACC1:acc#272.itm(1)} -attr vt d
+load net {ACC1:acc#272.itm(2)} -attr vt d
+load net {ACC1:acc#272.itm(3)} -attr vt d
+load netBundle {ACC1:acc#272.itm} 4 {ACC1:acc#272.itm(0)} {ACC1:acc#272.itm(1)} {ACC1:acc#272.itm(2)} {ACC1:acc#272.itm(3)} -attr xrf 33162 -attr oid 326 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
+load net {exs#40.itm(0)} -attr vt d
+load net {exs#40.itm(1)} -attr vt d
+load net {exs#40.itm(2)} -attr vt d
+load netBundle {exs#40.itm} 3 {exs#40.itm(0)} {exs#40.itm(1)} {exs#40.itm(2)} -attr xrf 33163 -attr oid 327 -attr vt d -attr @path {/sobel/sobel:core/exs#40.itm}
+load net {conc#629.itm(0)} -attr vt d
+load net {conc#629.itm(1)} -attr vt d
+load netBundle {conc#629.itm} 2 {conc#629.itm(0)} {conc#629.itm(1)} -attr xrf 33164 -attr oid 328 -attr vt d -attr @path {/sobel/sobel:core/conc#629.itm}
+load net {ACC1:exs#778.itm(0)} -attr vt d
+load net {ACC1:exs#778.itm(1)} -attr vt d
+load net {ACC1:exs#778.itm(2)} -attr vt d
+load netBundle {ACC1:exs#778.itm} 3 {ACC1:exs#778.itm(0)} {ACC1:exs#778.itm(1)} {ACC1:exs#778.itm(2)} -attr xrf 33165 -attr oid 329 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#778.itm}
+load net {ACC1:conc#603.itm(0)} -attr vt d
+load net {ACC1:conc#603.itm(1)} -attr vt d
+load netBundle {ACC1:conc#603.itm} 2 {ACC1:conc#603.itm(0)} {ACC1:conc#603.itm(1)} -attr xrf 33166 -attr oid 330 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#603.itm}
+load net {ACC1:slc#77.itm(0)} -attr vt d
+load net {ACC1:slc#77.itm(1)} -attr vt d
+load net {ACC1:slc#77.itm(2)} -attr vt d
+load netBundle {ACC1:slc#77.itm} 3 {ACC1:slc#77.itm(0)} {ACC1:slc#77.itm(1)} {ACC1:slc#77.itm(2)} -attr xrf 33167 -attr oid 331 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#77.itm}
+load net {ACC1:acc#271.itm(0)} -attr vt d
+load net {ACC1:acc#271.itm(1)} -attr vt d
+load net {ACC1:acc#271.itm(2)} -attr vt d
+load net {ACC1:acc#271.itm(3)} -attr vt d
+load netBundle {ACC1:acc#271.itm} 4 {ACC1:acc#271.itm(0)} {ACC1:acc#271.itm(1)} {ACC1:acc#271.itm(2)} {ACC1:acc#271.itm(3)} -attr xrf 33168 -attr oid 332 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
+load net {exs#41.itm(0)} -attr vt d
+load net {exs#41.itm(1)} -attr vt d
+load net {exs#41.itm(2)} -attr vt d
+load netBundle {exs#41.itm} 3 {exs#41.itm(0)} {exs#41.itm(1)} {exs#41.itm(2)} -attr xrf 33169 -attr oid 333 -attr vt d -attr @path {/sobel/sobel:core/exs#41.itm}
+load net {conc#630.itm(0)} -attr vt d
+load net {conc#630.itm(1)} -attr vt d
+load netBundle {conc#630.itm} 2 {conc#630.itm(0)} {conc#630.itm(1)} -attr xrf 33170 -attr oid 334 -attr vt d -attr @path {/sobel/sobel:core/conc#630.itm}
+load net {ACC1:exs#780.itm(0)} -attr vt d
+load net {ACC1:exs#780.itm(1)} -attr vt d
+load net {ACC1:exs#780.itm(2)} -attr vt d
+load netBundle {ACC1:exs#780.itm} 3 {ACC1:exs#780.itm(0)} {ACC1:exs#780.itm(1)} {ACC1:exs#780.itm(2)} -attr xrf 33171 -attr oid 335 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#780.itm}
+load net {ACC1:conc#601.itm(0)} -attr vt d
+load net {ACC1:conc#601.itm(1)} -attr vt d
+load netBundle {ACC1:conc#601.itm} 2 {ACC1:conc#601.itm(0)} {ACC1:conc#601.itm(1)} -attr xrf 33172 -attr oid 336 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#601.itm}
+load net {ACC1:acc#291.itm(0)} -attr vt d
+load net {ACC1:acc#291.itm(1)} -attr vt d
+load net {ACC1:acc#291.itm(2)} -attr vt d
+load net {ACC1:acc#291.itm(3)} -attr vt d
+load net {ACC1:acc#291.itm(4)} -attr vt d
+load net {ACC1:acc#291.itm(5)} -attr vt d
+load net {ACC1:acc#291.itm(6)} -attr vt d
+load net {ACC1:acc#291.itm(7)} -attr vt d
+load net {ACC1:acc#291.itm(8)} -attr vt d
+load net {ACC1:acc#291.itm(9)} -attr vt d
+load netBundle {ACC1:acc#291.itm} 10 {ACC1:acc#291.itm(0)} {ACC1:acc#291.itm(1)} {ACC1:acc#291.itm(2)} {ACC1:acc#291.itm(3)} {ACC1:acc#291.itm(4)} {ACC1:acc#291.itm(5)} {ACC1:acc#291.itm(6)} {ACC1:acc#291.itm(7)} {ACC1:acc#291.itm(8)} {ACC1:acc#291.itm(9)} -attr xrf 33173 -attr oid 337 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#289.itm(0)} -attr vt d
+load net {ACC1:acc#289.itm(1)} -attr vt d
+load net {ACC1:acc#289.itm(2)} -attr vt d
+load net {ACC1:acc#289.itm(3)} -attr vt d
+load net {ACC1:acc#289.itm(4)} -attr vt d
+load net {ACC1:acc#289.itm(5)} -attr vt d
+load net {ACC1:acc#289.itm(6)} -attr vt d
+load net {ACC1:acc#289.itm(7)} -attr vt d
+load netBundle {ACC1:acc#289.itm} 8 {ACC1:acc#289.itm(0)} {ACC1:acc#289.itm(1)} {ACC1:acc#289.itm(2)} {ACC1:acc#289.itm(3)} {ACC1:acc#289.itm(4)} {ACC1:acc#289.itm(5)} {ACC1:acc#289.itm(6)} {ACC1:acc#289.itm(7)} -attr xrf 33174 -attr oid 338 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#286.itm(0)} -attr vt d
+load net {ACC1:acc#286.itm(1)} -attr vt d
+load net {ACC1:acc#286.itm(2)} -attr vt d
+load net {ACC1:acc#286.itm(3)} -attr vt d
+load net {ACC1:acc#286.itm(4)} -attr vt d
+load net {ACC1:acc#286.itm(5)} -attr vt d
+load netBundle {ACC1:acc#286.itm} 6 {ACC1:acc#286.itm(0)} {ACC1:acc#286.itm(1)} {ACC1:acc#286.itm(2)} {ACC1:acc#286.itm(3)} {ACC1:acc#286.itm(4)} {ACC1:acc#286.itm(5)} -attr xrf 33175 -attr oid 339 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#283.itm(0)} -attr vt d
+load net {ACC1:acc#283.itm(1)} -attr vt d
+load net {ACC1:acc#283.itm(2)} -attr vt d
+load net {ACC1:acc#283.itm(3)} -attr vt d
+load netBundle {ACC1:acc#283.itm} 4 {ACC1:acc#283.itm(0)} {ACC1:acc#283.itm(1)} {ACC1:acc#283.itm(2)} {ACC1:acc#283.itm(3)} -attr xrf 33176 -attr oid 340 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#278.itm(0)} -attr vt d
+load net {ACC1:acc#278.itm(1)} -attr vt d
+load net {ACC1:acc#278.itm(2)} -attr vt d
+load netBundle {ACC1:acc#278.itm} 3 {ACC1:acc#278.itm(0)} {ACC1:acc#278.itm(1)} {ACC1:acc#278.itm(2)} -attr xrf 33177 -attr oid 341 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load net {ACC1:slc#75.itm(0)} -attr vt d
+load net {ACC1:slc#75.itm(1)} -attr vt d
+load net {ACC1:slc#75.itm(2)} -attr vt d
+load netBundle {ACC1:slc#75.itm} 3 {ACC1:slc#75.itm(0)} {ACC1:slc#75.itm(1)} {ACC1:slc#75.itm(2)} -attr xrf 33178 -attr oid 342 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#75.itm}
+load net {ACC1:acc#269.itm(0)} -attr vt d
+load net {ACC1:acc#269.itm(1)} -attr vt d
+load net {ACC1:acc#269.itm(2)} -attr vt d
+load net {ACC1:acc#269.itm(3)} -attr vt d
+load netBundle {ACC1:acc#269.itm} 4 {ACC1:acc#269.itm(0)} {ACC1:acc#269.itm(1)} {ACC1:acc#269.itm(2)} {ACC1:acc#269.itm(3)} -attr xrf 33179 -attr oid 343 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
+load net {conc#631.itm(0)} -attr vt d
+load net {conc#631.itm(1)} -attr vt d
+load net {conc#631.itm(2)} -attr vt d
+load netBundle {conc#631.itm} 3 {conc#631.itm(0)} {conc#631.itm(1)} {conc#631.itm(2)} -attr xrf 33180 -attr oid 344 -attr vt d -attr @path {/sobel/sobel:core/conc#631.itm}
+load net {ACC1:conc#597.itm(0)} -attr vt d
+load net {ACC1:conc#597.itm(1)} -attr vt d
+load netBundle {ACC1:conc#597.itm} 2 {ACC1:conc#597.itm(0)} {ACC1:conc#597.itm(1)} -attr xrf 33181 -attr oid 345 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#597.itm}
+load net {slc(ACC1:acc#120.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#120.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#120.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#120.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#120.psp#1.sva)#2.itm(1)} -attr xrf 33182 -attr oid 346 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp#1.sva)#2.itm}
+load net {ACC1:slc#76.itm(0)} -attr vt d
+load net {ACC1:slc#76.itm(1)} -attr vt d
+load net {ACC1:slc#76.itm(2)} -attr vt d
+load net {ACC1:slc#76.itm(3)} -attr vt d
+load netBundle {ACC1:slc#76.itm} 4 {ACC1:slc#76.itm(0)} {ACC1:slc#76.itm(1)} {ACC1:slc#76.itm(2)} {ACC1:slc#76.itm(3)} -attr xrf 33183 -attr oid 347 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#76.itm}
+load net {ACC1:acc#270.itm(0)} -attr vt d
+load net {ACC1:acc#270.itm(1)} -attr vt d
+load net {ACC1:acc#270.itm(2)} -attr vt d
+load net {ACC1:acc#270.itm(3)} -attr vt d
+load net {ACC1:acc#270.itm(4)} -attr vt d
+load netBundle {ACC1:acc#270.itm} 5 {ACC1:acc#270.itm(0)} {ACC1:acc#270.itm(1)} {ACC1:acc#270.itm(2)} {ACC1:acc#270.itm(3)} {ACC1:acc#270.itm(4)} -attr xrf 33184 -attr oid 348 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
+load net {conc#632.itm(0)} -attr vt d
+load net {conc#632.itm(1)} -attr vt d
+load net {conc#632.itm(2)} -attr vt d
+load netBundle {conc#632.itm} 3 {conc#632.itm(0)} {conc#632.itm(1)} {conc#632.itm(2)} -attr xrf 33185 -attr oid 349 -attr vt d -attr @path {/sobel/sobel:core/conc#632.itm}
+load net {ACC1:conc#599.itm(0)} -attr vt d
+load net {ACC1:conc#599.itm(1)} -attr vt d
+load net {ACC1:conc#599.itm(2)} -attr vt d
+load netBundle {ACC1:conc#599.itm} 3 {ACC1:conc#599.itm(0)} {ACC1:conc#599.itm(1)} {ACC1:conc#599.itm(2)} -attr xrf 33186 -attr oid 350 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#599.itm}
+load net {ACC1:acc#282.itm(0)} -attr vt d
+load net {ACC1:acc#282.itm(1)} -attr vt d
+load net {ACC1:acc#282.itm(2)} -attr vt d
+load net {ACC1:acc#282.itm(3)} -attr vt d
+load net {ACC1:acc#282.itm(4)} -attr vt d
+load netBundle {ACC1:acc#282.itm} 5 {ACC1:acc#282.itm(0)} {ACC1:acc#282.itm(1)} {ACC1:acc#282.itm(2)} {ACC1:acc#282.itm(3)} {ACC1:acc#282.itm(4)} -attr xrf 33187 -attr oid 351 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1-1:conc#284.itm(0)} -attr vt d
+load net {ACC1-1:conc#284.itm(1)} -attr vt d
+load net {ACC1-1:conc#284.itm(2)} -attr vt d
+load net {ACC1-1:conc#284.itm(3)} -attr vt d
+load netBundle {ACC1-1:conc#284.itm} 4 {ACC1-1:conc#284.itm(0)} {ACC1-1:conc#284.itm(1)} {ACC1-1:conc#284.itm(2)} {ACC1-1:conc#284.itm(3)} -attr xrf 33188 -attr oid 352 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#284.itm}
+load net {ACC1-1:exs#550.itm(0)} -attr vt d
+load net {ACC1-1:exs#550.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#550.itm} 2 {ACC1-1:exs#550.itm(0)} {ACC1-1:exs#550.itm(1)} -attr xrf 33189 -attr oid 353 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#550.itm}
+load net {conc#633.itm(0)} -attr vt d
+load net {conc#633.itm(1)} -attr vt d
+load net {conc#633.itm(2)} -attr vt d
+load net {conc#633.itm(3)} -attr vt d
+load net {conc#633.itm(4)} -attr vt d
+load net {conc#633.itm(5)} -attr vt d
+load net {conc#633.itm(6)} -attr vt d
+load netBundle {conc#633.itm} 7 {conc#633.itm(0)} {conc#633.itm(1)} {conc#633.itm(2)} {conc#633.itm(3)} {conc#633.itm(4)} {conc#633.itm(5)} {conc#633.itm(6)} -attr xrf 33190 -attr oid 354 -attr vt d -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {ACC1:acc#288.itm(0)} -attr vt d
+load net {ACC1:acc#288.itm(1)} -attr vt d
+load net {ACC1:acc#288.itm(2)} -attr vt d
+load net {ACC1:acc#288.itm(3)} -attr vt d
+load net {ACC1:acc#288.itm(4)} -attr vt d
+load net {ACC1:acc#288.itm(5)} -attr vt d
+load net {ACC1:acc#288.itm(6)} -attr vt d
+load net {ACC1:acc#288.itm(7)} -attr vt d
+load netBundle {ACC1:acc#288.itm} 8 {ACC1:acc#288.itm(0)} {ACC1:acc#288.itm(1)} {ACC1:acc#288.itm(2)} {ACC1:acc#288.itm(3)} {ACC1:acc#288.itm(4)} {ACC1:acc#288.itm(5)} {ACC1:acc#288.itm(6)} {ACC1:acc#288.itm(7)} -attr xrf 33191 -attr oid 355 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1-1:exs#544.itm(0)} -attr vt d
+load net {ACC1-1:exs#544.itm(1)} -attr vt d
+load net {ACC1-1:exs#544.itm(2)} -attr vt d
+load net {ACC1-1:exs#544.itm(3)} -attr vt d
+load net {ACC1-1:exs#544.itm(4)} -attr vt d
+load net {ACC1-1:exs#544.itm(5)} -attr vt d
+load net {ACC1-1:exs#544.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#544.itm} 7 {ACC1-1:exs#544.itm(0)} {ACC1-1:exs#544.itm(1)} {ACC1-1:exs#544.itm(2)} {ACC1-1:exs#544.itm(3)} {ACC1-1:exs#544.itm(4)} {ACC1-1:exs#544.itm(5)} {ACC1-1:exs#544.itm(6)} -attr xrf 33192 -attr oid 356 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {ACC1-1:conc#254.itm(0)} -attr vt d
+load net {ACC1-1:conc#254.itm(1)} -attr vt d
+load net {ACC1-1:conc#254.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#254.itm} 3 {ACC1-1:conc#254.itm(0)} {ACC1-1:conc#254.itm(1)} {ACC1-1:conc#254.itm(2)} -attr xrf 33193 -attr oid 357 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#254.itm}
+load net {ACC1-1:exs#545.itm(0)} -attr vt d
+load net {ACC1-1:exs#545.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#545.itm} 2 {ACC1-1:exs#545.itm(0)} {ACC1-1:exs#545.itm(1)} -attr xrf 33194 -attr oid 358 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#545.itm}
+load net {ACC1:acc#285.itm(0)} -attr vt d
+load net {ACC1:acc#285.itm(1)} -attr vt d
+load net {ACC1:acc#285.itm(2)} -attr vt d
+load net {ACC1:acc#285.itm(3)} -attr vt d
+load net {ACC1:acc#285.itm(4)} -attr vt d
+load net {ACC1:acc#285.itm(5)} -attr vt d
+load netBundle {ACC1:acc#285.itm} 6 {ACC1:acc#285.itm(0)} {ACC1:acc#285.itm(1)} {ACC1:acc#285.itm(2)} {ACC1:acc#285.itm(3)} {ACC1:acc#285.itm(4)} {ACC1:acc#285.itm(5)} -attr xrf 33195 -attr oid 359 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {conc#634.itm(0)} -attr vt d
+load net {conc#634.itm(1)} -attr vt d
+load net {conc#634.itm(2)} -attr vt d
+load net {conc#634.itm(3)} -attr vt d
+load net {conc#634.itm(4)} -attr vt d
+load netBundle {conc#634.itm} 5 {conc#634.itm(0)} {conc#634.itm(1)} {conc#634.itm(2)} {conc#634.itm(3)} {conc#634.itm(4)} -attr xrf 33196 -attr oid 360 -attr vt d -attr @path {/sobel/sobel:core/conc#634.itm}
+load net {ACC1:acc#281.itm(0)} -attr vt d
+load net {ACC1:acc#281.itm(1)} -attr vt d
+load net {ACC1:acc#281.itm(2)} -attr vt d
+load net {ACC1:acc#281.itm(3)} -attr vt d
+load netBundle {ACC1:acc#281.itm} 4 {ACC1:acc#281.itm(0)} {ACC1:acc#281.itm(1)} {ACC1:acc#281.itm(2)} {ACC1:acc#281.itm(3)} -attr xrf 33197 -attr oid 361 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:slc#81.itm(0)} -attr vt d
+load net {ACC1:slc#81.itm(1)} -attr vt d
+load net {ACC1:slc#81.itm(2)} -attr vt d
+load netBundle {ACC1:slc#81.itm} 3 {ACC1:slc#81.itm(0)} {ACC1:slc#81.itm(1)} {ACC1:slc#81.itm(2)} -attr xrf 33198 -attr oid 362 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#81.itm}
+load net {ACC1:acc#275.itm(0)} -attr vt d
+load net {ACC1:acc#275.itm(1)} -attr vt d
+load net {ACC1:acc#275.itm(2)} -attr vt d
+load net {ACC1:acc#275.itm(3)} -attr vt d
+load netBundle {ACC1:acc#275.itm} 4 {ACC1:acc#275.itm(0)} {ACC1:acc#275.itm(1)} {ACC1:acc#275.itm(2)} {ACC1:acc#275.itm(3)} -attr xrf 33199 -attr oid 363 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
+load net {exs#59.itm(0)} -attr vt d
+load net {exs#59.itm(1)} -attr vt d
+load net {exs#59.itm(2)} -attr vt d
+load netBundle {exs#59.itm} 3 {exs#59.itm(0)} {exs#59.itm(1)} {exs#59.itm(2)} -attr xrf 33200 -attr oid 364 -attr vt d -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {conc#635.itm(0)} -attr vt d
+load net {conc#635.itm(1)} -attr vt d
+load netBundle {conc#635.itm} 2 {conc#635.itm(0)} {conc#635.itm(1)} -attr xrf 33201 -attr oid 365 -attr vt d -attr @path {/sobel/sobel:core/conc#635.itm}
+load net {ACC1:exs#782.itm(0)} -attr vt d
+load net {ACC1:exs#782.itm(1)} -attr vt d
+load net {ACC1:exs#782.itm(2)} -attr vt d
+load netBundle {ACC1:exs#782.itm} 3 {ACC1:exs#782.itm(0)} {ACC1:exs#782.itm(1)} {ACC1:exs#782.itm(2)} -attr xrf 33202 -attr oid 366 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#782.itm}
+load net {ACC1:conc#609.itm(0)} -attr vt d
+load net {ACC1:conc#609.itm(1)} -attr vt d
+load netBundle {ACC1:conc#609.itm} 2 {ACC1:conc#609.itm(0)} {ACC1:conc#609.itm(1)} -attr xrf 33203 -attr oid 367 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#609.itm}
+load net {conc#637.itm(0)} -attr vt d
+load net {conc#637.itm(1)} -attr vt d
+load net {conc#637.itm(2)} -attr vt d
+load net {conc#637.itm(3)} -attr vt d
+load net {conc#637.itm(4)} -attr vt d
+load net {conc#637.itm(5)} -attr vt d
+load net {conc#637.itm(6)} -attr vt d
+load net {conc#637.itm(7)} -attr vt d
+load net {conc#637.itm(8)} -attr vt d
+load net {conc#637.itm(9)} -attr vt d
+load net {conc#637.itm(10)} -attr vt d
+load netBundle {conc#637.itm} 11 {conc#637.itm(0)} {conc#637.itm(1)} {conc#637.itm(2)} {conc#637.itm(3)} {conc#637.itm(4)} {conc#637.itm(5)} {conc#637.itm(6)} {conc#637.itm(7)} {conc#637.itm(8)} {conc#637.itm(9)} {conc#637.itm(10)} -attr xrf 33204 -attr oid 368 -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {ACC1:acc#346.itm(0)} -attr vt d
+load net {ACC1:acc#346.itm(1)} -attr vt d
+load net {ACC1:acc#346.itm(2)} -attr vt d
+load netBundle {ACC1:acc#346.itm} 3 {ACC1:acc#346.itm(0)} {ACC1:acc#346.itm(1)} {ACC1:acc#346.itm(2)} -attr xrf 33205 -attr oid 369 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:exs#849.itm(0)} -attr vt d
+load net {ACC1:exs#849.itm(1)} -attr vt d
+load netBundle {ACC1:exs#849.itm} 2 {ACC1:exs#849.itm(0)} {ACC1:exs#849.itm(1)} -attr xrf 33206 -attr oid 370 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#849.itm}
+load net {ACC1:acc#265.itm(0)} -attr vt d
+load net {ACC1:acc#265.itm(1)} -attr vt d
+load net {ACC1:acc#265.itm(2)} -attr vt d
+load net {ACC1:acc#265.itm(3)} -attr vt d
+load net {ACC1:acc#265.itm(4)} -attr vt d
+load net {ACC1:acc#265.itm(5)} -attr vt d
+load net {ACC1:acc#265.itm(6)} -attr vt d
+load net {ACC1:acc#265.itm(7)} -attr vt d
+load net {ACC1:acc#265.itm(8)} -attr vt d
+load net {ACC1:acc#265.itm(9)} -attr vt d
+load netBundle {ACC1:acc#265.itm} 10 {ACC1:acc#265.itm(0)} {ACC1:acc#265.itm(1)} {ACC1:acc#265.itm(2)} {ACC1:acc#265.itm(3)} {ACC1:acc#265.itm(4)} {ACC1:acc#265.itm(5)} {ACC1:acc#265.itm(6)} {ACC1:acc#265.itm(7)} {ACC1:acc#265.itm(8)} {ACC1:acc#265.itm(9)} -attr xrf 33207 -attr oid 371 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {conc#638.itm(0)} -attr vt d
+load net {conc#638.itm(1)} -attr vt d
+load net {conc#638.itm(2)} -attr vt d
+load net {conc#638.itm(3)} -attr vt d
+load net {conc#638.itm(4)} -attr vt d
+load net {conc#638.itm(5)} -attr vt d
+load net {conc#638.itm(6)} -attr vt d
+load net {conc#638.itm(7)} -attr vt d
+load net {conc#638.itm(8)} -attr vt d
+load netBundle {conc#638.itm} 9 {conc#638.itm(0)} {conc#638.itm(1)} {conc#638.itm(2)} {conc#638.itm(3)} {conc#638.itm(4)} {conc#638.itm(5)} {conc#638.itm(6)} {conc#638.itm(7)} {conc#638.itm(8)} -attr xrf 33208 -attr oid 372 -attr vt d -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {ACC1:acc#263.itm(0)} -attr vt d
+load net {ACC1:acc#263.itm(1)} -attr vt d
+load net {ACC1:acc#263.itm(2)} -attr vt d
+load net {ACC1:acc#263.itm(3)} -attr vt d
+load net {ACC1:acc#263.itm(4)} -attr vt d
+load net {ACC1:acc#263.itm(5)} -attr vt d
+load net {ACC1:acc#263.itm(6)} -attr vt d
+load net {ACC1:acc#263.itm(7)} -attr vt d
+load netBundle {ACC1:acc#263.itm} 8 {ACC1:acc#263.itm(0)} {ACC1:acc#263.itm(1)} {ACC1:acc#263.itm(2)} {ACC1:acc#263.itm(3)} {ACC1:acc#263.itm(4)} {ACC1:acc#263.itm(5)} {ACC1:acc#263.itm(6)} {ACC1:acc#263.itm(7)} -attr xrf 33209 -attr oid 373 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {conc#639.itm(0)} -attr vt d
+load net {conc#639.itm(1)} -attr vt d
+load net {conc#639.itm(2)} -attr vt d
+load net {conc#639.itm(3)} -attr vt d
+load net {conc#639.itm(4)} -attr vt d
+load net {conc#639.itm(5)} -attr vt d
+load net {conc#639.itm(6)} -attr vt d
+load net {conc#639.itm(7)} -attr vt d
+load netBundle {conc#639.itm} 8 {conc#639.itm(0)} {conc#639.itm(1)} {conc#639.itm(2)} {conc#639.itm(3)} {conc#639.itm(4)} {conc#639.itm(5)} {conc#639.itm(6)} {conc#639.itm(7)} -attr xrf 33210 -attr oid 374 -attr vt d -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {ACC1-3:exs#576.itm(0)} -attr vt d
+load net {ACC1-3:exs#576.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#576.itm} 2 {ACC1-3:exs#576.itm(0)} {ACC1-3:exs#576.itm(1)} -attr xrf 33211 -attr oid 375 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#576.itm}
+load net {ACC1:acc#260.itm(0)} -attr vt d
+load net {ACC1:acc#260.itm(1)} -attr vt d
+load net {ACC1:acc#260.itm(2)} -attr vt d
+load net {ACC1:acc#260.itm(3)} -attr vt d
+load net {ACC1:acc#260.itm(4)} -attr vt d
+load net {ACC1:acc#260.itm(5)} -attr vt d
+load net {ACC1:acc#260.itm(6)} -attr vt d
+load netBundle {ACC1:acc#260.itm} 7 {ACC1:acc#260.itm(0)} {ACC1:acc#260.itm(1)} {ACC1:acc#260.itm(2)} {ACC1:acc#260.itm(3)} {ACC1:acc#260.itm(4)} {ACC1:acc#260.itm(5)} {ACC1:acc#260.itm(6)} -attr xrf 33212 -attr oid 376 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {conc#640.itm(0)} -attr vt d
+load net {conc#640.itm(1)} -attr vt d
+load net {conc#640.itm(2)} -attr vt d
+load net {conc#640.itm(3)} -attr vt d
+load net {conc#640.itm(4)} -attr vt d
+load net {conc#640.itm(5)} -attr vt d
+load netBundle {conc#640.itm} 6 {conc#640.itm(0)} {conc#640.itm(1)} {conc#640.itm(2)} {conc#640.itm(3)} {conc#640.itm(4)} {conc#640.itm(5)} -attr xrf 33213 -attr oid 377 -attr vt d -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {ACC1-3:exs#579.itm(0)} -attr vt d
+load net {ACC1-3:exs#579.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#579.itm} 2 {ACC1-3:exs#579.itm(0)} {ACC1-3:exs#579.itm(1)} -attr xrf 33214 -attr oid 378 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#579.itm}
+load net {ACC1:acc#257.itm(0)} -attr vt d
+load net {ACC1:acc#257.itm(1)} -attr vt d
+load net {ACC1:acc#257.itm(2)} -attr vt d
+load net {ACC1:acc#257.itm(3)} -attr vt d
+load net {ACC1:acc#257.itm(4)} -attr vt d
+load netBundle {ACC1:acc#257.itm} 5 {ACC1:acc#257.itm(0)} {ACC1:acc#257.itm(1)} {ACC1:acc#257.itm(2)} {ACC1:acc#257.itm(3)} {ACC1:acc#257.itm(4)} -attr xrf 33215 -attr oid 379 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#253.itm(0)} -attr vt d
+load net {ACC1:acc#253.itm(1)} -attr vt d
+load net {ACC1:acc#253.itm(2)} -attr vt d
+load net {ACC1:acc#253.itm(3)} -attr vt d
+load netBundle {ACC1:acc#253.itm} 4 {ACC1:acc#253.itm(0)} {ACC1:acc#253.itm(1)} {ACC1:acc#253.itm(2)} {ACC1:acc#253.itm(3)} -attr xrf 33216 -attr oid 380 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:slc#73.itm(0)} -attr vt d
+load net {ACC1:slc#73.itm(1)} -attr vt d
+load net {ACC1:slc#73.itm(2)} -attr vt d
+load netBundle {ACC1:slc#73.itm} 3 {ACC1:slc#73.itm(0)} {ACC1:slc#73.itm(1)} {ACC1:slc#73.itm(2)} -attr xrf 33217 -attr oid 381 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#247.itm(0)} -attr vt d
+load net {ACC1:acc#247.itm(1)} -attr vt d
+load net {ACC1:acc#247.itm(2)} -attr vt d
+load net {ACC1:acc#247.itm(3)} -attr vt d
+load netBundle {ACC1:acc#247.itm} 4 {ACC1:acc#247.itm(0)} {ACC1:acc#247.itm(1)} {ACC1:acc#247.itm(2)} {ACC1:acc#247.itm(3)} -attr xrf 33218 -attr oid 382 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
+load net {exs#42.itm(0)} -attr vt d
+load net {exs#42.itm(1)} -attr vt d
+load net {exs#42.itm(2)} -attr vt d
+load netBundle {exs#42.itm} 3 {exs#42.itm(0)} {exs#42.itm(1)} {exs#42.itm(2)} -attr xrf 33219 -attr oid 383 -attr vt d -attr @path {/sobel/sobel:core/exs#42.itm}
+load net {conc#641.itm(0)} -attr vt d
+load net {conc#641.itm(1)} -attr vt d
+load netBundle {conc#641.itm} 2 {conc#641.itm(0)} {conc#641.itm(1)} -attr xrf 33220 -attr oid 384 -attr vt d -attr @path {/sobel/sobel:core/conc#641.itm}
+load net {ACC1:exs#785.itm(0)} -attr vt d
+load net {ACC1:exs#785.itm(1)} -attr vt d
+load net {ACC1:exs#785.itm(2)} -attr vt d
+load netBundle {ACC1:exs#785.itm} 3 {ACC1:exs#785.itm(0)} {ACC1:exs#785.itm(1)} {ACC1:exs#785.itm(2)} -attr xrf 33221 -attr oid 385 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#785.itm}
+load net {ACC1:conc#592.itm(0)} -attr vt d
+load net {ACC1:conc#592.itm(1)} -attr vt d
+load netBundle {ACC1:conc#592.itm} 2 {ACC1:conc#592.itm(0)} {ACC1:conc#592.itm(1)} -attr xrf 33222 -attr oid 386 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#592.itm}
+load net {ACC1:slc#72.itm(0)} -attr vt d
+load net {ACC1:slc#72.itm(1)} -attr vt d
+load net {ACC1:slc#72.itm(2)} -attr vt d
+load netBundle {ACC1:slc#72.itm} 3 {ACC1:slc#72.itm(0)} {ACC1:slc#72.itm(1)} {ACC1:slc#72.itm(2)} -attr xrf 33223 -attr oid 387 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#246.itm(0)} -attr vt d
+load net {ACC1:acc#246.itm(1)} -attr vt d
+load net {ACC1:acc#246.itm(2)} -attr vt d
+load net {ACC1:acc#246.itm(3)} -attr vt d
+load netBundle {ACC1:acc#246.itm} 4 {ACC1:acc#246.itm(0)} {ACC1:acc#246.itm(1)} {ACC1:acc#246.itm(2)} {ACC1:acc#246.itm(3)} -attr xrf 33224 -attr oid 388 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
+load net {exs#43.itm(0)} -attr vt d
+load net {exs#43.itm(1)} -attr vt d
+load net {exs#43.itm(2)} -attr vt d
+load netBundle {exs#43.itm} 3 {exs#43.itm(0)} {exs#43.itm(1)} {exs#43.itm(2)} -attr xrf 33225 -attr oid 389 -attr vt d -attr @path {/sobel/sobel:core/exs#43.itm}
+load net {conc#642.itm(0)} -attr vt d
+load net {conc#642.itm(1)} -attr vt d
+load netBundle {conc#642.itm} 2 {conc#642.itm(0)} {conc#642.itm(1)} -attr xrf 33226 -attr oid 390 -attr vt d -attr @path {/sobel/sobel:core/conc#642.itm}
+load net {ACC1:exs#787.itm(0)} -attr vt d
+load net {ACC1:exs#787.itm(1)} -attr vt d
+load net {ACC1:exs#787.itm(2)} -attr vt d
+load netBundle {ACC1:exs#787.itm} 3 {ACC1:exs#787.itm(0)} {ACC1:exs#787.itm(1)} {ACC1:exs#787.itm(2)} -attr xrf 33227 -attr oid 391 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#787.itm}
+load net {ACC1:conc#590.itm(0)} -attr vt d
+load net {ACC1:conc#590.itm(1)} -attr vt d
+load netBundle {ACC1:conc#590.itm} 2 {ACC1:conc#590.itm(0)} {ACC1:conc#590.itm(1)} -attr xrf 33228 -attr oid 392 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#590.itm}
+load net {ACC1:acc#252.itm(0)} -attr vt d
+load net {ACC1:acc#252.itm(1)} -attr vt d
+load net {ACC1:acc#252.itm(2)} -attr vt d
+load net {ACC1:acc#252.itm(3)} -attr vt d
+load netBundle {ACC1:acc#252.itm} 4 {ACC1:acc#252.itm(0)} {ACC1:acc#252.itm(1)} {ACC1:acc#252.itm(2)} {ACC1:acc#252.itm(3)} -attr xrf 33229 -attr oid 393 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:slc#71.itm(0)} -attr vt d
+load net {ACC1:slc#71.itm(1)} -attr vt d
+load net {ACC1:slc#71.itm(2)} -attr vt d
+load netBundle {ACC1:slc#71.itm} 3 {ACC1:slc#71.itm(0)} {ACC1:slc#71.itm(1)} {ACC1:slc#71.itm(2)} -attr xrf 33230 -attr oid 394 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#71.itm}
+load net {ACC1:acc#245.itm(0)} -attr vt d
+load net {ACC1:acc#245.itm(1)} -attr vt d
+load net {ACC1:acc#245.itm(2)} -attr vt d
+load net {ACC1:acc#245.itm(3)} -attr vt d
+load netBundle {ACC1:acc#245.itm} 4 {ACC1:acc#245.itm(0)} {ACC1:acc#245.itm(1)} {ACC1:acc#245.itm(2)} {ACC1:acc#245.itm(3)} -attr xrf 33231 -attr oid 395 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
+load net {exs#44.itm(0)} -attr vt d
+load net {exs#44.itm(1)} -attr vt d
+load net {exs#44.itm(2)} -attr vt d
+load netBundle {exs#44.itm} 3 {exs#44.itm(0)} {exs#44.itm(1)} {exs#44.itm(2)} -attr xrf 33232 -attr oid 396 -attr vt d -attr @path {/sobel/sobel:core/exs#44.itm}
+load net {conc#643.itm(0)} -attr vt d
+load net {conc#643.itm(1)} -attr vt d
+load netBundle {conc#643.itm} 2 {conc#643.itm(0)} {conc#643.itm(1)} -attr xrf 33233 -attr oid 397 -attr vt d -attr @path {/sobel/sobel:core/conc#643.itm}
+load net {ACC1:exs#789.itm(0)} -attr vt d
+load net {ACC1:exs#789.itm(1)} -attr vt d
+load net {ACC1:exs#789.itm(2)} -attr vt d
+load netBundle {ACC1:exs#789.itm} 3 {ACC1:exs#789.itm(0)} {ACC1:exs#789.itm(1)} {ACC1:exs#789.itm(2)} -attr xrf 33234 -attr oid 398 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#789.itm}
+load net {ACC1:conc#588.itm(0)} -attr vt d
+load net {ACC1:conc#588.itm(1)} -attr vt d
+load netBundle {ACC1:conc#588.itm} 2 {ACC1:conc#588.itm(0)} {ACC1:conc#588.itm(1)} -attr xrf 33235 -attr oid 399 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#588.itm}
+load net {ACC1:slc#70.itm(0)} -attr vt d
+load net {ACC1:slc#70.itm(1)} -attr vt d
+load net {ACC1:slc#70.itm(2)} -attr vt d
+load netBundle {ACC1:slc#70.itm} 3 {ACC1:slc#70.itm(0)} {ACC1:slc#70.itm(1)} {ACC1:slc#70.itm(2)} -attr xrf 33236 -attr oid 400 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#70.itm}
+load net {ACC1:acc#244.itm(0)} -attr vt d
+load net {ACC1:acc#244.itm(1)} -attr vt d
+load net {ACC1:acc#244.itm(2)} -attr vt d
+load net {ACC1:acc#244.itm(3)} -attr vt d
+load netBundle {ACC1:acc#244.itm} 4 {ACC1:acc#244.itm(0)} {ACC1:acc#244.itm(1)} {ACC1:acc#244.itm(2)} {ACC1:acc#244.itm(3)} -attr xrf 33237 -attr oid 401 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#244.itm}
+load net {exs#45.itm(0)} -attr vt d
+load net {exs#45.itm(1)} -attr vt d
+load net {exs#45.itm(2)} -attr vt d
+load netBundle {exs#45.itm} 3 {exs#45.itm(0)} {exs#45.itm(1)} {exs#45.itm(2)} -attr xrf 33238 -attr oid 402 -attr vt d -attr @path {/sobel/sobel:core/exs#45.itm}
+load net {conc#644.itm(0)} -attr vt d
+load net {conc#644.itm(1)} -attr vt d
+load netBundle {conc#644.itm} 2 {conc#644.itm(0)} {conc#644.itm(1)} -attr xrf 33239 -attr oid 403 -attr vt d -attr @path {/sobel/sobel:core/conc#644.itm}
+load net {ACC1:exs#791.itm(0)} -attr vt d
+load net {ACC1:exs#791.itm(1)} -attr vt d
+load net {ACC1:exs#791.itm(2)} -attr vt d
+load netBundle {ACC1:exs#791.itm} 3 {ACC1:exs#791.itm(0)} {ACC1:exs#791.itm(1)} {ACC1:exs#791.itm(2)} -attr xrf 33240 -attr oid 404 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#791.itm}
+load net {ACC1:conc#586.itm(0)} -attr vt d
+load net {ACC1:conc#586.itm(1)} -attr vt d
+load netBundle {ACC1:conc#586.itm} 2 {ACC1:conc#586.itm(0)} {ACC1:conc#586.itm(1)} -attr xrf 33241 -attr oid 405 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#586.itm}
+load net {FRAME:for:acc#24.itm(0)} -attr vt d
+load net {FRAME:for:acc#24.itm(1)} -attr vt d
+load net {FRAME:for:acc#24.itm(2)} -attr vt d
+load net {FRAME:for:acc#24.itm(3)} -attr vt d
+load net {FRAME:for:acc#24.itm(4)} -attr vt d
+load net {FRAME:for:acc#24.itm(5)} -attr vt d
+load net {FRAME:for:acc#24.itm(6)} -attr vt d
+load net {FRAME:for:acc#24.itm(7)} -attr vt d
+load net {FRAME:for:acc#24.itm(8)} -attr vt d
+load net {FRAME:for:acc#24.itm(9)} -attr vt d
+load net {FRAME:for:acc#24.itm(10)} -attr vt d
+load net {FRAME:for:acc#24.itm(11)} -attr vt d
+load net {FRAME:for:acc#24.itm(12)} -attr vt d
+load netBundle {FRAME:for:acc#24.itm} 13 {FRAME:for:acc#24.itm(0)} {FRAME:for:acc#24.itm(1)} {FRAME:for:acc#24.itm(2)} {FRAME:for:acc#24.itm(3)} {FRAME:for:acc#24.itm(4)} {FRAME:for:acc#24.itm(5)} {FRAME:for:acc#24.itm(6)} {FRAME:for:acc#24.itm(7)} {FRAME:for:acc#24.itm(8)} {FRAME:for:acc#24.itm(9)} {FRAME:for:acc#24.itm(10)} {FRAME:for:acc#24.itm(11)} {FRAME:for:acc#24.itm(12)} -attr xrf 33242 -attr oid 406 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#23.itm(0)} -attr vt d
+load net {FRAME:for:acc#23.itm(1)} -attr vt d
+load net {FRAME:for:acc#23.itm(2)} -attr vt d
+load net {FRAME:for:acc#23.itm(3)} -attr vt d
+load net {FRAME:for:acc#23.itm(4)} -attr vt d
+load net {FRAME:for:acc#23.itm(5)} -attr vt d
+load net {FRAME:for:acc#23.itm(6)} -attr vt d
+load net {FRAME:for:acc#23.itm(7)} -attr vt d
+load net {FRAME:for:acc#23.itm(8)} -attr vt d
+load net {FRAME:for:acc#23.itm(9)} -attr vt d
+load net {FRAME:for:acc#23.itm(10)} -attr vt d
+load net {FRAME:for:acc#23.itm(11)} -attr vt d
+load net {FRAME:for:acc#23.itm(12)} -attr vt d
+load netBundle {FRAME:for:acc#23.itm} 13 {FRAME:for:acc#23.itm(0)} {FRAME:for:acc#23.itm(1)} {FRAME:for:acc#23.itm(2)} {FRAME:for:acc#23.itm(3)} {FRAME:for:acc#23.itm(4)} {FRAME:for:acc#23.itm(5)} {FRAME:for:acc#23.itm(6)} {FRAME:for:acc#23.itm(7)} {FRAME:for:acc#23.itm(8)} {FRAME:for:acc#23.itm(9)} {FRAME:for:acc#23.itm(10)} {FRAME:for:acc#23.itm(11)} {FRAME:for:acc#23.itm(12)} -attr xrf 33243 -attr oid 407 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:mul#1.itm(0)} -attr vt d
+load net {FRAME:for:mul#1.itm(1)} -attr vt d
+load net {FRAME:for:mul#1.itm(2)} -attr vt d
+load net {FRAME:for:mul#1.itm(3)} -attr vt d
+load net {FRAME:for:mul#1.itm(4)} -attr vt d
+load net {FRAME:for:mul#1.itm(5)} -attr vt d
+load net {FRAME:for:mul#1.itm(6)} -attr vt d
+load net {FRAME:for:mul#1.itm(7)} -attr vt d
+load net {FRAME:for:mul#1.itm(8)} -attr vt d
+load net {FRAME:for:mul#1.itm(9)} -attr vt d
+load net {FRAME:for:mul#1.itm(10)} -attr vt d
+load net {FRAME:for:mul#1.itm(11)} -attr vt d
+load netBundle {FRAME:for:mul#1.itm} 12 {FRAME:for:mul#1.itm(0)} {FRAME:for:mul#1.itm(1)} {FRAME:for:mul#1.itm(2)} {FRAME:for:mul#1.itm(3)} {FRAME:for:mul#1.itm(4)} {FRAME:for:mul#1.itm(5)} {FRAME:for:mul#1.itm(6)} {FRAME:for:mul#1.itm(7)} {FRAME:for:mul#1.itm(8)} {FRAME:for:mul#1.itm(9)} {FRAME:for:mul#1.itm(10)} {FRAME:for:mul#1.itm(11)} -attr xrf 33244 -attr oid 408 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {regs.operator[]#10:mux.itm(0)} -attr vt d
+load net {regs.operator[]#10:mux.itm(1)} -attr vt d
+load net {regs.operator[]#10:mux.itm(2)} -attr vt d
+load net {regs.operator[]#10:mux.itm(3)} -attr vt d
+load net {regs.operator[]#10:mux.itm(4)} -attr vt d
+load net {regs.operator[]#10:mux.itm(5)} -attr vt d
+load net {regs.operator[]#10:mux.itm(6)} -attr vt d
+load net {regs.operator[]#10:mux.itm(7)} -attr vt d
+load net {regs.operator[]#10:mux.itm(8)} -attr vt d
+load net {regs.operator[]#10:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#10:mux.itm} 10 {regs.operator[]#10:mux.itm(0)} {regs.operator[]#10:mux.itm(1)} {regs.operator[]#10:mux.itm(2)} {regs.operator[]#10:mux.itm(3)} {regs.operator[]#10:mux.itm(4)} {regs.operator[]#10:mux.itm(5)} {regs.operator[]#10:mux.itm(6)} {regs.operator[]#10:mux.itm(7)} {regs.operator[]#10:mux.itm(8)} {regs.operator[]#10:mux.itm(9)} -attr xrf 33245 -attr oid 409 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm} 10 {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(0)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(1)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(2)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(3)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(4)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(5)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(6)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(7)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(8)} {slc(regs.regs(2).lpi#1.dfm#1:mx0).itm(9)} -attr xrf 33246 -attr oid 410 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#3.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#3.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(9)} -attr xrf 33247 -attr oid 411 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#3.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#3.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(9)} -attr xrf 33248 -attr oid 412 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {conc#645.itm(0)} -attr vt d
+load net {conc#645.itm(1)} -attr vt d
+load netBundle {conc#645.itm} 2 {conc#645.itm(0)} {conc#645.itm(1)} -attr xrf 33249 -attr oid 413 -attr vt d -attr @path {/sobel/sobel:core/conc#645.itm}
+load net {FRAME:for:mul#2.itm(0)} -attr vt d
+load net {FRAME:for:mul#2.itm(1)} -attr vt d
+load net {FRAME:for:mul#2.itm(2)} -attr vt d
+load net {FRAME:for:mul#2.itm(3)} -attr vt d
+load net {FRAME:for:mul#2.itm(4)} -attr vt d
+load net {FRAME:for:mul#2.itm(5)} -attr vt d
+load net {FRAME:for:mul#2.itm(6)} -attr vt d
+load net {FRAME:for:mul#2.itm(7)} -attr vt d
+load net {FRAME:for:mul#2.itm(8)} -attr vt d
+load net {FRAME:for:mul#2.itm(9)} -attr vt d
+load net {FRAME:for:mul#2.itm(10)} -attr vt d
+load net {FRAME:for:mul#2.itm(11)} -attr vt d
+load netBundle {FRAME:for:mul#2.itm} 12 {FRAME:for:mul#2.itm(0)} {FRAME:for:mul#2.itm(1)} {FRAME:for:mul#2.itm(2)} {FRAME:for:mul#2.itm(3)} {FRAME:for:mul#2.itm(4)} {FRAME:for:mul#2.itm(5)} {FRAME:for:mul#2.itm(6)} {FRAME:for:mul#2.itm(7)} {FRAME:for:mul#2.itm(8)} {FRAME:for:mul#2.itm(9)} {FRAME:for:mul#2.itm(10)} {FRAME:for:mul#2.itm(11)} -attr xrf 33250 -attr oid 414 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {regs.operator[]#11:mux.itm(0)} -attr vt d
+load net {regs.operator[]#11:mux.itm(1)} -attr vt d
+load net {regs.operator[]#11:mux.itm(2)} -attr vt d
+load net {regs.operator[]#11:mux.itm(3)} -attr vt d
+load net {regs.operator[]#11:mux.itm(4)} -attr vt d
+load net {regs.operator[]#11:mux.itm(5)} -attr vt d
+load net {regs.operator[]#11:mux.itm(6)} -attr vt d
+load net {regs.operator[]#11:mux.itm(7)} -attr vt d
+load net {regs.operator[]#11:mux.itm(8)} -attr vt d
+load net {regs.operator[]#11:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#11:mux.itm} 10 {regs.operator[]#11:mux.itm(0)} {regs.operator[]#11:mux.itm(1)} {regs.operator[]#11:mux.itm(2)} {regs.operator[]#11:mux.itm(3)} {regs.operator[]#11:mux.itm(4)} {regs.operator[]#11:mux.itm(5)} {regs.operator[]#11:mux.itm(6)} {regs.operator[]#11:mux.itm(7)} {regs.operator[]#11:mux.itm(8)} {regs.operator[]#11:mux.itm(9)} -attr xrf 33251 -attr oid 415 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm} 10 {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(0)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(1)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(2)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(3)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(4)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(5)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(6)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(7)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(8)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm(9)} -attr xrf 33252 -attr oid 416 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#4.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#4.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(9)} -attr xrf 33253 -attr oid 417 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#4.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#4.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(9)} -attr xrf 33254 -attr oid 418 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {conc#646.itm(0)} -attr vt d
+load net {conc#646.itm(1)} -attr vt d
+load netBundle {conc#646.itm} 2 {conc#646.itm(0)} {conc#646.itm(1)} -attr xrf 33255 -attr oid 419 -attr vt d -attr @path {/sobel/sobel:core/conc#646.itm}
+load net {FRAME:for:mul.itm(0)} -attr vt d
+load net {FRAME:for:mul.itm(1)} -attr vt d
+load net {FRAME:for:mul.itm(2)} -attr vt d
+load net {FRAME:for:mul.itm(3)} -attr vt d
+load net {FRAME:for:mul.itm(4)} -attr vt d
+load net {FRAME:for:mul.itm(5)} -attr vt d
+load net {FRAME:for:mul.itm(6)} -attr vt d
+load net {FRAME:for:mul.itm(7)} -attr vt d
+load net {FRAME:for:mul.itm(8)} -attr vt d
+load net {FRAME:for:mul.itm(9)} -attr vt d
+load net {FRAME:for:mul.itm(10)} -attr vt d
+load net {FRAME:for:mul.itm(11)} -attr vt d
+load netBundle {FRAME:for:mul.itm} 12 {FRAME:for:mul.itm(0)} {FRAME:for:mul.itm(1)} {FRAME:for:mul.itm(2)} {FRAME:for:mul.itm(3)} {FRAME:for:mul.itm(4)} {FRAME:for:mul.itm(5)} {FRAME:for:mul.itm(6)} {FRAME:for:mul.itm(7)} {FRAME:for:mul.itm(8)} {FRAME:for:mul.itm(9)} {FRAME:for:mul.itm(10)} {FRAME:for:mul.itm(11)} -attr xrf 33256 -attr oid 420 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {regs.operator[]#9:mux.itm(0)} -attr vt d
+load net {regs.operator[]#9:mux.itm(1)} -attr vt d
+load net {regs.operator[]#9:mux.itm(2)} -attr vt d
+load net {regs.operator[]#9:mux.itm(3)} -attr vt d
+load net {regs.operator[]#9:mux.itm(4)} -attr vt d
+load net {regs.operator[]#9:mux.itm(5)} -attr vt d
+load net {regs.operator[]#9:mux.itm(6)} -attr vt d
+load net {regs.operator[]#9:mux.itm(7)} -attr vt d
+load net {regs.operator[]#9:mux.itm(8)} -attr vt d
+load net {regs.operator[]#9:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#9:mux.itm} 10 {regs.operator[]#9:mux.itm(0)} {regs.operator[]#9:mux.itm(1)} {regs.operator[]#9:mux.itm(2)} {regs.operator[]#9:mux.itm(3)} {regs.operator[]#9:mux.itm(4)} {regs.operator[]#9:mux.itm(5)} {regs.operator[]#9:mux.itm(6)} {regs.operator[]#9:mux.itm(7)} {regs.operator[]#9:mux.itm(8)} {regs.operator[]#9:mux.itm(9)} -attr xrf 33257 -attr oid 421 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm} 10 {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(0)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(1)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(2)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(3)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(4)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(5)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(6)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(7)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(8)} {slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm(9)} -attr xrf 33258 -attr oid 422 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#5.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#5.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(9)} -attr xrf 33259 -attr oid 423 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#5.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#5.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(9)} -attr xrf 33260 -attr oid 424 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {conc#647.itm(0)} -attr vt d
+load net {conc#647.itm(1)} -attr vt d
+load netBundle {conc#647.itm} 2 {conc#647.itm(0)} {conc#647.itm(1)} -attr xrf 33261 -attr oid 425 -attr vt d -attr @path {/sobel/sobel:core/conc#647.itm}
+load net {ACC1-3:acc#122.itm(0)} -attr vt d
+load net {ACC1-3:acc#122.itm(1)} -attr vt d
+load net {ACC1-3:acc#122.itm(2)} -attr vt d
+load net {ACC1-3:acc#122.itm(3)} -attr vt d
+load net {ACC1-3:acc#122.itm(4)} -attr vt d
+load net {ACC1-3:acc#122.itm(5)} -attr vt d
+load net {ACC1-3:acc#122.itm(6)} -attr vt d
+load net {ACC1-3:acc#122.itm(7)} -attr vt d
+load net {ACC1-3:acc#122.itm(8)} -attr vt d
+load net {ACC1-3:acc#122.itm(9)} -attr vt d
+load net {ACC1-3:acc#122.itm(10)} -attr vt d
+load net {ACC1-3:acc#122.itm(11)} -attr vt d
+load netBundle {ACC1-3:acc#122.itm} 12 {ACC1-3:acc#122.itm(0)} {ACC1-3:acc#122.itm(1)} {ACC1-3:acc#122.itm(2)} {ACC1-3:acc#122.itm(3)} {ACC1-3:acc#122.itm(4)} {ACC1-3:acc#122.itm(5)} {ACC1-3:acc#122.itm(6)} {ACC1-3:acc#122.itm(7)} {ACC1-3:acc#122.itm(8)} {ACC1-3:acc#122.itm(9)} {ACC1-3:acc#122.itm(10)} {ACC1-3:acc#122.itm(11)} -attr xrf 33262 -attr oid 426 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1:acc#215.itm(0)} -attr vt d
+load net {ACC1:acc#215.itm(1)} -attr vt d
+load net {ACC1:acc#215.itm(2)} -attr vt d
+load net {ACC1:acc#215.itm(3)} -attr vt d
+load net {ACC1:acc#215.itm(4)} -attr vt d
+load net {ACC1:acc#215.itm(5)} -attr vt d
+load net {ACC1:acc#215.itm(6)} -attr vt d
+load net {ACC1:acc#215.itm(7)} -attr vt d
+load net {ACC1:acc#215.itm(8)} -attr vt d
+load net {ACC1:acc#215.itm(9)} -attr vt d
+load net {ACC1:acc#215.itm(10)} -attr vt d
+load netBundle {ACC1:acc#215.itm} 11 {ACC1:acc#215.itm(0)} {ACC1:acc#215.itm(1)} {ACC1:acc#215.itm(2)} {ACC1:acc#215.itm(3)} {ACC1:acc#215.itm(4)} {ACC1:acc#215.itm(5)} {ACC1:acc#215.itm(6)} {ACC1:acc#215.itm(7)} {ACC1:acc#215.itm(8)} {ACC1:acc#215.itm(9)} {ACC1:acc#215.itm(10)} -attr xrf 33263 -attr oid 427 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {conc#648.itm(0)} -attr vt d
+load net {conc#648.itm(1)} -attr vt d
+load net {conc#648.itm(2)} -attr vt d
+load net {conc#648.itm(3)} -attr vt d
+load net {conc#648.itm(4)} -attr vt d
+load net {conc#648.itm(5)} -attr vt d
+load net {conc#648.itm(6)} -attr vt d
+load net {conc#648.itm(7)} -attr vt d
+load net {conc#648.itm(8)} -attr vt d
+load net {conc#648.itm(9)} -attr vt d
+load netBundle {conc#648.itm} 10 {conc#648.itm(0)} {conc#648.itm(1)} {conc#648.itm(2)} {conc#648.itm(3)} {conc#648.itm(4)} {conc#648.itm(5)} {conc#648.itm(6)} {conc#648.itm(7)} {conc#648.itm(8)} {conc#648.itm(9)} -attr xrf 33264 -attr oid 428 -attr vt d -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {ACC1:acc#213.itm(0)} -attr vt d
+load net {ACC1:acc#213.itm(1)} -attr vt d
+load net {ACC1:acc#213.itm(2)} -attr vt d
+load net {ACC1:acc#213.itm(3)} -attr vt d
+load net {ACC1:acc#213.itm(4)} -attr vt d
+load net {ACC1:acc#213.itm(5)} -attr vt d
+load net {ACC1:acc#213.itm(6)} -attr vt d
+load net {ACC1:acc#213.itm(7)} -attr vt d
+load net {ACC1:acc#213.itm(8)} -attr vt d
+load net {ACC1:acc#213.itm(9)} -attr vt d
+load net {ACC1:acc#213.itm(10)} -attr vt d
+load netBundle {ACC1:acc#213.itm} 11 {ACC1:acc#213.itm(0)} {ACC1:acc#213.itm(1)} {ACC1:acc#213.itm(2)} {ACC1:acc#213.itm(3)} {ACC1:acc#213.itm(4)} {ACC1:acc#213.itm(5)} {ACC1:acc#213.itm(6)} {ACC1:acc#213.itm(7)} {ACC1:acc#213.itm(8)} {ACC1:acc#213.itm(9)} {ACC1:acc#213.itm(10)} -attr xrf 33265 -attr oid 429 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#211.itm(0)} -attr vt d
+load net {ACC1:acc#211.itm(1)} -attr vt d
+load net {ACC1:acc#211.itm(2)} -attr vt d
+load net {ACC1:acc#211.itm(3)} -attr vt d
+load net {ACC1:acc#211.itm(4)} -attr vt d
+load net {ACC1:acc#211.itm(5)} -attr vt d
+load net {ACC1:acc#211.itm(6)} -attr vt d
+load net {ACC1:acc#211.itm(7)} -attr vt d
+load net {ACC1:acc#211.itm(8)} -attr vt d
+load net {ACC1:acc#211.itm(9)} -attr vt d
+load netBundle {ACC1:acc#211.itm} 10 {ACC1:acc#211.itm(0)} {ACC1:acc#211.itm(1)} {ACC1:acc#211.itm(2)} {ACC1:acc#211.itm(3)} {ACC1:acc#211.itm(4)} {ACC1:acc#211.itm(5)} {ACC1:acc#211.itm(6)} {ACC1:acc#211.itm(7)} {ACC1:acc#211.itm(8)} {ACC1:acc#211.itm(9)} -attr xrf 33266 -attr oid 430 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#209.itm(0)} -attr vt d
+load net {ACC1:acc#209.itm(1)} -attr vt d
+load net {ACC1:acc#209.itm(2)} -attr vt d
+load net {ACC1:acc#209.itm(3)} -attr vt d
+load net {ACC1:acc#209.itm(4)} -attr vt d
+load net {ACC1:acc#209.itm(5)} -attr vt d
+load net {ACC1:acc#209.itm(6)} -attr vt d
+load net {ACC1:acc#209.itm(7)} -attr vt d
+load netBundle {ACC1:acc#209.itm} 8 {ACC1:acc#209.itm(0)} {ACC1:acc#209.itm(1)} {ACC1:acc#209.itm(2)} {ACC1:acc#209.itm(3)} {ACC1:acc#209.itm(4)} {ACC1:acc#209.itm(5)} {ACC1:acc#209.itm(6)} {ACC1:acc#209.itm(7)} -attr xrf 33267 -attr oid 431 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#206.itm(0)} -attr vt d
+load net {ACC1:acc#206.itm(1)} -attr vt d
+load net {ACC1:acc#206.itm(2)} -attr vt d
+load net {ACC1:acc#206.itm(3)} -attr vt d
+load net {ACC1:acc#206.itm(4)} -attr vt d
+load net {ACC1:acc#206.itm(5)} -attr vt d
+load netBundle {ACC1:acc#206.itm} 6 {ACC1:acc#206.itm(0)} {ACC1:acc#206.itm(1)} {ACC1:acc#206.itm(2)} {ACC1:acc#206.itm(3)} {ACC1:acc#206.itm(4)} {ACC1:acc#206.itm(5)} -attr xrf 33268 -attr oid 432 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#203.itm(0)} -attr vt d
+load net {ACC1:acc#203.itm(1)} -attr vt d
+load net {ACC1:acc#203.itm(2)} -attr vt d
+load net {ACC1:acc#203.itm(3)} -attr vt d
+load netBundle {ACC1:acc#203.itm} 4 {ACC1:acc#203.itm(0)} {ACC1:acc#203.itm(1)} {ACC1:acc#203.itm(2)} {ACC1:acc#203.itm(3)} -attr xrf 33269 -attr oid 433 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#198.itm(0)} -attr vt d
+load net {ACC1:acc#198.itm(1)} -attr vt d
+load net {ACC1:acc#198.itm(2)} -attr vt d
+load netBundle {ACC1:acc#198.itm} 3 {ACC1:acc#198.itm(0)} {ACC1:acc#198.itm(1)} {ACC1:acc#198.itm(2)} -attr xrf 33270 -attr oid 434 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load net {ACC1:slc#54.itm(0)} -attr vt d
+load net {ACC1:slc#54.itm(1)} -attr vt d
+load net {ACC1:slc#54.itm(2)} -attr vt d
+load netBundle {ACC1:slc#54.itm} 3 {ACC1:slc#54.itm(0)} {ACC1:slc#54.itm(1)} {ACC1:slc#54.itm(2)} -attr xrf 33271 -attr oid 435 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#54.itm}
+load net {ACC1:acc#189.itm(0)} -attr vt d
+load net {ACC1:acc#189.itm(1)} -attr vt d
+load net {ACC1:acc#189.itm(2)} -attr vt d
+load net {ACC1:acc#189.itm(3)} -attr vt d
+load netBundle {ACC1:acc#189.itm} 4 {ACC1:acc#189.itm(0)} {ACC1:acc#189.itm(1)} {ACC1:acc#189.itm(2)} {ACC1:acc#189.itm(3)} -attr xrf 33272 -attr oid 436 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
+load net {conc#649.itm(0)} -attr vt d
+load net {conc#649.itm(1)} -attr vt d
+load net {conc#649.itm(2)} -attr vt d
+load netBundle {conc#649.itm} 3 {conc#649.itm(0)} {conc#649.itm(1)} {conc#649.itm(2)} -attr xrf 33273 -attr oid 437 -attr vt d -attr @path {/sobel/sobel:core/conc#649.itm}
+load net {ACC1:conc#552.itm(0)} -attr vt d
+load net {ACC1:conc#552.itm(1)} -attr vt d
+load netBundle {ACC1:conc#552.itm} 2 {ACC1:conc#552.itm(0)} {ACC1:conc#552.itm(1)} -attr xrf 33274 -attr oid 438 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#552.itm}
+load net {slc(ACC1:acc#116.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#116.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#116.psp.sva)#2.itm} 2 {slc(ACC1:acc#116.psp.sva)#2.itm(0)} {slc(ACC1:acc#116.psp.sva)#2.itm(1)} -attr xrf 33275 -attr oid 439 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp.sva)#2.itm}
+load net {ACC1:slc#55.itm(0)} -attr vt d
+load net {ACC1:slc#55.itm(1)} -attr vt d
+load net {ACC1:slc#55.itm(2)} -attr vt d
+load net {ACC1:slc#55.itm(3)} -attr vt d
+load netBundle {ACC1:slc#55.itm} 4 {ACC1:slc#55.itm(0)} {ACC1:slc#55.itm(1)} {ACC1:slc#55.itm(2)} {ACC1:slc#55.itm(3)} -attr xrf 33276 -attr oid 440 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
+load net {ACC1:acc#190.itm(0)} -attr vt d
+load net {ACC1:acc#190.itm(1)} -attr vt d
+load net {ACC1:acc#190.itm(2)} -attr vt d
+load net {ACC1:acc#190.itm(3)} -attr vt d
+load net {ACC1:acc#190.itm(4)} -attr vt d
+load netBundle {ACC1:acc#190.itm} 5 {ACC1:acc#190.itm(0)} {ACC1:acc#190.itm(1)} {ACC1:acc#190.itm(2)} {ACC1:acc#190.itm(3)} {ACC1:acc#190.itm(4)} -attr xrf 33277 -attr oid 441 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
+load net {conc#650.itm(0)} -attr vt d
+load net {conc#650.itm(1)} -attr vt d
+load net {conc#650.itm(2)} -attr vt d
+load netBundle {conc#650.itm} 3 {conc#650.itm(0)} {conc#650.itm(1)} {conc#650.itm(2)} -attr xrf 33278 -attr oid 442 -attr vt d -attr @path {/sobel/sobel:core/conc#650.itm}
+load net {ACC1:conc#554.itm(0)} -attr vt d
+load net {ACC1:conc#554.itm(1)} -attr vt d
+load net {ACC1:conc#554.itm(2)} -attr vt d
+load netBundle {ACC1:conc#554.itm} 3 {ACC1:conc#554.itm(0)} {ACC1:conc#554.itm(1)} {ACC1:conc#554.itm(2)} -attr xrf 33279 -attr oid 443 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#554.itm}
+load net {ACC1:acc#202.itm(0)} -attr vt d
+load net {ACC1:acc#202.itm(1)} -attr vt d
+load net {ACC1:acc#202.itm(2)} -attr vt d
+load net {ACC1:acc#202.itm(3)} -attr vt d
+load net {ACC1:acc#202.itm(4)} -attr vt d
+load netBundle {ACC1:acc#202.itm} 5 {ACC1:acc#202.itm(0)} {ACC1:acc#202.itm(1)} {ACC1:acc#202.itm(2)} {ACC1:acc#202.itm(3)} {ACC1:acc#202.itm(4)} -attr xrf 33280 -attr oid 444 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1-3:conc#260.itm(0)} -attr vt d
+load net {ACC1-3:conc#260.itm(1)} -attr vt d
+load net {ACC1-3:conc#260.itm(2)} -attr vt d
+load net {ACC1-3:conc#260.itm(3)} -attr vt d
+load netBundle {ACC1-3:conc#260.itm} 4 {ACC1-3:conc#260.itm(0)} {ACC1-3:conc#260.itm(1)} {ACC1-3:conc#260.itm(2)} {ACC1-3:conc#260.itm(3)} -attr xrf 33281 -attr oid 445 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#260.itm}
+load net {ACC1-3:exs#559.itm(0)} -attr vt d
+load net {ACC1-3:exs#559.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#559.itm} 2 {ACC1-3:exs#559.itm(0)} {ACC1-3:exs#559.itm(1)} -attr xrf 33282 -attr oid 446 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#559.itm}
+load net {conc#651.itm(0)} -attr vt d
+load net {conc#651.itm(1)} -attr vt d
+load net {conc#651.itm(2)} -attr vt d
+load net {conc#651.itm(3)} -attr vt d
+load net {conc#651.itm(4)} -attr vt d
+load net {conc#651.itm(5)} -attr vt d
+load net {conc#651.itm(6)} -attr vt d
+load netBundle {conc#651.itm} 7 {conc#651.itm(0)} {conc#651.itm(1)} {conc#651.itm(2)} {conc#651.itm(3)} {conc#651.itm(4)} {conc#651.itm(5)} {conc#651.itm(6)} -attr xrf 33283 -attr oid 447 -attr vt d -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {ACC1:acc#208.itm(0)} -attr vt d
+load net {ACC1:acc#208.itm(1)} -attr vt d
+load net {ACC1:acc#208.itm(2)} -attr vt d
+load net {ACC1:acc#208.itm(3)} -attr vt d
+load net {ACC1:acc#208.itm(4)} -attr vt d
+load net {ACC1:acc#208.itm(5)} -attr vt d
+load net {ACC1:acc#208.itm(6)} -attr vt d
+load net {ACC1:acc#208.itm(7)} -attr vt d
+load netBundle {ACC1:acc#208.itm} 8 {ACC1:acc#208.itm(0)} {ACC1:acc#208.itm(1)} {ACC1:acc#208.itm(2)} {ACC1:acc#208.itm(3)} {ACC1:acc#208.itm(4)} {ACC1:acc#208.itm(5)} {ACC1:acc#208.itm(6)} {ACC1:acc#208.itm(7)} -attr xrf 33284 -attr oid 448 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1-3:exs#538.itm(0)} -attr vt d
+load net {ACC1-3:exs#538.itm(1)} -attr vt d
+load net {ACC1-3:exs#538.itm(2)} -attr vt d
+load net {ACC1-3:exs#538.itm(3)} -attr vt d
+load net {ACC1-3:exs#538.itm(4)} -attr vt d
+load net {ACC1-3:exs#538.itm(5)} -attr vt d
+load net {ACC1-3:exs#538.itm(6)} -attr vt d
+load netBundle {ACC1-3:exs#538.itm} 7 {ACC1-3:exs#538.itm(0)} {ACC1-3:exs#538.itm(1)} {ACC1-3:exs#538.itm(2)} {ACC1-3:exs#538.itm(3)} {ACC1-3:exs#538.itm(4)} {ACC1-3:exs#538.itm(5)} {ACC1-3:exs#538.itm(6)} -attr xrf 33285 -attr oid 449 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {ACC1-3:conc#226.itm(0)} -attr vt d
+load net {ACC1-3:conc#226.itm(1)} -attr vt d
+load net {ACC1-3:conc#226.itm(2)} -attr vt d
+load netBundle {ACC1-3:conc#226.itm} 3 {ACC1-3:conc#226.itm(0)} {ACC1-3:conc#226.itm(1)} {ACC1-3:conc#226.itm(2)} -attr xrf 33286 -attr oid 450 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#226.itm}
+load net {ACC1-3:exs#560.itm(0)} -attr vt d
+load net {ACC1-3:exs#560.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#560.itm} 2 {ACC1-3:exs#560.itm(0)} {ACC1-3:exs#560.itm(1)} -attr xrf 33287 -attr oid 451 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#560.itm}
+load net {ACC1:acc#205.itm(0)} -attr vt d
+load net {ACC1:acc#205.itm(1)} -attr vt d
+load net {ACC1:acc#205.itm(2)} -attr vt d
+load net {ACC1:acc#205.itm(3)} -attr vt d
+load net {ACC1:acc#205.itm(4)} -attr vt d
+load net {ACC1:acc#205.itm(5)} -attr vt d
+load netBundle {ACC1:acc#205.itm} 6 {ACC1:acc#205.itm(0)} {ACC1:acc#205.itm(1)} {ACC1:acc#205.itm(2)} {ACC1:acc#205.itm(3)} {ACC1:acc#205.itm(4)} {ACC1:acc#205.itm(5)} -attr xrf 33288 -attr oid 452 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {conc#652.itm(0)} -attr vt d
+load net {conc#652.itm(1)} -attr vt d
+load net {conc#652.itm(2)} -attr vt d
+load net {conc#652.itm(3)} -attr vt d
+load net {conc#652.itm(4)} -attr vt d
+load netBundle {conc#652.itm} 5 {conc#652.itm(0)} {conc#652.itm(1)} {conc#652.itm(2)} {conc#652.itm(3)} {conc#652.itm(4)} -attr xrf 33289 -attr oid 453 -attr vt d -attr @path {/sobel/sobel:core/conc#652.itm}
+load net {ACC1:acc#201.itm(0)} -attr vt d
+load net {ACC1:acc#201.itm(1)} -attr vt d
+load net {ACC1:acc#201.itm(2)} -attr vt d
+load net {ACC1:acc#201.itm(3)} -attr vt d
+load netBundle {ACC1:acc#201.itm} 4 {ACC1:acc#201.itm(0)} {ACC1:acc#201.itm(1)} {ACC1:acc#201.itm(2)} {ACC1:acc#201.itm(3)} -attr xrf 33290 -attr oid 454 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:slc#60.itm(0)} -attr vt d
+load net {ACC1:slc#60.itm(1)} -attr vt d
+load net {ACC1:slc#60.itm(2)} -attr vt d
+load netBundle {ACC1:slc#60.itm} 3 {ACC1:slc#60.itm(0)} {ACC1:slc#60.itm(1)} {ACC1:slc#60.itm(2)} -attr xrf 33291 -attr oid 455 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#60.itm}
+load net {ACC1:acc#195.itm(0)} -attr vt d
+load net {ACC1:acc#195.itm(1)} -attr vt d
+load net {ACC1:acc#195.itm(2)} -attr vt d
+load net {ACC1:acc#195.itm(3)} -attr vt d
+load netBundle {ACC1:acc#195.itm} 4 {ACC1:acc#195.itm(0)} {ACC1:acc#195.itm(1)} {ACC1:acc#195.itm(2)} {ACC1:acc#195.itm(3)} -attr xrf 33292 -attr oid 456 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
+load net {exs#60.itm(0)} -attr vt d
+load net {exs#60.itm(1)} -attr vt d
+load net {exs#60.itm(2)} -attr vt d
+load netBundle {exs#60.itm} 3 {exs#60.itm(0)} {exs#60.itm(1)} {exs#60.itm(2)} -attr xrf 33293 -attr oid 457 -attr vt d -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {conc#653.itm(0)} -attr vt d
+load net {conc#653.itm(1)} -attr vt d
+load netBundle {conc#653.itm} 2 {conc#653.itm(0)} {conc#653.itm(1)} -attr xrf 33294 -attr oid 458 -attr vt d -attr @path {/sobel/sobel:core/conc#653.itm}
+load net {ACC1:exs#751.itm(0)} -attr vt d
+load net {ACC1:exs#751.itm(1)} -attr vt d
+load net {ACC1:exs#751.itm(2)} -attr vt d
+load netBundle {ACC1:exs#751.itm} 3 {ACC1:exs#751.itm(0)} {ACC1:exs#751.itm(1)} {ACC1:exs#751.itm(2)} -attr xrf 33295 -attr oid 459 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#751.itm}
+load net {ACC1:conc#564.itm(0)} -attr vt d
+load net {ACC1:conc#564.itm(1)} -attr vt d
+load netBundle {ACC1:conc#564.itm} 2 {ACC1:conc#564.itm(0)} {ACC1:conc#564.itm(1)} -attr xrf 33296 -attr oid 460 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#564.itm}
+load net {conc#655.itm(0)} -attr vt d
+load net {conc#655.itm(1)} -attr vt d
+load net {conc#655.itm(2)} -attr vt d
+load net {conc#655.itm(3)} -attr vt d
+load net {conc#655.itm(4)} -attr vt d
+load net {conc#655.itm(5)} -attr vt d
+load net {conc#655.itm(6)} -attr vt d
+load net {conc#655.itm(7)} -attr vt d
+load net {conc#655.itm(8)} -attr vt d
+load net {conc#655.itm(9)} -attr vt d
+load netBundle {conc#655.itm} 10 {conc#655.itm(0)} {conc#655.itm(1)} {conc#655.itm(2)} {conc#655.itm(3)} {conc#655.itm(4)} {conc#655.itm(5)} {conc#655.itm(6)} {conc#655.itm(7)} {conc#655.itm(8)} {conc#655.itm(9)} -attr xrf 33297 -attr oid 461 -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {ACC1-3:exs#581.itm(0)} -attr vt d
+load net {ACC1-3:exs#581.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#581.itm} 2 {ACC1-3:exs#581.itm(0)} {ACC1-3:exs#581.itm(1)} -attr xrf 33298 -attr oid 462 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#581.itm}
+load net {ACC1:acc#214.itm(0)} -attr vt d
+load net {ACC1:acc#214.itm(1)} -attr vt d
+load net {ACC1:acc#214.itm(2)} -attr vt d
+load net {ACC1:acc#214.itm(3)} -attr vt d
+load net {ACC1:acc#214.itm(4)} -attr vt d
+load net {ACC1:acc#214.itm(5)} -attr vt d
+load net {ACC1:acc#214.itm(6)} -attr vt d
+load net {ACC1:acc#214.itm(7)} -attr vt d
+load net {ACC1:acc#214.itm(8)} -attr vt d
+load net {ACC1:acc#214.itm(9)} -attr vt d
+load net {ACC1:acc#214.itm(10)} -attr vt d
+load net {ACC1:acc#214.itm(11)} -attr vt d
+load netBundle {ACC1:acc#214.itm} 12 {ACC1:acc#214.itm(0)} {ACC1:acc#214.itm(1)} {ACC1:acc#214.itm(2)} {ACC1:acc#214.itm(3)} {ACC1:acc#214.itm(4)} {ACC1:acc#214.itm(5)} {ACC1:acc#214.itm(6)} {ACC1:acc#214.itm(7)} {ACC1:acc#214.itm(8)} {ACC1:acc#214.itm(9)} {ACC1:acc#214.itm(10)} {ACC1:acc#214.itm(11)} -attr xrf 33299 -attr oid 463 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1-1:acc#122.itm(0)} -attr vt d
+load net {ACC1-1:acc#122.itm(1)} -attr vt d
+load net {ACC1-1:acc#122.itm(2)} -attr vt d
+load net {ACC1-1:acc#122.itm(3)} -attr vt d
+load net {ACC1-1:acc#122.itm(4)} -attr vt d
+load net {ACC1-1:acc#122.itm(5)} -attr vt d
+load net {ACC1-1:acc#122.itm(6)} -attr vt d
+load net {ACC1-1:acc#122.itm(7)} -attr vt d
+load net {ACC1-1:acc#122.itm(8)} -attr vt d
+load net {ACC1-1:acc#122.itm(9)} -attr vt d
+load net {ACC1-1:acc#122.itm(10)} -attr vt d
+load netBundle {ACC1-1:acc#122.itm} 11 {ACC1-1:acc#122.itm(0)} {ACC1-1:acc#122.itm(1)} {ACC1-1:acc#122.itm(2)} {ACC1-1:acc#122.itm(3)} {ACC1-1:acc#122.itm(4)} {ACC1-1:acc#122.itm(5)} {ACC1-1:acc#122.itm(6)} {ACC1-1:acc#122.itm(7)} {ACC1-1:acc#122.itm(8)} {ACC1-1:acc#122.itm(9)} {ACC1-1:acc#122.itm(10)} -attr xrf 33300 -attr oid 464 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1:acc#241.itm(0)} -attr vt d
+load net {ACC1:acc#241.itm(1)} -attr vt d
+load net {ACC1:acc#241.itm(2)} -attr vt d
+load net {ACC1:acc#241.itm(3)} -attr vt d
+load net {ACC1:acc#241.itm(4)} -attr vt d
+load net {ACC1:acc#241.itm(5)} -attr vt d
+load net {ACC1:acc#241.itm(6)} -attr vt d
+load net {ACC1:acc#241.itm(7)} -attr vt d
+load net {ACC1:acc#241.itm(8)} -attr vt d
+load net {ACC1:acc#241.itm(9)} -attr vt d
+load net {ACC1:acc#241.itm(10)} -attr vt d
+load netBundle {ACC1:acc#241.itm} 11 {ACC1:acc#241.itm(0)} {ACC1:acc#241.itm(1)} {ACC1:acc#241.itm(2)} {ACC1:acc#241.itm(3)} {ACC1:acc#241.itm(4)} {ACC1:acc#241.itm(5)} {ACC1:acc#241.itm(6)} {ACC1:acc#241.itm(7)} {ACC1:acc#241.itm(8)} {ACC1:acc#241.itm(9)} {ACC1:acc#241.itm(10)} -attr xrf 33301 -attr oid 465 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#239.itm(0)} -attr vt d
+load net {ACC1:acc#239.itm(1)} -attr vt d
+load net {ACC1:acc#239.itm(2)} -attr vt d
+load net {ACC1:acc#239.itm(3)} -attr vt d
+load net {ACC1:acc#239.itm(4)} -attr vt d
+load net {ACC1:acc#239.itm(5)} -attr vt d
+load net {ACC1:acc#239.itm(6)} -attr vt d
+load net {ACC1:acc#239.itm(7)} -attr vt d
+load net {ACC1:acc#239.itm(8)} -attr vt d
+load net {ACC1:acc#239.itm(9)} -attr vt d
+load netBundle {ACC1:acc#239.itm} 10 {ACC1:acc#239.itm(0)} {ACC1:acc#239.itm(1)} {ACC1:acc#239.itm(2)} {ACC1:acc#239.itm(3)} {ACC1:acc#239.itm(4)} {ACC1:acc#239.itm(5)} {ACC1:acc#239.itm(6)} {ACC1:acc#239.itm(7)} {ACC1:acc#239.itm(8)} {ACC1:acc#239.itm(9)} -attr xrf 33302 -attr oid 466 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {conc#656.itm(0)} -attr vt d
+load net {conc#656.itm(1)} -attr vt d
+load net {conc#656.itm(2)} -attr vt d
+load net {conc#656.itm(3)} -attr vt d
+load net {conc#656.itm(4)} -attr vt d
+load net {conc#656.itm(5)} -attr vt d
+load net {conc#656.itm(6)} -attr vt d
+load net {conc#656.itm(7)} -attr vt d
+load net {conc#656.itm(8)} -attr vt d
+load netBundle {conc#656.itm} 9 {conc#656.itm(0)} {conc#656.itm(1)} {conc#656.itm(2)} {conc#656.itm(3)} {conc#656.itm(4)} {conc#656.itm(5)} {conc#656.itm(6)} {conc#656.itm(7)} {conc#656.itm(8)} -attr xrf 33303 -attr oid 467 -attr vt d -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {ACC1:acc#237.itm(0)} -attr vt d
+load net {ACC1:acc#237.itm(1)} -attr vt d
+load net {ACC1:acc#237.itm(2)} -attr vt d
+load net {ACC1:acc#237.itm(3)} -attr vt d
+load net {ACC1:acc#237.itm(4)} -attr vt d
+load net {ACC1:acc#237.itm(5)} -attr vt d
+load net {ACC1:acc#237.itm(6)} -attr vt d
+load net {ACC1:acc#237.itm(7)} -attr vt d
+load netBundle {ACC1:acc#237.itm} 8 {ACC1:acc#237.itm(0)} {ACC1:acc#237.itm(1)} {ACC1:acc#237.itm(2)} {ACC1:acc#237.itm(3)} {ACC1:acc#237.itm(4)} {ACC1:acc#237.itm(5)} {ACC1:acc#237.itm(6)} {ACC1:acc#237.itm(7)} -attr xrf 33304 -attr oid 468 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {conc#657.itm(0)} -attr vt d
+load net {conc#657.itm(1)} -attr vt d
+load net {conc#657.itm(2)} -attr vt d
+load net {conc#657.itm(3)} -attr vt d
+load net {conc#657.itm(4)} -attr vt d
+load net {conc#657.itm(5)} -attr vt d
+load net {conc#657.itm(6)} -attr vt d
+load net {conc#657.itm(7)} -attr vt d
+load netBundle {conc#657.itm} 8 {conc#657.itm(0)} {conc#657.itm(1)} {conc#657.itm(2)} {conc#657.itm(3)} {conc#657.itm(4)} {conc#657.itm(5)} {conc#657.itm(6)} {conc#657.itm(7)} -attr xrf 33305 -attr oid 469 -attr vt d -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {ACC1-1:exs#558.itm(0)} -attr vt d
+load net {ACC1-1:exs#558.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#558.itm} 2 {ACC1-1:exs#558.itm(0)} {ACC1-1:exs#558.itm(1)} -attr xrf 33306 -attr oid 470 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#558.itm}
+load net {ACC1:acc#234.itm(0)} -attr vt d
+load net {ACC1:acc#234.itm(1)} -attr vt d
+load net {ACC1:acc#234.itm(2)} -attr vt d
+load net {ACC1:acc#234.itm(3)} -attr vt d
+load net {ACC1:acc#234.itm(4)} -attr vt d
+load net {ACC1:acc#234.itm(5)} -attr vt d
+load net {ACC1:acc#234.itm(6)} -attr vt d
+load netBundle {ACC1:acc#234.itm} 7 {ACC1:acc#234.itm(0)} {ACC1:acc#234.itm(1)} {ACC1:acc#234.itm(2)} {ACC1:acc#234.itm(3)} {ACC1:acc#234.itm(4)} {ACC1:acc#234.itm(5)} {ACC1:acc#234.itm(6)} -attr xrf 33307 -attr oid 471 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {conc#658.itm(0)} -attr vt d
+load net {conc#658.itm(1)} -attr vt d
+load net {conc#658.itm(2)} -attr vt d
+load net {conc#658.itm(3)} -attr vt d
+load net {conc#658.itm(4)} -attr vt d
+load net {conc#658.itm(5)} -attr vt d
+load netBundle {conc#658.itm} 6 {conc#658.itm(0)} {conc#658.itm(1)} {conc#658.itm(2)} {conc#658.itm(3)} {conc#658.itm(4)} {conc#658.itm(5)} -attr xrf 33308 -attr oid 472 -attr vt d -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {ACC1-1:exs#561.itm(0)} -attr vt d
+load net {ACC1-1:exs#561.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#561.itm} 2 {ACC1-1:exs#561.itm(0)} {ACC1-1:exs#561.itm(1)} -attr xrf 33309 -attr oid 473 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#561.itm}
+load net {ACC1:acc#231.itm(0)} -attr vt d
+load net {ACC1:acc#231.itm(1)} -attr vt d
+load net {ACC1:acc#231.itm(2)} -attr vt d
+load net {ACC1:acc#231.itm(3)} -attr vt d
+load net {ACC1:acc#231.itm(4)} -attr vt d
+load netBundle {ACC1:acc#231.itm} 5 {ACC1:acc#231.itm(0)} {ACC1:acc#231.itm(1)} {ACC1:acc#231.itm(2)} {ACC1:acc#231.itm(3)} {ACC1:acc#231.itm(4)} -attr xrf 33310 -attr oid 474 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#227.itm(0)} -attr vt d
+load net {ACC1:acc#227.itm(1)} -attr vt d
+load net {ACC1:acc#227.itm(2)} -attr vt d
+load net {ACC1:acc#227.itm(3)} -attr vt d
+load netBundle {ACC1:acc#227.itm} 4 {ACC1:acc#227.itm(0)} {ACC1:acc#227.itm(1)} {ACC1:acc#227.itm(2)} {ACC1:acc#227.itm(3)} -attr xrf 33311 -attr oid 475 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:slc#66.itm(0)} -attr vt d
+load net {ACC1:slc#66.itm(1)} -attr vt d
+load net {ACC1:slc#66.itm(2)} -attr vt d
+load netBundle {ACC1:slc#66.itm} 3 {ACC1:slc#66.itm(0)} {ACC1:slc#66.itm(1)} {ACC1:slc#66.itm(2)} -attr xrf 33312 -attr oid 476 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1:acc#221.itm(0)} -attr vt d
+load net {ACC1:acc#221.itm(1)} -attr vt d
+load net {ACC1:acc#221.itm(2)} -attr vt d
+load net {ACC1:acc#221.itm(3)} -attr vt d
+load netBundle {ACC1:acc#221.itm} 4 {ACC1:acc#221.itm(0)} {ACC1:acc#221.itm(1)} {ACC1:acc#221.itm(2)} {ACC1:acc#221.itm(3)} -attr xrf 33313 -attr oid 477 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
+load net {exs#46.itm(0)} -attr vt d
+load net {exs#46.itm(1)} -attr vt d
+load net {exs#46.itm(2)} -attr vt d
+load netBundle {exs#46.itm} 3 {exs#46.itm(0)} {exs#46.itm(1)} {exs#46.itm(2)} -attr xrf 33314 -attr oid 478 -attr vt d -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {conc#659.itm(0)} -attr vt d
+load net {conc#659.itm(1)} -attr vt d
+load netBundle {conc#659.itm} 2 {conc#659.itm(0)} {conc#659.itm(1)} -attr xrf 33315 -attr oid 479 -attr vt d -attr @path {/sobel/sobel:core/conc#659.itm}
+load net {ACC1:exs#753.itm(0)} -attr vt d
+load net {ACC1:exs#753.itm(1)} -attr vt d
+load net {ACC1:exs#753.itm(2)} -attr vt d
+load netBundle {ACC1:exs#753.itm} 3 {ACC1:exs#753.itm(0)} {ACC1:exs#753.itm(1)} {ACC1:exs#753.itm(2)} -attr xrf 33316 -attr oid 480 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#753.itm}
+load net {ACC1:conc#577.itm(0)} -attr vt d
+load net {ACC1:conc#577.itm(1)} -attr vt d
+load netBundle {ACC1:conc#577.itm} 2 {ACC1:conc#577.itm(0)} {ACC1:conc#577.itm(1)} -attr xrf 33317 -attr oid 481 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#577.itm}
+load net {ACC1:slc#65.itm(0)} -attr vt d
+load net {ACC1:slc#65.itm(1)} -attr vt d
+load net {ACC1:slc#65.itm(2)} -attr vt d
+load netBundle {ACC1:slc#65.itm} 3 {ACC1:slc#65.itm(0)} {ACC1:slc#65.itm(1)} {ACC1:slc#65.itm(2)} -attr xrf 33318 -attr oid 482 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#220.itm(0)} -attr vt d
+load net {ACC1:acc#220.itm(1)} -attr vt d
+load net {ACC1:acc#220.itm(2)} -attr vt d
+load net {ACC1:acc#220.itm(3)} -attr vt d
+load netBundle {ACC1:acc#220.itm} 4 {ACC1:acc#220.itm(0)} {ACC1:acc#220.itm(1)} {ACC1:acc#220.itm(2)} {ACC1:acc#220.itm(3)} -attr xrf 33319 -attr oid 483 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
+load net {exs#47.itm(0)} -attr vt d
+load net {exs#47.itm(1)} -attr vt d
+load net {exs#47.itm(2)} -attr vt d
+load netBundle {exs#47.itm} 3 {exs#47.itm(0)} {exs#47.itm(1)} {exs#47.itm(2)} -attr xrf 33320 -attr oid 484 -attr vt d -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {conc#660.itm(0)} -attr vt d
+load net {conc#660.itm(1)} -attr vt d
+load netBundle {conc#660.itm} 2 {conc#660.itm(0)} {conc#660.itm(1)} -attr xrf 33321 -attr oid 485 -attr vt d -attr @path {/sobel/sobel:core/conc#660.itm}
+load net {ACC1:exs#755.itm(0)} -attr vt d
+load net {ACC1:exs#755.itm(1)} -attr vt d
+load net {ACC1:exs#755.itm(2)} -attr vt d
+load netBundle {ACC1:exs#755.itm} 3 {ACC1:exs#755.itm(0)} {ACC1:exs#755.itm(1)} {ACC1:exs#755.itm(2)} -attr xrf 33322 -attr oid 486 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#755.itm}
+load net {ACC1:conc#575.itm(0)} -attr vt d
+load net {ACC1:conc#575.itm(1)} -attr vt d
+load netBundle {ACC1:conc#575.itm} 2 {ACC1:conc#575.itm(0)} {ACC1:conc#575.itm(1)} -attr xrf 33323 -attr oid 487 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#575.itm}
+load net {ACC1:acc#226.itm(0)} -attr vt d
+load net {ACC1:acc#226.itm(1)} -attr vt d
+load net {ACC1:acc#226.itm(2)} -attr vt d
+load net {ACC1:acc#226.itm(3)} -attr vt d
+load netBundle {ACC1:acc#226.itm} 4 {ACC1:acc#226.itm(0)} {ACC1:acc#226.itm(1)} {ACC1:acc#226.itm(2)} {ACC1:acc#226.itm(3)} -attr xrf 33324 -attr oid 488 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:slc#64.itm(0)} -attr vt d
+load net {ACC1:slc#64.itm(1)} -attr vt d
+load net {ACC1:slc#64.itm(2)} -attr vt d
+load netBundle {ACC1:slc#64.itm} 3 {ACC1:slc#64.itm(0)} {ACC1:slc#64.itm(1)} {ACC1:slc#64.itm(2)} -attr xrf 33325 -attr oid 489 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#219.itm(0)} -attr vt d
+load net {ACC1:acc#219.itm(1)} -attr vt d
+load net {ACC1:acc#219.itm(2)} -attr vt d
+load net {ACC1:acc#219.itm(3)} -attr vt d
+load netBundle {ACC1:acc#219.itm} 4 {ACC1:acc#219.itm(0)} {ACC1:acc#219.itm(1)} {ACC1:acc#219.itm(2)} {ACC1:acc#219.itm(3)} -attr xrf 33326 -attr oid 490 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
+load net {exs#48.itm(0)} -attr vt d
+load net {exs#48.itm(1)} -attr vt d
+load net {exs#48.itm(2)} -attr vt d
+load netBundle {exs#48.itm} 3 {exs#48.itm(0)} {exs#48.itm(1)} {exs#48.itm(2)} -attr xrf 33327 -attr oid 491 -attr vt d -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {conc#661.itm(0)} -attr vt d
+load net {conc#661.itm(1)} -attr vt d
+load netBundle {conc#661.itm} 2 {conc#661.itm(0)} {conc#661.itm(1)} -attr xrf 33328 -attr oid 492 -attr vt d -attr @path {/sobel/sobel:core/conc#661.itm}
+load net {ACC1:exs#757.itm(0)} -attr vt d
+load net {ACC1:exs#757.itm(1)} -attr vt d
+load net {ACC1:exs#757.itm(2)} -attr vt d
+load netBundle {ACC1:exs#757.itm} 3 {ACC1:exs#757.itm(0)} {ACC1:exs#757.itm(1)} {ACC1:exs#757.itm(2)} -attr xrf 33329 -attr oid 493 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#757.itm}
+load net {ACC1:conc#573.itm(0)} -attr vt d
+load net {ACC1:conc#573.itm(1)} -attr vt d
+load netBundle {ACC1:conc#573.itm} 2 {ACC1:conc#573.itm(0)} {ACC1:conc#573.itm(1)} -attr xrf 33330 -attr oid 494 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#573.itm}
+load net {ACC1:slc#63.itm(0)} -attr vt d
+load net {ACC1:slc#63.itm(1)} -attr vt d
+load net {ACC1:slc#63.itm(2)} -attr vt d
+load netBundle {ACC1:slc#63.itm} 3 {ACC1:slc#63.itm(0)} {ACC1:slc#63.itm(1)} {ACC1:slc#63.itm(2)} -attr xrf 33331 -attr oid 495 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#63.itm}
+load net {ACC1:acc#218.itm(0)} -attr vt d
+load net {ACC1:acc#218.itm(1)} -attr vt d
+load net {ACC1:acc#218.itm(2)} -attr vt d
+load net {ACC1:acc#218.itm(3)} -attr vt d
+load netBundle {ACC1:acc#218.itm} 4 {ACC1:acc#218.itm(0)} {ACC1:acc#218.itm(1)} {ACC1:acc#218.itm(2)} {ACC1:acc#218.itm(3)} -attr xrf 33332 -attr oid 496 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
+load net {exs#49.itm(0)} -attr vt d
+load net {exs#49.itm(1)} -attr vt d
+load net {exs#49.itm(2)} -attr vt d
+load netBundle {exs#49.itm} 3 {exs#49.itm(0)} {exs#49.itm(1)} {exs#49.itm(2)} -attr xrf 33333 -attr oid 497 -attr vt d -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {conc#662.itm(0)} -attr vt d
+load net {conc#662.itm(1)} -attr vt d
+load netBundle {conc#662.itm} 2 {conc#662.itm(0)} {conc#662.itm(1)} -attr xrf 33334 -attr oid 498 -attr vt d -attr @path {/sobel/sobel:core/conc#662.itm}
+load net {ACC1:exs#759.itm(0)} -attr vt d
+load net {ACC1:exs#759.itm(1)} -attr vt d
+load net {ACC1:exs#759.itm(2)} -attr vt d
+load netBundle {ACC1:exs#759.itm} 3 {ACC1:exs#759.itm(0)} {ACC1:exs#759.itm(1)} {ACC1:exs#759.itm(2)} -attr xrf 33335 -attr oid 499 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#759.itm}
+load net {ACC1:conc#571.itm(0)} -attr vt d
+load net {ACC1:conc#571.itm(1)} -attr vt d
+load netBundle {ACC1:conc#571.itm} 2 {ACC1:conc#571.itm(0)} {ACC1:conc#571.itm(1)} -attr xrf 33336 -attr oid 500 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#571.itm}
+load net {ACC1:acc#238.itm(0)} -attr vt d
+load net {ACC1:acc#238.itm(1)} -attr vt d
+load net {ACC1:acc#238.itm(2)} -attr vt d
+load net {ACC1:acc#238.itm(3)} -attr vt d
+load net {ACC1:acc#238.itm(4)} -attr vt d
+load net {ACC1:acc#238.itm(5)} -attr vt d
+load net {ACC1:acc#238.itm(6)} -attr vt d
+load net {ACC1:acc#238.itm(7)} -attr vt d
+load net {ACC1:acc#238.itm(8)} -attr vt d
+load net {ACC1:acc#238.itm(9)} -attr vt d
+load netBundle {ACC1:acc#238.itm} 10 {ACC1:acc#238.itm(0)} {ACC1:acc#238.itm(1)} {ACC1:acc#238.itm(2)} {ACC1:acc#238.itm(3)} {ACC1:acc#238.itm(4)} {ACC1:acc#238.itm(5)} {ACC1:acc#238.itm(6)} {ACC1:acc#238.itm(7)} {ACC1:acc#238.itm(8)} {ACC1:acc#238.itm(9)} -attr xrf 33337 -attr oid 501 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#236.itm(0)} -attr vt d
+load net {ACC1:acc#236.itm(1)} -attr vt d
+load net {ACC1:acc#236.itm(2)} -attr vt d
+load net {ACC1:acc#236.itm(3)} -attr vt d
+load net {ACC1:acc#236.itm(4)} -attr vt d
+load net {ACC1:acc#236.itm(5)} -attr vt d
+load net {ACC1:acc#236.itm(6)} -attr vt d
+load net {ACC1:acc#236.itm(7)} -attr vt d
+load netBundle {ACC1:acc#236.itm} 8 {ACC1:acc#236.itm(0)} {ACC1:acc#236.itm(1)} {ACC1:acc#236.itm(2)} {ACC1:acc#236.itm(3)} {ACC1:acc#236.itm(4)} {ACC1:acc#236.itm(5)} {ACC1:acc#236.itm(6)} {ACC1:acc#236.itm(7)} -attr xrf 33338 -attr oid 502 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#233.itm(0)} -attr vt d
+load net {ACC1:acc#233.itm(1)} -attr vt d
+load net {ACC1:acc#233.itm(2)} -attr vt d
+load net {ACC1:acc#233.itm(3)} -attr vt d
+load net {ACC1:acc#233.itm(4)} -attr vt d
+load net {ACC1:acc#233.itm(5)} -attr vt d
+load netBundle {ACC1:acc#233.itm} 6 {ACC1:acc#233.itm(0)} {ACC1:acc#233.itm(1)} {ACC1:acc#233.itm(2)} {ACC1:acc#233.itm(3)} {ACC1:acc#233.itm(4)} {ACC1:acc#233.itm(5)} -attr xrf 33339 -attr oid 503 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#230.itm(0)} -attr vt d
+load net {ACC1:acc#230.itm(1)} -attr vt d
+load net {ACC1:acc#230.itm(2)} -attr vt d
+load net {ACC1:acc#230.itm(3)} -attr vt d
+load netBundle {ACC1:acc#230.itm} 4 {ACC1:acc#230.itm(0)} {ACC1:acc#230.itm(1)} {ACC1:acc#230.itm(2)} {ACC1:acc#230.itm(3)} -attr xrf 33340 -attr oid 504 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#225.itm(0)} -attr vt d
+load net {ACC1:acc#225.itm(1)} -attr vt d
+load net {ACC1:acc#225.itm(2)} -attr vt d
+load netBundle {ACC1:acc#225.itm} 3 {ACC1:acc#225.itm(0)} {ACC1:acc#225.itm(1)} {ACC1:acc#225.itm(2)} -attr xrf 33341 -attr oid 505 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load net {ACC1:slc#61.itm(0)} -attr vt d
+load net {ACC1:slc#61.itm(1)} -attr vt d
+load net {ACC1:slc#61.itm(2)} -attr vt d
+load netBundle {ACC1:slc#61.itm} 3 {ACC1:slc#61.itm(0)} {ACC1:slc#61.itm(1)} {ACC1:slc#61.itm(2)} -attr xrf 33342 -attr oid 506 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#61.itm}
+load net {ACC1:acc#216.itm(0)} -attr vt d
+load net {ACC1:acc#216.itm(1)} -attr vt d
+load net {ACC1:acc#216.itm(2)} -attr vt d
+load net {ACC1:acc#216.itm(3)} -attr vt d
+load netBundle {ACC1:acc#216.itm} 4 {ACC1:acc#216.itm(0)} {ACC1:acc#216.itm(1)} {ACC1:acc#216.itm(2)} {ACC1:acc#216.itm(3)} -attr xrf 33343 -attr oid 507 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
+load net {conc#663.itm(0)} -attr vt d
+load net {conc#663.itm(1)} -attr vt d
+load net {conc#663.itm(2)} -attr vt d
+load netBundle {conc#663.itm} 3 {conc#663.itm(0)} {conc#663.itm(1)} {conc#663.itm(2)} -attr xrf 33344 -attr oid 508 -attr vt d -attr @path {/sobel/sobel:core/conc#663.itm}
+load net {ACC1:conc#567.itm(0)} -attr vt d
+load net {ACC1:conc#567.itm(1)} -attr vt d
+load netBundle {ACC1:conc#567.itm} 2 {ACC1:conc#567.itm(0)} {ACC1:conc#567.itm(1)} -attr xrf 33345 -attr oid 509 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#567.itm}
+load net {slc(ACC1:acc#116.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#116.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#116.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#116.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#116.psp#1.sva)#2.itm(1)} -attr xrf 33346 -attr oid 510 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp#1.sva)#2.itm}
+load net {ACC1:slc#62.itm(0)} -attr vt d
+load net {ACC1:slc#62.itm(1)} -attr vt d
+load net {ACC1:slc#62.itm(2)} -attr vt d
+load net {ACC1:slc#62.itm(3)} -attr vt d
+load netBundle {ACC1:slc#62.itm} 4 {ACC1:slc#62.itm(0)} {ACC1:slc#62.itm(1)} {ACC1:slc#62.itm(2)} {ACC1:slc#62.itm(3)} -attr xrf 33347 -attr oid 511 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#62.itm}
+load net {ACC1:acc#217.itm(0)} -attr vt d
+load net {ACC1:acc#217.itm(1)} -attr vt d
+load net {ACC1:acc#217.itm(2)} -attr vt d
+load net {ACC1:acc#217.itm(3)} -attr vt d
+load net {ACC1:acc#217.itm(4)} -attr vt d
+load netBundle {ACC1:acc#217.itm} 5 {ACC1:acc#217.itm(0)} {ACC1:acc#217.itm(1)} {ACC1:acc#217.itm(2)} {ACC1:acc#217.itm(3)} {ACC1:acc#217.itm(4)} -attr xrf 33348 -attr oid 512 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
+load net {conc#664.itm(0)} -attr vt d
+load net {conc#664.itm(1)} -attr vt d
+load net {conc#664.itm(2)} -attr vt d
+load netBundle {conc#664.itm} 3 {conc#664.itm(0)} {conc#664.itm(1)} {conc#664.itm(2)} -attr xrf 33349 -attr oid 513 -attr vt d -attr @path {/sobel/sobel:core/conc#664.itm}
+load net {ACC1:conc#569.itm(0)} -attr vt d
+load net {ACC1:conc#569.itm(1)} -attr vt d
+load net {ACC1:conc#569.itm(2)} -attr vt d
+load netBundle {ACC1:conc#569.itm} 3 {ACC1:conc#569.itm(0)} {ACC1:conc#569.itm(1)} {ACC1:conc#569.itm(2)} -attr xrf 33350 -attr oid 514 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#569.itm}
+load net {ACC1:acc#229.itm(0)} -attr vt d
+load net {ACC1:acc#229.itm(1)} -attr vt d
+load net {ACC1:acc#229.itm(2)} -attr vt d
+load net {ACC1:acc#229.itm(3)} -attr vt d
+load net {ACC1:acc#229.itm(4)} -attr vt d
+load netBundle {ACC1:acc#229.itm} 5 {ACC1:acc#229.itm(0)} {ACC1:acc#229.itm(1)} {ACC1:acc#229.itm(2)} {ACC1:acc#229.itm(3)} {ACC1:acc#229.itm(4)} -attr xrf 33351 -attr oid 515 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1-1:conc#260.itm(0)} -attr vt d
+load net {ACC1-1:conc#260.itm(1)} -attr vt d
+load net {ACC1-1:conc#260.itm(2)} -attr vt d
+load net {ACC1-1:conc#260.itm(3)} -attr vt d
+load netBundle {ACC1-1:conc#260.itm} 4 {ACC1-1:conc#260.itm(0)} {ACC1-1:conc#260.itm(1)} {ACC1-1:conc#260.itm(2)} {ACC1-1:conc#260.itm(3)} -attr xrf 33352 -attr oid 516 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#260.itm}
+load net {ACC1-1:exs#552.itm(0)} -attr vt d
+load net {ACC1-1:exs#552.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#552.itm} 2 {ACC1-1:exs#552.itm(0)} {ACC1-1:exs#552.itm(1)} -attr xrf 33353 -attr oid 517 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#552.itm}
+load net {conc#665.itm(0)} -attr vt d
+load net {conc#665.itm(1)} -attr vt d
+load net {conc#665.itm(2)} -attr vt d
+load net {conc#665.itm(3)} -attr vt d
+load net {conc#665.itm(4)} -attr vt d
+load net {conc#665.itm(5)} -attr vt d
+load net {conc#665.itm(6)} -attr vt d
+load netBundle {conc#665.itm} 7 {conc#665.itm(0)} {conc#665.itm(1)} {conc#665.itm(2)} {conc#665.itm(3)} {conc#665.itm(4)} {conc#665.itm(5)} {conc#665.itm(6)} -attr xrf 33354 -attr oid 518 -attr vt d -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {ACC1:acc#235.itm(0)} -attr vt d
+load net {ACC1:acc#235.itm(1)} -attr vt d
+load net {ACC1:acc#235.itm(2)} -attr vt d
+load net {ACC1:acc#235.itm(3)} -attr vt d
+load net {ACC1:acc#235.itm(4)} -attr vt d
+load net {ACC1:acc#235.itm(5)} -attr vt d
+load net {ACC1:acc#235.itm(6)} -attr vt d
+load net {ACC1:acc#235.itm(7)} -attr vt d
+load netBundle {ACC1:acc#235.itm} 8 {ACC1:acc#235.itm(0)} {ACC1:acc#235.itm(1)} {ACC1:acc#235.itm(2)} {ACC1:acc#235.itm(3)} {ACC1:acc#235.itm(4)} {ACC1:acc#235.itm(5)} {ACC1:acc#235.itm(6)} {ACC1:acc#235.itm(7)} -attr xrf 33355 -attr oid 519 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1-1:exs#538.itm(0)} -attr vt d
+load net {ACC1-1:exs#538.itm(1)} -attr vt d
+load net {ACC1-1:exs#538.itm(2)} -attr vt d
+load net {ACC1-1:exs#538.itm(3)} -attr vt d
+load net {ACC1-1:exs#538.itm(4)} -attr vt d
+load net {ACC1-1:exs#538.itm(5)} -attr vt d
+load net {ACC1-1:exs#538.itm(6)} -attr vt d
+load netBundle {ACC1-1:exs#538.itm} 7 {ACC1-1:exs#538.itm(0)} {ACC1-1:exs#538.itm(1)} {ACC1-1:exs#538.itm(2)} {ACC1-1:exs#538.itm(3)} {ACC1-1:exs#538.itm(4)} {ACC1-1:exs#538.itm(5)} {ACC1-1:exs#538.itm(6)} -attr xrf 33356 -attr oid 520 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {ACC1-1:conc#226.itm(0)} -attr vt d
+load net {ACC1-1:conc#226.itm(1)} -attr vt d
+load net {ACC1-1:conc#226.itm(2)} -attr vt d
+load netBundle {ACC1-1:conc#226.itm} 3 {ACC1-1:conc#226.itm(0)} {ACC1-1:conc#226.itm(1)} {ACC1-1:conc#226.itm(2)} -attr xrf 33357 -attr oid 521 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#226.itm}
+load net {ACC1-1:exs#547.itm(0)} -attr vt d
+load net {ACC1-1:exs#547.itm(1)} -attr vt d
+load netBundle {ACC1-1:exs#547.itm} 2 {ACC1-1:exs#547.itm(0)} {ACC1-1:exs#547.itm(1)} -attr xrf 33358 -attr oid 522 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#547.itm}
+load net {ACC1:acc#232.itm(0)} -attr vt d
+load net {ACC1:acc#232.itm(1)} -attr vt d
+load net {ACC1:acc#232.itm(2)} -attr vt d
+load net {ACC1:acc#232.itm(3)} -attr vt d
+load net {ACC1:acc#232.itm(4)} -attr vt d
+load net {ACC1:acc#232.itm(5)} -attr vt d
+load netBundle {ACC1:acc#232.itm} 6 {ACC1:acc#232.itm(0)} {ACC1:acc#232.itm(1)} {ACC1:acc#232.itm(2)} {ACC1:acc#232.itm(3)} {ACC1:acc#232.itm(4)} {ACC1:acc#232.itm(5)} -attr xrf 33359 -attr oid 523 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {conc#666.itm(0)} -attr vt d
+load net {conc#666.itm(1)} -attr vt d
+load net {conc#666.itm(2)} -attr vt d
+load net {conc#666.itm(3)} -attr vt d
+load net {conc#666.itm(4)} -attr vt d
+load netBundle {conc#666.itm} 5 {conc#666.itm(0)} {conc#666.itm(1)} {conc#666.itm(2)} {conc#666.itm(3)} {conc#666.itm(4)} -attr xrf 33360 -attr oid 524 -attr vt d -attr @path {/sobel/sobel:core/conc#666.itm}
+load net {ACC1:acc#228.itm(0)} -attr vt d
+load net {ACC1:acc#228.itm(1)} -attr vt d
+load net {ACC1:acc#228.itm(2)} -attr vt d
+load net {ACC1:acc#228.itm(3)} -attr vt d
+load netBundle {ACC1:acc#228.itm} 4 {ACC1:acc#228.itm(0)} {ACC1:acc#228.itm(1)} {ACC1:acc#228.itm(2)} {ACC1:acc#228.itm(3)} -attr xrf 33361 -attr oid 525 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:slc#67.itm(0)} -attr vt d
+load net {ACC1:slc#67.itm(1)} -attr vt d
+load net {ACC1:slc#67.itm(2)} -attr vt d
+load netBundle {ACC1:slc#67.itm} 3 {ACC1:slc#67.itm(0)} {ACC1:slc#67.itm(1)} {ACC1:slc#67.itm(2)} -attr xrf 33362 -attr oid 526 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#67.itm}
+load net {ACC1:acc#222.itm(0)} -attr vt d
+load net {ACC1:acc#222.itm(1)} -attr vt d
+load net {ACC1:acc#222.itm(2)} -attr vt d
+load net {ACC1:acc#222.itm(3)} -attr vt d
+load netBundle {ACC1:acc#222.itm} 4 {ACC1:acc#222.itm(0)} {ACC1:acc#222.itm(1)} {ACC1:acc#222.itm(2)} {ACC1:acc#222.itm(3)} -attr xrf 33363 -attr oid 527 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
+load net {exs#61.itm(0)} -attr vt d
+load net {exs#61.itm(1)} -attr vt d
+load net {exs#61.itm(2)} -attr vt d
+load netBundle {exs#61.itm} 3 {exs#61.itm(0)} {exs#61.itm(1)} {exs#61.itm(2)} -attr xrf 33364 -attr oid 528 -attr vt d -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {conc#667.itm(0)} -attr vt d
+load net {conc#667.itm(1)} -attr vt d
+load netBundle {conc#667.itm} 2 {conc#667.itm(0)} {conc#667.itm(1)} -attr xrf 33365 -attr oid 529 -attr vt d -attr @path {/sobel/sobel:core/conc#667.itm}
+load net {ACC1:exs#761.itm(0)} -attr vt d
+load net {ACC1:exs#761.itm(1)} -attr vt d
+load net {ACC1:exs#761.itm(2)} -attr vt d
+load netBundle {ACC1:exs#761.itm} 3 {ACC1:exs#761.itm(0)} {ACC1:exs#761.itm(1)} {ACC1:exs#761.itm(2)} -attr xrf 33366 -attr oid 530 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#761.itm}
+load net {ACC1:conc#579.itm(0)} -attr vt d
+load net {ACC1:conc#579.itm(1)} -attr vt d
+load netBundle {ACC1:conc#579.itm} 2 {ACC1:conc#579.itm(0)} {ACC1:conc#579.itm(1)} -attr xrf 33367 -attr oid 531 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#579.itm}
+load net {conc#669.itm(0)} -attr vt d
+load net {conc#669.itm(1)} -attr vt d
+load net {conc#669.itm(2)} -attr vt d
+load net {conc#669.itm(3)} -attr vt d
+load net {conc#669.itm(4)} -attr vt d
+load net {conc#669.itm(5)} -attr vt d
+load net {conc#669.itm(6)} -attr vt d
+load net {conc#669.itm(7)} -attr vt d
+load net {conc#669.itm(8)} -attr vt d
+load net {conc#669.itm(9)} -attr vt d
+load net {conc#669.itm(10)} -attr vt d
+load netBundle {conc#669.itm} 11 {conc#669.itm(0)} {conc#669.itm(1)} {conc#669.itm(2)} {conc#669.itm(3)} {conc#669.itm(4)} {conc#669.itm(5)} {conc#669.itm(6)} {conc#669.itm(7)} {conc#669.itm(8)} {conc#669.itm(9)} {conc#669.itm(10)} -attr xrf 33368 -attr oid 532 -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {ACC1:acc#344.itm(0)} -attr vt d
+load net {ACC1:acc#344.itm(1)} -attr vt d
+load net {ACC1:acc#344.itm(2)} -attr vt d
+load netBundle {ACC1:acc#344.itm} 3 {ACC1:acc#344.itm(0)} {ACC1:acc#344.itm(1)} {ACC1:acc#344.itm(2)} -attr xrf 33369 -attr oid 533 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:exs#857.itm(0)} -attr vt d
+load net {ACC1:exs#857.itm(1)} -attr vt d
+load netBundle {ACC1:exs#857.itm} 2 {ACC1:exs#857.itm(0)} {ACC1:exs#857.itm(1)} -attr xrf 33370 -attr oid 534 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#857.itm}
+load net {ACC1:acc#212.itm(0)} -attr vt d
+load net {ACC1:acc#212.itm(1)} -attr vt d
+load net {ACC1:acc#212.itm(2)} -attr vt d
+load net {ACC1:acc#212.itm(3)} -attr vt d
+load net {ACC1:acc#212.itm(4)} -attr vt d
+load net {ACC1:acc#212.itm(5)} -attr vt d
+load net {ACC1:acc#212.itm(6)} -attr vt d
+load net {ACC1:acc#212.itm(7)} -attr vt d
+load net {ACC1:acc#212.itm(8)} -attr vt d
+load net {ACC1:acc#212.itm(9)} -attr vt d
+load netBundle {ACC1:acc#212.itm} 10 {ACC1:acc#212.itm(0)} {ACC1:acc#212.itm(1)} {ACC1:acc#212.itm(2)} {ACC1:acc#212.itm(3)} {ACC1:acc#212.itm(4)} {ACC1:acc#212.itm(5)} {ACC1:acc#212.itm(6)} {ACC1:acc#212.itm(7)} {ACC1:acc#212.itm(8)} {ACC1:acc#212.itm(9)} -attr xrf 33371 -attr oid 535 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {conc#670.itm(0)} -attr vt d
+load net {conc#670.itm(1)} -attr vt d
+load net {conc#670.itm(2)} -attr vt d
+load net {conc#670.itm(3)} -attr vt d
+load net {conc#670.itm(4)} -attr vt d
+load net {conc#670.itm(5)} -attr vt d
+load net {conc#670.itm(6)} -attr vt d
+load net {conc#670.itm(7)} -attr vt d
+load net {conc#670.itm(8)} -attr vt d
+load netBundle {conc#670.itm} 9 {conc#670.itm(0)} {conc#670.itm(1)} {conc#670.itm(2)} {conc#670.itm(3)} {conc#670.itm(4)} {conc#670.itm(5)} {conc#670.itm(6)} {conc#670.itm(7)} {conc#670.itm(8)} -attr xrf 33372 -attr oid 536 -attr vt d -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {ACC1:acc#210.itm(0)} -attr vt d
+load net {ACC1:acc#210.itm(1)} -attr vt d
+load net {ACC1:acc#210.itm(2)} -attr vt d
+load net {ACC1:acc#210.itm(3)} -attr vt d
+load net {ACC1:acc#210.itm(4)} -attr vt d
+load net {ACC1:acc#210.itm(5)} -attr vt d
+load net {ACC1:acc#210.itm(6)} -attr vt d
+load net {ACC1:acc#210.itm(7)} -attr vt d
+load netBundle {ACC1:acc#210.itm} 8 {ACC1:acc#210.itm(0)} {ACC1:acc#210.itm(1)} {ACC1:acc#210.itm(2)} {ACC1:acc#210.itm(3)} {ACC1:acc#210.itm(4)} {ACC1:acc#210.itm(5)} {ACC1:acc#210.itm(6)} {ACC1:acc#210.itm(7)} -attr xrf 33373 -attr oid 537 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {conc#671.itm(0)} -attr vt d
+load net {conc#671.itm(1)} -attr vt d
+load net {conc#671.itm(2)} -attr vt d
+load net {conc#671.itm(3)} -attr vt d
+load net {conc#671.itm(4)} -attr vt d
+load net {conc#671.itm(5)} -attr vt d
+load net {conc#671.itm(6)} -attr vt d
+load net {conc#671.itm(7)} -attr vt d
+load netBundle {conc#671.itm} 8 {conc#671.itm(0)} {conc#671.itm(1)} {conc#671.itm(2)} {conc#671.itm(3)} {conc#671.itm(4)} {conc#671.itm(5)} {conc#671.itm(6)} {conc#671.itm(7)} -attr xrf 33374 -attr oid 538 -attr vt d -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {ACC1-3:exs#585.itm(0)} -attr vt d
+load net {ACC1-3:exs#585.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#585.itm} 2 {ACC1-3:exs#585.itm(0)} {ACC1-3:exs#585.itm(1)} -attr xrf 33375 -attr oid 539 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#585.itm}
+load net {ACC1:acc#207.itm(0)} -attr vt d
+load net {ACC1:acc#207.itm(1)} -attr vt d
+load net {ACC1:acc#207.itm(2)} -attr vt d
+load net {ACC1:acc#207.itm(3)} -attr vt d
+load net {ACC1:acc#207.itm(4)} -attr vt d
+load net {ACC1:acc#207.itm(5)} -attr vt d
+load net {ACC1:acc#207.itm(6)} -attr vt d
+load netBundle {ACC1:acc#207.itm} 7 {ACC1:acc#207.itm(0)} {ACC1:acc#207.itm(1)} {ACC1:acc#207.itm(2)} {ACC1:acc#207.itm(3)} {ACC1:acc#207.itm(4)} {ACC1:acc#207.itm(5)} {ACC1:acc#207.itm(6)} -attr xrf 33376 -attr oid 540 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {conc#672.itm(0)} -attr vt d
+load net {conc#672.itm(1)} -attr vt d
+load net {conc#672.itm(2)} -attr vt d
+load net {conc#672.itm(3)} -attr vt d
+load net {conc#672.itm(4)} -attr vt d
+load net {conc#672.itm(5)} -attr vt d
+load netBundle {conc#672.itm} 6 {conc#672.itm(0)} {conc#672.itm(1)} {conc#672.itm(2)} {conc#672.itm(3)} {conc#672.itm(4)} {conc#672.itm(5)} -attr xrf 33377 -attr oid 541 -attr vt d -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {ACC1-3:exs#588.itm(0)} -attr vt d
+load net {ACC1-3:exs#588.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#588.itm} 2 {ACC1-3:exs#588.itm(0)} {ACC1-3:exs#588.itm(1)} -attr xrf 33378 -attr oid 542 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#588.itm}
+load net {ACC1:acc#204.itm(0)} -attr vt d
+load net {ACC1:acc#204.itm(1)} -attr vt d
+load net {ACC1:acc#204.itm(2)} -attr vt d
+load net {ACC1:acc#204.itm(3)} -attr vt d
+load net {ACC1:acc#204.itm(4)} -attr vt d
+load netBundle {ACC1:acc#204.itm} 5 {ACC1:acc#204.itm(0)} {ACC1:acc#204.itm(1)} {ACC1:acc#204.itm(2)} {ACC1:acc#204.itm(3)} {ACC1:acc#204.itm(4)} -attr xrf 33379 -attr oid 543 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#200.itm(0)} -attr vt d
+load net {ACC1:acc#200.itm(1)} -attr vt d
+load net {ACC1:acc#200.itm(2)} -attr vt d
+load net {ACC1:acc#200.itm(3)} -attr vt d
+load netBundle {ACC1:acc#200.itm} 4 {ACC1:acc#200.itm(0)} {ACC1:acc#200.itm(1)} {ACC1:acc#200.itm(2)} {ACC1:acc#200.itm(3)} -attr xrf 33380 -attr oid 544 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:slc#59.itm(0)} -attr vt d
+load net {ACC1:slc#59.itm(1)} -attr vt d
+load net {ACC1:slc#59.itm(2)} -attr vt d
+load netBundle {ACC1:slc#59.itm} 3 {ACC1:slc#59.itm(0)} {ACC1:slc#59.itm(1)} {ACC1:slc#59.itm(2)} -attr xrf 33381 -attr oid 545 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#59.itm}
+load net {ACC1:acc#194.itm(0)} -attr vt d
+load net {ACC1:acc#194.itm(1)} -attr vt d
+load net {ACC1:acc#194.itm(2)} -attr vt d
+load net {ACC1:acc#194.itm(3)} -attr vt d
+load netBundle {ACC1:acc#194.itm} 4 {ACC1:acc#194.itm(0)} {ACC1:acc#194.itm(1)} {ACC1:acc#194.itm(2)} {ACC1:acc#194.itm(3)} -attr xrf 33382 -attr oid 546 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
+load net {exs#50.itm(0)} -attr vt d
+load net {exs#50.itm(1)} -attr vt d
+load net {exs#50.itm(2)} -attr vt d
+load netBundle {exs#50.itm} 3 {exs#50.itm(0)} {exs#50.itm(1)} {exs#50.itm(2)} -attr xrf 33383 -attr oid 547 -attr vt d -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {conc#673.itm(0)} -attr vt d
+load net {conc#673.itm(1)} -attr vt d
+load netBundle {conc#673.itm} 2 {conc#673.itm(0)} {conc#673.itm(1)} -attr xrf 33384 -attr oid 548 -attr vt d -attr @path {/sobel/sobel:core/conc#673.itm}
+load net {ACC1:exs#764.itm(0)} -attr vt d
+load net {ACC1:exs#764.itm(1)} -attr vt d
+load net {ACC1:exs#764.itm(2)} -attr vt d
+load netBundle {ACC1:exs#764.itm} 3 {ACC1:exs#764.itm(0)} {ACC1:exs#764.itm(1)} {ACC1:exs#764.itm(2)} -attr xrf 33385 -attr oid 549 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#764.itm}
+load net {ACC1:conc#562.itm(0)} -attr vt d
+load net {ACC1:conc#562.itm(1)} -attr vt d
+load netBundle {ACC1:conc#562.itm} 2 {ACC1:conc#562.itm(0)} {ACC1:conc#562.itm(1)} -attr xrf 33386 -attr oid 550 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#562.itm}
+load net {ACC1:slc#58.itm(0)} -attr vt d
+load net {ACC1:slc#58.itm(1)} -attr vt d
+load net {ACC1:slc#58.itm(2)} -attr vt d
+load netBundle {ACC1:slc#58.itm} 3 {ACC1:slc#58.itm(0)} {ACC1:slc#58.itm(1)} {ACC1:slc#58.itm(2)} -attr xrf 33387 -attr oid 551 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:acc#193.itm(0)} -attr vt d
+load net {ACC1:acc#193.itm(1)} -attr vt d
+load net {ACC1:acc#193.itm(2)} -attr vt d
+load net {ACC1:acc#193.itm(3)} -attr vt d
+load netBundle {ACC1:acc#193.itm} 4 {ACC1:acc#193.itm(0)} {ACC1:acc#193.itm(1)} {ACC1:acc#193.itm(2)} {ACC1:acc#193.itm(3)} -attr xrf 33388 -attr oid 552 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
+load net {exs#51.itm(0)} -attr vt d
+load net {exs#51.itm(1)} -attr vt d
+load net {exs#51.itm(2)} -attr vt d
+load netBundle {exs#51.itm} 3 {exs#51.itm(0)} {exs#51.itm(1)} {exs#51.itm(2)} -attr xrf 33389 -attr oid 553 -attr vt d -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {conc#674.itm(0)} -attr vt d
+load net {conc#674.itm(1)} -attr vt d
+load netBundle {conc#674.itm} 2 {conc#674.itm(0)} {conc#674.itm(1)} -attr xrf 33390 -attr oid 554 -attr vt d -attr @path {/sobel/sobel:core/conc#674.itm}
+load net {ACC1:exs#766.itm(0)} -attr vt d
+load net {ACC1:exs#766.itm(1)} -attr vt d
+load net {ACC1:exs#766.itm(2)} -attr vt d
+load netBundle {ACC1:exs#766.itm} 3 {ACC1:exs#766.itm(0)} {ACC1:exs#766.itm(1)} {ACC1:exs#766.itm(2)} -attr xrf 33391 -attr oid 555 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#766.itm}
+load net {ACC1:conc#560.itm(0)} -attr vt d
+load net {ACC1:conc#560.itm(1)} -attr vt d
+load netBundle {ACC1:conc#560.itm} 2 {ACC1:conc#560.itm(0)} {ACC1:conc#560.itm(1)} -attr xrf 33392 -attr oid 556 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#560.itm}
+load net {ACC1:acc#199.itm(0)} -attr vt d
+load net {ACC1:acc#199.itm(1)} -attr vt d
+load net {ACC1:acc#199.itm(2)} -attr vt d
+load net {ACC1:acc#199.itm(3)} -attr vt d
+load netBundle {ACC1:acc#199.itm} 4 {ACC1:acc#199.itm(0)} {ACC1:acc#199.itm(1)} {ACC1:acc#199.itm(2)} {ACC1:acc#199.itm(3)} -attr xrf 33393 -attr oid 557 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:slc#57.itm(0)} -attr vt d
+load net {ACC1:slc#57.itm(1)} -attr vt d
+load net {ACC1:slc#57.itm(2)} -attr vt d
+load netBundle {ACC1:slc#57.itm} 3 {ACC1:slc#57.itm(0)} {ACC1:slc#57.itm(1)} {ACC1:slc#57.itm(2)} -attr xrf 33394 -attr oid 558 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#57.itm}
+load net {ACC1:acc#192.itm(0)} -attr vt d
+load net {ACC1:acc#192.itm(1)} -attr vt d
+load net {ACC1:acc#192.itm(2)} -attr vt d
+load net {ACC1:acc#192.itm(3)} -attr vt d
+load netBundle {ACC1:acc#192.itm} 4 {ACC1:acc#192.itm(0)} {ACC1:acc#192.itm(1)} {ACC1:acc#192.itm(2)} {ACC1:acc#192.itm(3)} -attr xrf 33395 -attr oid 559 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
+load net {exs#52.itm(0)} -attr vt d
+load net {exs#52.itm(1)} -attr vt d
+load net {exs#52.itm(2)} -attr vt d
+load netBundle {exs#52.itm} 3 {exs#52.itm(0)} {exs#52.itm(1)} {exs#52.itm(2)} -attr xrf 33396 -attr oid 560 -attr vt d -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {conc#675.itm(0)} -attr vt d
+load net {conc#675.itm(1)} -attr vt d
+load netBundle {conc#675.itm} 2 {conc#675.itm(0)} {conc#675.itm(1)} -attr xrf 33397 -attr oid 561 -attr vt d -attr @path {/sobel/sobel:core/conc#675.itm}
+load net {ACC1:exs#768.itm(0)} -attr vt d
+load net {ACC1:exs#768.itm(1)} -attr vt d
+load net {ACC1:exs#768.itm(2)} -attr vt d
+load netBundle {ACC1:exs#768.itm} 3 {ACC1:exs#768.itm(0)} {ACC1:exs#768.itm(1)} {ACC1:exs#768.itm(2)} -attr xrf 33398 -attr oid 562 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#768.itm}
+load net {ACC1:conc#558.itm(0)} -attr vt d
+load net {ACC1:conc#558.itm(1)} -attr vt d
+load netBundle {ACC1:conc#558.itm} 2 {ACC1:conc#558.itm(0)} {ACC1:conc#558.itm(1)} -attr xrf 33399 -attr oid 563 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#558.itm}
+load net {ACC1:slc#56.itm(0)} -attr vt d
+load net {ACC1:slc#56.itm(1)} -attr vt d
+load net {ACC1:slc#56.itm(2)} -attr vt d
+load netBundle {ACC1:slc#56.itm} 3 {ACC1:slc#56.itm(0)} {ACC1:slc#56.itm(1)} {ACC1:slc#56.itm(2)} -attr xrf 33400 -attr oid 564 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#56.itm}
+load net {ACC1:acc#191.itm(0)} -attr vt d
+load net {ACC1:acc#191.itm(1)} -attr vt d
+load net {ACC1:acc#191.itm(2)} -attr vt d
+load net {ACC1:acc#191.itm(3)} -attr vt d
+load netBundle {ACC1:acc#191.itm} 4 {ACC1:acc#191.itm(0)} {ACC1:acc#191.itm(1)} {ACC1:acc#191.itm(2)} {ACC1:acc#191.itm(3)} -attr xrf 33401 -attr oid 565 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
+load net {exs#53.itm(0)} -attr vt d
+load net {exs#53.itm(1)} -attr vt d
+load net {exs#53.itm(2)} -attr vt d
+load netBundle {exs#53.itm} 3 {exs#53.itm(0)} {exs#53.itm(1)} {exs#53.itm(2)} -attr xrf 33402 -attr oid 566 -attr vt d -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {conc#676.itm(0)} -attr vt d
+load net {conc#676.itm(1)} -attr vt d
+load netBundle {conc#676.itm} 2 {conc#676.itm(0)} {conc#676.itm(1)} -attr xrf 33403 -attr oid 567 -attr vt d -attr @path {/sobel/sobel:core/conc#676.itm}
+load net {ACC1:exs#770.itm(0)} -attr vt d
+load net {ACC1:exs#770.itm(1)} -attr vt d
+load net {ACC1:exs#770.itm(2)} -attr vt d
+load netBundle {ACC1:exs#770.itm} 3 {ACC1:exs#770.itm(0)} {ACC1:exs#770.itm(1)} {ACC1:exs#770.itm(2)} -attr xrf 33404 -attr oid 568 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#770.itm}
+load net {ACC1:conc#556.itm(0)} -attr vt d
+load net {ACC1:conc#556.itm(1)} -attr vt d
+load netBundle {ACC1:conc#556.itm} 2 {ACC1:conc#556.itm(0)} {ACC1:conc#556.itm(1)} -attr xrf 33405 -attr oid 569 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#556.itm}
+load net {mux#18.itm(0)} -attr vt d
+load net {mux#18.itm(1)} -attr vt d
+load net {mux#18.itm(2)} -attr vt d
+load net {mux#18.itm(3)} -attr vt d
+load net {mux#18.itm(4)} -attr vt d
+load net {mux#18.itm(5)} -attr vt d
+load net {mux#18.itm(6)} -attr vt d
+load net {mux#18.itm(7)} -attr vt d
+load net {mux#18.itm(8)} -attr vt d
+load net {mux#18.itm(9)} -attr vt d
+load net {mux#18.itm(10)} -attr vt d
+load net {mux#18.itm(11)} -attr vt d
+load net {mux#18.itm(12)} -attr vt d
+load net {mux#18.itm(13)} -attr vt d
+load net {mux#18.itm(14)} -attr vt d
+load net {mux#18.itm(15)} -attr vt d
+load net {mux#18.itm(16)} -attr vt d
+load net {mux#18.itm(17)} -attr vt d
+load net {mux#18.itm(18)} -attr vt d
+load netBundle {mux#18.itm} 19 {mux#18.itm(0)} {mux#18.itm(1)} {mux#18.itm(2)} {mux#18.itm(3)} {mux#18.itm(4)} {mux#18.itm(5)} {mux#18.itm(6)} {mux#18.itm(7)} {mux#18.itm(8)} {mux#18.itm(9)} {mux#18.itm(10)} {mux#18.itm(11)} {mux#18.itm(12)} {mux#18.itm(13)} {mux#18.itm(14)} {mux#18.itm(15)} {mux#18.itm(16)} {mux#18.itm(17)} {mux#18.itm(18)} -attr xrf 33406 -attr oid 570 -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {FRAME:acc#15.itm(0)} -attr vt d
+load net {FRAME:acc#15.itm(1)} -attr vt d
+load net {FRAME:acc#15.itm(2)} -attr vt d
+load net {FRAME:acc#15.itm(3)} -attr vt d
+load net {FRAME:acc#15.itm(4)} -attr vt d
+load net {FRAME:acc#15.itm(5)} -attr vt d
+load net {FRAME:acc#15.itm(6)} -attr vt d
+load net {FRAME:acc#15.itm(7)} -attr vt d
+load net {FRAME:acc#15.itm(8)} -attr vt d
+load net {FRAME:acc#15.itm(9)} -attr vt d
+load net {FRAME:acc#15.itm(10)} -attr vt d
+load net {FRAME:acc#15.itm(11)} -attr vt d
+load netBundle {FRAME:acc#15.itm} 12 {FRAME:acc#15.itm(0)} {FRAME:acc#15.itm(1)} {FRAME:acc#15.itm(2)} {FRAME:acc#15.itm(3)} {FRAME:acc#15.itm(4)} {FRAME:acc#15.itm(5)} {FRAME:acc#15.itm(6)} {FRAME:acc#15.itm(7)} {FRAME:acc#15.itm(8)} {FRAME:acc#15.itm(9)} {FRAME:acc#15.itm(10)} {FRAME:acc#15.itm(11)} -attr xrf 33407 -attr oid 571 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:mul.itm(0)} -attr vt d
+load net {FRAME:mul.itm(1)} -attr vt d
+load net {FRAME:mul.itm(2)} -attr vt d
+load net {FRAME:mul.itm(3)} -attr vt d
+load net {FRAME:mul.itm(4)} -attr vt d
+load net {FRAME:mul.itm(5)} -attr vt d
+load net {FRAME:mul.itm(6)} -attr vt d
+load net {FRAME:mul.itm(7)} -attr vt d
+load net {FRAME:mul.itm(8)} -attr vt d
+load net {FRAME:mul.itm(9)} -attr vt d
+load net {FRAME:mul.itm(10)} -attr vt d
+load netBundle {FRAME:mul.itm} 11 {FRAME:mul.itm(0)} {FRAME:mul.itm(1)} {FRAME:mul.itm(2)} {FRAME:mul.itm(3)} {FRAME:mul.itm(4)} {FRAME:mul.itm(5)} {FRAME:mul.itm(6)} {FRAME:mul.itm(7)} {FRAME:mul.itm(8)} {FRAME:mul.itm(9)} {FRAME:mul.itm(10)} -attr xrf 33408 -attr oid 572 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {slc(intensity#2.sg1.sva)#12.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#12.itm(1)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#12.itm} 2 {slc(intensity#2.sg1.sva)#12.itm(0)} {slc(intensity#2.sg1.sva)#12.itm(1)} -attr xrf 33409 -attr oid 573 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#12.itm}
+load net {FRAME:acc#14.itm(0)} -attr vt d
+load net {FRAME:acc#14.itm(1)} -attr vt d
+load net {FRAME:acc#14.itm(2)} -attr vt d
+load net {FRAME:acc#14.itm(3)} -attr vt d
+load net {FRAME:acc#14.itm(4)} -attr vt d
+load net {FRAME:acc#14.itm(5)} -attr vt d
+load net {FRAME:acc#14.itm(6)} -attr vt d
+load net {FRAME:acc#14.itm(7)} -attr vt d
+load net {FRAME:acc#14.itm(8)} -attr vt d
+load net {FRAME:acc#14.itm(9)} -attr vt d
+load netBundle {FRAME:acc#14.itm} 10 {FRAME:acc#14.itm(0)} {FRAME:acc#14.itm(1)} {FRAME:acc#14.itm(2)} {FRAME:acc#14.itm(3)} {FRAME:acc#14.itm(4)} {FRAME:acc#14.itm(5)} {FRAME:acc#14.itm(6)} {FRAME:acc#14.itm(7)} {FRAME:acc#14.itm(8)} {FRAME:acc#14.itm(9)} -attr xrf 33410 -attr oid 574 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:mul#1.itm(0)} -attr vt d
+load net {FRAME:mul#1.itm(1)} -attr vt d
+load net {FRAME:mul#1.itm(2)} -attr vt d
+load net {FRAME:mul#1.itm(3)} -attr vt d
+load net {FRAME:mul#1.itm(4)} -attr vt d
+load net {FRAME:mul#1.itm(5)} -attr vt d
+load net {FRAME:mul#1.itm(6)} -attr vt d
+load net {FRAME:mul#1.itm(7)} -attr vt d
+load net {FRAME:mul#1.itm(8)} -attr vt d
+load netBundle {FRAME:mul#1.itm} 9 {FRAME:mul#1.itm(0)} {FRAME:mul#1.itm(1)} {FRAME:mul#1.itm(2)} {FRAME:mul#1.itm(3)} {FRAME:mul#1.itm(4)} {FRAME:mul#1.itm(5)} {FRAME:mul#1.itm(6)} {FRAME:mul#1.itm(7)} {FRAME:mul#1.itm(8)} -attr xrf 33411 -attr oid 575 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {slc(intensity#2.sg1.sva)#13.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#13.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#13.itm(2)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#13.itm} 3 {slc(intensity#2.sg1.sva)#13.itm(0)} {slc(intensity#2.sg1.sva)#13.itm(1)} {slc(intensity#2.sg1.sva)#13.itm(2)} -attr xrf 33412 -attr oid 576 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#13.itm}
+load net {FRAME:acc#13.itm(0)} -attr vt d
+load net {FRAME:acc#13.itm(1)} -attr vt d
+load net {FRAME:acc#13.itm(2)} -attr vt d
+load net {FRAME:acc#13.itm(3)} -attr vt d
+load net {FRAME:acc#13.itm(4)} -attr vt d
+load net {FRAME:acc#13.itm(5)} -attr vt d
+load net {FRAME:acc#13.itm(6)} -attr vt d
+load net {FRAME:acc#13.itm(7)} -attr vt d
+load netBundle {FRAME:acc#13.itm} 8 {FRAME:acc#13.itm(0)} {FRAME:acc#13.itm(1)} {FRAME:acc#13.itm(2)} {FRAME:acc#13.itm(3)} {FRAME:acc#13.itm(4)} {FRAME:acc#13.itm(5)} {FRAME:acc#13.itm(6)} {FRAME:acc#13.itm(7)} -attr xrf 33413 -attr oid 577 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {slc(intensity#2.sg1.sva)#2.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#2.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#2.itm(2)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#2.itm(3)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#2.itm(4)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#2.itm(5)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#2.itm} 6 {slc(intensity#2.sg1.sva)#2.itm(0)} {slc(intensity#2.sg1.sva)#2.itm(1)} {slc(intensity#2.sg1.sva)#2.itm(2)} {slc(intensity#2.sg1.sva)#2.itm(3)} {slc(intensity#2.sg1.sva)#2.itm(4)} {slc(intensity#2.sg1.sva)#2.itm(5)} -attr xrf 33414 -attr oid 578 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {FRAME:acc#12.itm(0)} -attr vt d
+load net {FRAME:acc#12.itm(1)} -attr vt d
+load net {FRAME:acc#12.itm(2)} -attr vt d
+load net {FRAME:acc#12.itm(3)} -attr vt d
+load net {FRAME:acc#12.itm(4)} -attr vt d
+load netBundle {FRAME:acc#12.itm} 5 {FRAME:acc#12.itm(0)} {FRAME:acc#12.itm(1)} {FRAME:acc#12.itm(2)} {FRAME:acc#12.itm(3)} {FRAME:acc#12.itm(4)} -attr xrf 33415 -attr oid 579 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#11.itm(0)} -attr vt d
+load net {FRAME:acc#11.itm(1)} -attr vt d
+load net {FRAME:acc#11.itm(2)} -attr vt d
+load net {FRAME:acc#11.itm(3)} -attr vt d
+load net {FRAME:acc#11.itm(4)} -attr vt d
+load netBundle {FRAME:acc#11.itm} 5 {FRAME:acc#11.itm(0)} {FRAME:acc#11.itm(1)} {FRAME:acc#11.itm(2)} {FRAME:acc#11.itm(3)} {FRAME:acc#11.itm(4)} -attr xrf 33416 -attr oid 580 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#10.itm(0)} -attr vt d
+load net {FRAME:acc#10.itm(1)} -attr vt d
+load net {FRAME:acc#10.itm(2)} -attr vt d
+load net {FRAME:acc#10.itm(3)} -attr vt d
+load netBundle {FRAME:acc#10.itm} 4 {FRAME:acc#10.itm(0)} {FRAME:acc#10.itm(1)} {FRAME:acc#10.itm(2)} {FRAME:acc#10.itm(3)} -attr xrf 33417 -attr oid 581 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {conc#677.itm(0)} -attr vt d
+load net {conc#677.itm(1)} -attr vt d
+load net {conc#677.itm(2)} -attr vt d
+load netBundle {conc#677.itm} 3 {conc#677.itm(0)} {conc#677.itm(1)} {conc#677.itm(2)} -attr xrf 33418 -attr oid 582 -attr vt d -attr @path {/sobel/sobel:core/conc#677.itm}
+load net {conc#678.itm(0)} -attr vt d
+load net {conc#678.itm(1)} -attr vt d
+load net {conc#678.itm(2)} -attr vt d
+load net {conc#678.itm(3)} -attr vt d
+load net {conc#678.itm(4)} -attr vt d
+load netBundle {conc#678.itm} 5 {conc#678.itm(0)} {conc#678.itm(1)} {conc#678.itm(2)} {conc#678.itm(3)} {conc#678.itm(4)} -attr xrf 33419 -attr oid 583 -attr vt d -attr @path {/sobel/sobel:core/conc#678.itm}
+load net {slc(acc.imod#12.sva)#1.itm(0)} -attr vt d
+load net {slc(acc.imod#12.sva)#1.itm(1)} -attr vt d
+load net {slc(acc.imod#12.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#12.sva)#1.itm} 3 {slc(acc.imod#12.sva)#1.itm(0)} {slc(acc.imod#12.sva)#1.itm(1)} {slc(acc.imod#12.sva)#1.itm(2)} -attr xrf 33420 -attr oid 584 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#1.itm}
+load net {FRAME:conc#15.itm(0)} -attr vt d
+load net {FRAME:conc#15.itm(1)} -attr vt d
+load net {FRAME:conc#15.itm(2)} -attr vt d
+load net {FRAME:conc#15.itm(3)} -attr vt d
+load netBundle {FRAME:conc#15.itm} 4 {FRAME:conc#15.itm(0)} {FRAME:conc#15.itm(1)} {FRAME:conc#15.itm(2)} {FRAME:conc#15.itm(3)} -attr xrf 33421 -attr oid 585 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(0)} -attr vt d
+load net {FRAME:not#5.itm(1)} -attr vt d
+load net {FRAME:not#5.itm(2)} -attr vt d
+load netBundle {FRAME:not#5.itm} 3 {FRAME:not#5.itm(0)} {FRAME:not#5.itm(1)} {FRAME:not#5.itm(2)} -attr xrf 33422 -attr oid 586 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {slc(acc.imod#12.sva)#2.itm(0)} -attr vt d
+load net {slc(acc.imod#12.sva)#2.itm(1)} -attr vt d
+load net {slc(acc.imod#12.sva)#2.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#12.sva)#2.itm} 3 {slc(acc.imod#12.sva)#2.itm(0)} {slc(acc.imod#12.sva)#2.itm(1)} {slc(acc.imod#12.sva)#2.itm(2)} -attr xrf 33423 -attr oid 587 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#2.itm}
+load net {slc(acc.imod#12.sva)#4.itm(0)} -attr vt d
+load net {slc(acc.imod#12.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(acc.imod#12.sva)#4.itm} 2 {slc(acc.imod#12.sva)#4.itm(0)} {slc(acc.imod#12.sva)#4.itm(1)} -attr xrf 33424 -attr oid 588 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#4.itm}
+load net {FRAME:not#6.itm(0)} -attr vt d
+load net {FRAME:not#6.itm(1)} -attr vt d
+load net {FRAME:not#6.itm(2)} -attr vt d
+load netBundle {FRAME:not#6.itm} 3 {FRAME:not#6.itm(0)} {FRAME:not#6.itm(1)} {FRAME:not#6.itm(2)} -attr xrf 33425 -attr oid 589 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {slc(intensity#2.sg1.sva)#7.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#7.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#7.itm(2)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#7.itm} 3 {slc(intensity#2.sg1.sva)#7.itm(0)} {slc(intensity#2.sg1.sva)#7.itm(1)} {slc(intensity#2.sg1.sva)#7.itm(2)} -attr xrf 33426 -attr oid 590 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#7.itm}
+load net {conc#679.itm(0)} -attr vt d
+load net {conc#679.itm(1)} -attr vt d
+load net {conc#679.itm(2)} -attr vt d
+load net {conc#679.itm(3)} -attr vt d
+load net {conc#679.itm(4)} -attr vt d
+load netBundle {conc#679.itm} 5 {conc#679.itm(0)} {conc#679.itm(1)} {conc#679.itm(2)} {conc#679.itm(3)} {conc#679.itm(4)} -attr xrf 33427 -attr oid 591 -attr vt d -attr @path {/sobel/sobel:core/conc#679.itm}
+load net {exs#62.itm(0)} -attr vt d
+load net {exs#62.itm(1)} -attr vt d
+load net {exs#62.itm(2)} -attr vt d
+load net {exs#62.itm(3)} -attr vt d
+load net {exs#62.itm(4)} -attr vt d
+load net {exs#62.itm(5)} -attr vt d
+load net {exs#62.itm(6)} -attr vt d
+load net {exs#62.itm(7)} -attr vt d
+load net {exs#62.itm(8)} -attr vt d
+load net {exs#62.itm(9)} -attr vt d
+load net {exs#62.itm(10)} -attr vt d
+load netBundle {exs#62.itm} 11 {exs#62.itm(0)} {exs#62.itm(1)} {exs#62.itm(2)} {exs#62.itm(3)} {exs#62.itm(4)} {exs#62.itm(5)} {exs#62.itm(6)} {exs#62.itm(7)} {exs#62.itm(8)} {exs#62.itm(9)} {exs#62.itm(10)} -attr xrf 33428 -attr oid 592 -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {conc#680.itm(0)} -attr vt d
+load net {conc#680.itm(1)} -attr vt d
+load net {conc#680.itm(2)} -attr vt d
+load net {conc#680.itm(3)} -attr vt d
+load net {conc#680.itm(4)} -attr vt d
+load net {conc#680.itm(5)} -attr vt d
+load net {conc#680.itm(6)} -attr vt d
+load net {conc#680.itm(7)} -attr vt d
+load net {conc#680.itm(8)} -attr vt d
+load netBundle {conc#680.itm} 9 {conc#680.itm(0)} {conc#680.itm(1)} {conc#680.itm(2)} {conc#680.itm(3)} {conc#680.itm(4)} {conc#680.itm(5)} {conc#680.itm(6)} {conc#680.itm(7)} {conc#680.itm(8)} -attr xrf 33429 -attr oid 593 -attr vt d -attr @path {/sobel/sobel:core/conc#680.itm}
+load net {FRAME:exs#5.itm(0)} -attr vt d
+load net {FRAME:exs#5.itm(1)} -attr vt d
+load net {FRAME:exs#5.itm(2)} -attr vt d
+load netBundle {FRAME:exs#5.itm} 3 {FRAME:exs#5.itm(0)} {FRAME:exs#5.itm(1)} {FRAME:exs#5.itm(2)} -attr xrf 33430 -attr oid 594 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#5.itm}
+load net {ACC1:acc.itm(0)} -attr vt d
+load net {ACC1:acc.itm(1)} -attr vt d
+load net {ACC1:acc.itm(2)} -attr vt d
+load net {ACC1:acc.itm(3)} -attr vt d
+load net {ACC1:acc.itm(4)} -attr vt d
+load net {ACC1:acc.itm(5)} -attr vt d
+load net {ACC1:acc.itm(6)} -attr vt d
+load net {ACC1:acc.itm(7)} -attr vt d
+load net {ACC1:acc.itm(8)} -attr vt d
+load net {ACC1:acc.itm(9)} -attr vt d
+load net {ACC1:acc.itm(10)} -attr vt d
+load net {ACC1:acc.itm(11)} -attr vt d
+load net {ACC1:acc.itm(12)} -attr vt d
+load net {ACC1:acc.itm(13)} -attr vt d
+load net {ACC1:acc.itm(14)} -attr vt d
+load net {ACC1:acc.itm(15)} -attr vt d
+load netBundle {ACC1:acc.itm} 16 {ACC1:acc.itm(0)} {ACC1:acc.itm(1)} {ACC1:acc.itm(2)} {ACC1:acc.itm(3)} {ACC1:acc.itm(4)} {ACC1:acc.itm(5)} {ACC1:acc.itm(6)} {ACC1:acc.itm(7)} {ACC1:acc.itm(8)} {ACC1:acc.itm(9)} {ACC1:acc.itm(10)} {ACC1:acc.itm(11)} {ACC1:acc.itm(12)} {ACC1:acc.itm(13)} {ACC1:acc.itm(14)} {ACC1:acc.itm(15)} -attr xrf 33431 -attr oid 595 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc#342.itm(0)} -attr vt d
+load net {ACC1:acc#342.itm(1)} -attr vt d
+load net {ACC1:acc#342.itm(2)} -attr vt d
+load net {ACC1:acc#342.itm(3)} -attr vt d
+load net {ACC1:acc#342.itm(4)} -attr vt d
+load net {ACC1:acc#342.itm(5)} -attr vt d
+load net {ACC1:acc#342.itm(6)} -attr vt d
+load net {ACC1:acc#342.itm(7)} -attr vt d
+load net {ACC1:acc#342.itm(8)} -attr vt d
+load net {ACC1:acc#342.itm(9)} -attr vt d
+load net {ACC1:acc#342.itm(10)} -attr vt d
+load net {ACC1:acc#342.itm(11)} -attr vt d
+load net {ACC1:acc#342.itm(12)} -attr vt d
+load net {ACC1:acc#342.itm(13)} -attr vt d
+load net {ACC1:acc#342.itm(14)} -attr vt d
+load net {ACC1:acc#342.itm(15)} -attr vt d
+load netBundle {ACC1:acc#342.itm} 16 {ACC1:acc#342.itm(0)} {ACC1:acc#342.itm(1)} {ACC1:acc#342.itm(2)} {ACC1:acc#342.itm(3)} {ACC1:acc#342.itm(4)} {ACC1:acc#342.itm(5)} {ACC1:acc#342.itm(6)} {ACC1:acc#342.itm(7)} {ACC1:acc#342.itm(8)} {ACC1:acc#342.itm(9)} {ACC1:acc#342.itm(10)} {ACC1:acc#342.itm(11)} {ACC1:acc#342.itm(12)} {ACC1:acc#342.itm(13)} {ACC1:acc#342.itm(14)} {ACC1:acc#342.itm(15)} -attr xrf 33432 -attr oid 596 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {FRAME:acc#9.itm(0)} -attr vt d
+load net {FRAME:acc#9.itm(1)} -attr vt d
+load net {FRAME:acc#9.itm(2)} -attr vt d
+load net {FRAME:acc#9.itm(3)} -attr vt d
+load net {FRAME:acc#9.itm(4)} -attr vt d
+load net {FRAME:acc#9.itm(5)} -attr vt d
+load netBundle {FRAME:acc#9.itm} 6 {FRAME:acc#9.itm(0)} {FRAME:acc#9.itm(1)} {FRAME:acc#9.itm(2)} {FRAME:acc#9.itm(3)} {FRAME:acc#9.itm(4)} {FRAME:acc#9.itm(5)} -attr xrf 33433 -attr oid 597 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#8.itm(0)} -attr vt d
+load net {FRAME:acc#8.itm(1)} -attr vt d
+load net {FRAME:acc#8.itm(2)} -attr vt d
+load net {FRAME:acc#8.itm(3)} -attr vt d
+load net {FRAME:acc#8.itm(4)} -attr vt d
+load netBundle {FRAME:acc#8.itm} 5 {FRAME:acc#8.itm(0)} {FRAME:acc#8.itm(1)} {FRAME:acc#8.itm(2)} {FRAME:acc#8.itm(3)} {FRAME:acc#8.itm(4)} -attr xrf 33434 -attr oid 598 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#6.itm(0)} -attr vt d
+load net {FRAME:acc#6.itm(1)} -attr vt d
+load net {FRAME:acc#6.itm(2)} -attr vt d
+load net {FRAME:acc#6.itm(3)} -attr vt d
+load netBundle {FRAME:acc#6.itm} 4 {FRAME:acc#6.itm(0)} {FRAME:acc#6.itm(1)} {FRAME:acc#6.itm(2)} {FRAME:acc#6.itm(3)} -attr xrf 33435 -attr oid 599 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {slc(intensity#2.sg1.sva)#3.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#3.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#3.itm(2)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#3.itm} 3 {slc(intensity#2.sg1.sva)#3.itm(0)} {slc(intensity#2.sg1.sva)#3.itm(1)} {slc(intensity#2.sg1.sva)#3.itm(2)} -attr xrf 33436 -attr oid 600 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
+load net {FRAME:not#2.itm(0)} -attr vt d
+load net {FRAME:not#2.itm(1)} -attr vt d
+load net {FRAME:not#2.itm(2)} -attr vt d
+load netBundle {FRAME:not#2.itm} 3 {FRAME:not#2.itm(0)} {FRAME:not#2.itm(1)} {FRAME:not#2.itm(2)} -attr xrf 33437 -attr oid 601 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {slc(intensity#2.sg1.sva)#1.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#1.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#1.itm} 3 {slc(intensity#2.sg1.sva)#1.itm(0)} {slc(intensity#2.sg1.sva)#1.itm(1)} {slc(intensity#2.sg1.sva)#1.itm(2)} -attr xrf 33438 -attr oid 602 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#1.itm}
+load net {FRAME:acc#5.itm(0)} -attr vt d
+load net {FRAME:acc#5.itm(1)} -attr vt d
+load net {FRAME:acc#5.itm(2)} -attr vt d
+load net {FRAME:acc#5.itm(3)} -attr vt d
+load netBundle {FRAME:acc#5.itm} 4 {FRAME:acc#5.itm(0)} {FRAME:acc#5.itm(1)} {FRAME:acc#5.itm(2)} {FRAME:acc#5.itm(3)} -attr xrf 33439 -attr oid 603 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {conc#682.itm(0)} -attr vt d
+load net {conc#682.itm(1)} -attr vt d
+load net {conc#682.itm(2)} -attr vt d
+load netBundle {conc#682.itm} 3 {conc#682.itm(0)} {conc#682.itm(1)} {conc#682.itm(2)} -attr xrf 33440 -attr oid 604 -attr vt d -attr @path {/sobel/sobel:core/conc#682.itm}
+load net {slc(intensity#2.sg1.sva).itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva).itm(1)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva).itm} 2 {slc(intensity#2.sg1.sva).itm(0)} {slc(intensity#2.sg1.sva).itm(1)} -attr xrf 33441 -attr oid 605 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva).itm}
+load net {FRAME:acc#7.itm(0)} -attr vt d
+load net {FRAME:acc#7.itm(1)} -attr vt d
+load net {FRAME:acc#7.itm(2)} -attr vt d
+load net {FRAME:acc#7.itm(3)} -attr vt d
+load netBundle {FRAME:acc#7.itm} 4 {FRAME:acc#7.itm(0)} {FRAME:acc#7.itm(1)} {FRAME:acc#7.itm(2)} {FRAME:acc#7.itm(3)} -attr xrf 33442 -attr oid 606 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {slc(intensity#2.sg1.sva)#5.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#5.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#5.itm(2)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#5.itm} 3 {slc(intensity#2.sg1.sva)#5.itm(0)} {slc(intensity#2.sg1.sva)#5.itm(1)} {slc(intensity#2.sg1.sva)#5.itm(2)} -attr xrf 33443 -attr oid 607 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
+load net {FRAME:not#1.itm(0)} -attr vt d
+load net {FRAME:not#1.itm(1)} -attr vt d
+load net {FRAME:not#1.itm(2)} -attr vt d
+load netBundle {FRAME:not#1.itm} 3 {FRAME:not#1.itm(0)} {FRAME:not#1.itm(1)} {FRAME:not#1.itm(2)} -attr xrf 33444 -attr oid 608 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {slc(intensity#2.sg1.sva)#6.itm(0)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#6.itm(1)} -attr vt d
+load net {slc(intensity#2.sg1.sva)#6.itm(2)} -attr vt d
+load netBundle {slc(intensity#2.sg1.sva)#6.itm} 3 {slc(intensity#2.sg1.sva)#6.itm(0)} {slc(intensity#2.sg1.sva)#6.itm(1)} {slc(intensity#2.sg1.sva)#6.itm(2)} -attr xrf 33445 -attr oid 609 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
+load net {FRAME:for:mux#12.itm(0)} -attr vt d
+load net {FRAME:for:mux#12.itm(1)} -attr vt d
+load net {FRAME:for:mux#12.itm(2)} -attr vt d
+load net {FRAME:for:mux#12.itm(3)} -attr vt d
+load net {FRAME:for:mux#12.itm(4)} -attr vt d
+load net {FRAME:for:mux#12.itm(5)} -attr vt d
+load net {FRAME:for:mux#12.itm(6)} -attr vt d
+load net {FRAME:for:mux#12.itm(7)} -attr vt d
+load net {FRAME:for:mux#12.itm(8)} -attr vt d
+load net {FRAME:for:mux#12.itm(9)} -attr vt d
+load net {FRAME:for:mux#12.itm(10)} -attr vt d
+load net {FRAME:for:mux#12.itm(11)} -attr vt d
+load net {FRAME:for:mux#12.itm(12)} -attr vt d
+load net {FRAME:for:mux#12.itm(13)} -attr vt d
+load net {FRAME:for:mux#12.itm(14)} -attr vt d
+load net {FRAME:for:mux#12.itm(15)} -attr vt d
+load netBundle {FRAME:for:mux#12.itm} 16 {FRAME:for:mux#12.itm(0)} {FRAME:for:mux#12.itm(1)} {FRAME:for:mux#12.itm(2)} {FRAME:for:mux#12.itm(3)} {FRAME:for:mux#12.itm(4)} {FRAME:for:mux#12.itm(5)} {FRAME:for:mux#12.itm(6)} {FRAME:for:mux#12.itm(7)} {FRAME:for:mux#12.itm(8)} {FRAME:for:mux#12.itm(9)} {FRAME:for:mux#12.itm(10)} {FRAME:for:mux#12.itm(11)} {FRAME:for:mux#12.itm(12)} {FRAME:for:mux#12.itm(13)} {FRAME:for:mux#12.itm(14)} {FRAME:for:mux#12.itm(15)} -attr xrf 33446 -attr oid 610 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:exs#21.itm(0)} -attr vt d
+load net {FRAME:for:exs#21.itm(1)} -attr vt d
+load net {FRAME:for:exs#21.itm(2)} -attr vt d
+load net {FRAME:for:exs#21.itm(3)} -attr vt d
+load net {FRAME:for:exs#21.itm(4)} -attr vt d
+load net {FRAME:for:exs#21.itm(5)} -attr vt d
+load net {FRAME:for:exs#21.itm(6)} -attr vt d
+load net {FRAME:for:exs#21.itm(7)} -attr vt d
+load net {FRAME:for:exs#21.itm(8)} -attr vt d
+load net {FRAME:for:exs#21.itm(9)} -attr vt d
+load net {FRAME:for:exs#21.itm(10)} -attr vt d
+load net {FRAME:for:exs#21.itm(11)} -attr vt d
+load net {FRAME:for:exs#21.itm(12)} -attr vt d
+load net {FRAME:for:exs#21.itm(13)} -attr vt d
+load net {FRAME:for:exs#21.itm(14)} -attr vt d
+load net {FRAME:for:exs#21.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#21.itm} 16 {FRAME:for:exs#21.itm(0)} {FRAME:for:exs#21.itm(1)} {FRAME:for:exs#21.itm(2)} {FRAME:for:exs#21.itm(3)} {FRAME:for:exs#21.itm(4)} {FRAME:for:exs#21.itm(5)} {FRAME:for:exs#21.itm(6)} {FRAME:for:exs#21.itm(7)} {FRAME:for:exs#21.itm(8)} {FRAME:for:exs#21.itm(9)} {FRAME:for:exs#21.itm(10)} {FRAME:for:exs#21.itm(11)} {FRAME:for:exs#21.itm(12)} {FRAME:for:exs#21.itm(13)} {FRAME:for:exs#21.itm(14)} {FRAME:for:exs#21.itm(15)} -attr xrf 33447 -attr oid 611 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:mux#11.itm(0)} -attr vt d
+load net {FRAME:for:mux#11.itm(1)} -attr vt d
+load net {FRAME:for:mux#11.itm(2)} -attr vt d
+load net {FRAME:for:mux#11.itm(3)} -attr vt d
+load net {FRAME:for:mux#11.itm(4)} -attr vt d
+load net {FRAME:for:mux#11.itm(5)} -attr vt d
+load net {FRAME:for:mux#11.itm(6)} -attr vt d
+load net {FRAME:for:mux#11.itm(7)} -attr vt d
+load net {FRAME:for:mux#11.itm(8)} -attr vt d
+load net {FRAME:for:mux#11.itm(9)} -attr vt d
+load net {FRAME:for:mux#11.itm(10)} -attr vt d
+load net {FRAME:for:mux#11.itm(11)} -attr vt d
+load net {FRAME:for:mux#11.itm(12)} -attr vt d
+load net {FRAME:for:mux#11.itm(13)} -attr vt d
+load net {FRAME:for:mux#11.itm(14)} -attr vt d
+load net {FRAME:for:mux#11.itm(15)} -attr vt d
+load netBundle {FRAME:for:mux#11.itm} 16 {FRAME:for:mux#11.itm(0)} {FRAME:for:mux#11.itm(1)} {FRAME:for:mux#11.itm(2)} {FRAME:for:mux#11.itm(3)} {FRAME:for:mux#11.itm(4)} {FRAME:for:mux#11.itm(5)} {FRAME:for:mux#11.itm(6)} {FRAME:for:mux#11.itm(7)} {FRAME:for:mux#11.itm(8)} {FRAME:for:mux#11.itm(9)} {FRAME:for:mux#11.itm(10)} {FRAME:for:mux#11.itm(11)} {FRAME:for:mux#11.itm(12)} {FRAME:for:mux#11.itm(13)} {FRAME:for:mux#11.itm(14)} {FRAME:for:mux#11.itm(15)} -attr xrf 33448 -attr oid 612 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:exs#20.itm(0)} -attr vt d
+load net {FRAME:for:exs#20.itm(1)} -attr vt d
+load net {FRAME:for:exs#20.itm(2)} -attr vt d
+load net {FRAME:for:exs#20.itm(3)} -attr vt d
+load net {FRAME:for:exs#20.itm(4)} -attr vt d
+load net {FRAME:for:exs#20.itm(5)} -attr vt d
+load net {FRAME:for:exs#20.itm(6)} -attr vt d
+load net {FRAME:for:exs#20.itm(7)} -attr vt d
+load net {FRAME:for:exs#20.itm(8)} -attr vt d
+load net {FRAME:for:exs#20.itm(9)} -attr vt d
+load net {FRAME:for:exs#20.itm(10)} -attr vt d
+load net {FRAME:for:exs#20.itm(11)} -attr vt d
+load net {FRAME:for:exs#20.itm(12)} -attr vt d
+load net {FRAME:for:exs#20.itm(13)} -attr vt d
+load net {FRAME:for:exs#20.itm(14)} -attr vt d
+load net {FRAME:for:exs#20.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#20.itm} 16 {FRAME:for:exs#20.itm(0)} {FRAME:for:exs#20.itm(1)} {FRAME:for:exs#20.itm(2)} {FRAME:for:exs#20.itm(3)} {FRAME:for:exs#20.itm(4)} {FRAME:for:exs#20.itm(5)} {FRAME:for:exs#20.itm(6)} {FRAME:for:exs#20.itm(7)} {FRAME:for:exs#20.itm(8)} {FRAME:for:exs#20.itm(9)} {FRAME:for:exs#20.itm(10)} {FRAME:for:exs#20.itm(11)} {FRAME:for:exs#20.itm(12)} {FRAME:for:exs#20.itm(13)} {FRAME:for:exs#20.itm(14)} {FRAME:for:exs#20.itm(15)} -attr xrf 33449 -attr oid 613 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:exs#19.itm(0)} -attr vt d
+load net {FRAME:for:exs#19.itm(1)} -attr vt d
+load netBundle {FRAME:for:exs#19.itm} 2 {FRAME:for:exs#19.itm(0)} {FRAME:for:exs#19.itm(1)} -attr xrf 33450 -attr oid 614 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#19.itm}
+load net {slc(ACC1:acc#110.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#110.psp#1.sva).itm(1)} -attr vt d
+load net {slc(ACC1:acc#110.psp#1.sva).itm(2)} -attr vt d
+load netBundle {slc(ACC1:acc#110.psp#1.sva).itm} 3 {slc(ACC1:acc#110.psp#1.sva).itm(0)} {slc(ACC1:acc#110.psp#1.sva).itm(1)} {slc(ACC1:acc#110.psp#1.sva).itm(2)} -attr xrf 33451 -attr oid 615 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.sva).itm}
+load net {slc(ACC1:acc#118.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#118.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#118.psp.sva).itm} 2 {slc(ACC1:acc#118.psp.sva).itm(0)} {slc(ACC1:acc#118.psp.sva).itm(1)} -attr xrf 33452 -attr oid 616 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp.sva).itm}
+load net {slc(regs.regs(1).sva).itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(9)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(10)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(11)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(12)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(13)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(14)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(15)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(16)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(17)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(18)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(19)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(20)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(21)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(22)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(23)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(24)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(25)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(26)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(27)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(28)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(29)} -attr vt d
+load netBundle {slc(regs.regs(1).sva).itm} 30 {slc(regs.regs(1).sva).itm(0)} {slc(regs.regs(1).sva).itm(1)} {slc(regs.regs(1).sva).itm(2)} {slc(regs.regs(1).sva).itm(3)} {slc(regs.regs(1).sva).itm(4)} {slc(regs.regs(1).sva).itm(5)} {slc(regs.regs(1).sva).itm(6)} {slc(regs.regs(1).sva).itm(7)} {slc(regs.regs(1).sva).itm(8)} {slc(regs.regs(1).sva).itm(9)} {slc(regs.regs(1).sva).itm(10)} {slc(regs.regs(1).sva).itm(11)} {slc(regs.regs(1).sva).itm(12)} {slc(regs.regs(1).sva).itm(13)} {slc(regs.regs(1).sva).itm(14)} {slc(regs.regs(1).sva).itm(15)} {slc(regs.regs(1).sva).itm(16)} {slc(regs.regs(1).sva).itm(17)} {slc(regs.regs(1).sva).itm(18)} {slc(regs.regs(1).sva).itm(19)} {slc(regs.regs(1).sva).itm(20)} {slc(regs.regs(1).sva).itm(21)} {slc(regs.regs(1).sva).itm(22)} {slc(regs.regs(1).sva).itm(23)} {slc(regs.regs(1).sva).itm(24)} {slc(regs.regs(1).sva).itm(25)} {slc(regs.regs(1).sva).itm(26)} {slc(regs.regs(1).sva).itm(27)} {slc(regs.regs(1).sva).itm(28)} {slc(regs.regs(1).sva).itm(29)} -attr xrf 33453 -attr oid 617 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {slc(regs.regs(1).sva)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(9)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(10)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(11)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(12)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(13)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(14)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(15)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(16)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(17)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(18)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(19)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(20)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(21)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(22)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(23)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(24)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(25)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(26)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(27)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(28)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(29)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#1.itm} 30 {slc(regs.regs(1).sva)#1.itm(0)} {slc(regs.regs(1).sva)#1.itm(1)} {slc(regs.regs(1).sva)#1.itm(2)} {slc(regs.regs(1).sva)#1.itm(3)} {slc(regs.regs(1).sva)#1.itm(4)} {slc(regs.regs(1).sva)#1.itm(5)} {slc(regs.regs(1).sva)#1.itm(6)} {slc(regs.regs(1).sva)#1.itm(7)} {slc(regs.regs(1).sva)#1.itm(8)} {slc(regs.regs(1).sva)#1.itm(9)} {slc(regs.regs(1).sva)#1.itm(10)} {slc(regs.regs(1).sva)#1.itm(11)} {slc(regs.regs(1).sva)#1.itm(12)} {slc(regs.regs(1).sva)#1.itm(13)} {slc(regs.regs(1).sva)#1.itm(14)} {slc(regs.regs(1).sva)#1.itm(15)} {slc(regs.regs(1).sva)#1.itm(16)} {slc(regs.regs(1).sva)#1.itm(17)} {slc(regs.regs(1).sva)#1.itm(18)} {slc(regs.regs(1).sva)#1.itm(19)} {slc(regs.regs(1).sva)#1.itm(20)} {slc(regs.regs(1).sva)#1.itm(21)} {slc(regs.regs(1).sva)#1.itm(22)} {slc(regs.regs(1).sva)#1.itm(23)} {slc(regs.regs(1).sva)#1.itm(24)} {slc(regs.regs(1).sva)#1.itm(25)} {slc(regs.regs(1).sva)#1.itm(26)} {slc(regs.regs(1).sva)#1.itm(27)} {slc(regs.regs(1).sva)#1.itm(28)} {slc(regs.regs(1).sva)#1.itm(29)} -attr xrf 33454 -attr oid 618 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {ACC1:slc#45.itm(0)} -attr vt d
+load net {ACC1:slc#45.itm(1)} -attr vt d
+load netBundle {ACC1:slc#45.itm} 2 {ACC1:slc#45.itm(0)} {ACC1:slc#45.itm(1)} -attr xrf 33455 -attr oid 619 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#45.itm}
+load net {ACC1:acc#179.itm(0)} -attr vt d
+load net {ACC1:acc#179.itm(1)} -attr vt d
+load net {ACC1:acc#179.itm(2)} -attr vt d
+load netBundle {ACC1:acc#179.itm} 3 {ACC1:acc#179.itm(0)} {ACC1:acc#179.itm(1)} {ACC1:acc#179.itm(2)} -attr xrf 33456 -attr oid 620 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
+load net {conc#683.itm(0)} -attr vt d
+load net {conc#683.itm(1)} -attr vt d
+load net {conc#683.itm(2)} -attr vt d
+load netBundle {conc#683.itm} 3 {conc#683.itm(0)} {conc#683.itm(1)} {conc#683.itm(2)} -attr xrf 33457 -attr oid 621 -attr vt d -attr @path {/sobel/sobel:core/conc#683.itm}
+load net {ACC1:conc#531.itm(0)} -attr vt d
+load net {ACC1:conc#531.itm(1)} -attr vt d
+load netBundle {ACC1:conc#531.itm} 2 {ACC1:conc#531.itm(0)} {ACC1:conc#531.itm(1)} -attr xrf 33458 -attr oid 622 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#531.itm}
+load net {slc(acc.imod#6.sva)#3.itm(0)} -attr vt d
+load net {slc(acc.imod#6.sva)#3.itm(1)} -attr vt d
+load netBundle {slc(acc.imod#6.sva)#3.itm} 2 {slc(acc.imod#6.sva)#3.itm(0)} {slc(acc.imod#6.sva)#3.itm(1)} -attr xrf 33459 -attr oid 623 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#3.itm}
+load net {ACC1:acc#150.itm(0)} -attr vt d
+load net {ACC1:acc#150.itm(1)} -attr vt d
+load net {ACC1:acc#150.itm(2)} -attr vt d
+load net {ACC1:acc#150.itm(3)} -attr vt d
+load netBundle {ACC1:acc#150.itm} 4 {ACC1:acc#150.itm(0)} {ACC1:acc#150.itm(1)} {ACC1:acc#150.itm(2)} {ACC1:acc#150.itm(3)} -attr xrf 33460 -attr oid 624 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
+load net {conc#684.itm(0)} -attr vt d
+load net {conc#684.itm(1)} -attr vt d
+load net {conc#684.itm(2)} -attr vt d
+load netBundle {conc#684.itm} 3 {conc#684.itm(0)} {conc#684.itm(1)} {conc#684.itm(2)} -attr xrf 33461 -attr oid 625 -attr vt d -attr @path {/sobel/sobel:core/conc#684.itm}
+load net {ACC1-1:not#147.itm(0)} -attr vt d
+load net {ACC1-1:not#147.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#147.itm} 2 {ACC1-1:not#147.itm(0)} {ACC1-1:not#147.itm(1)} -attr xrf 33462 -attr oid 626 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#147.itm}
+load net {slc(ACC1:acc#118.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#118.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#118.psp#1.sva).itm} 2 {slc(ACC1:acc#118.psp#1.sva).itm(0)} {slc(ACC1:acc#118.psp#1.sva).itm(1)} -attr xrf 33463 -attr oid 627 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp#1.sva).itm}
+load net {conc#685.itm(0)} -attr vt d
+load net {conc#685.itm(1)} -attr vt d
+load netBundle {conc#685.itm} 2 {conc#685.itm(0)} {conc#685.itm(1)} -attr xrf 33464 -attr oid 628 -attr vt d -attr @path {/sobel/sobel:core/conc#685.itm}
+load net {ACC1:slc#19.itm(0)} -attr vt d
+load net {ACC1:slc#19.itm(1)} -attr vt d
+load netBundle {ACC1:slc#19.itm} 2 {ACC1:slc#19.itm(0)} {ACC1:slc#19.itm(1)} -attr xrf 33465 -attr oid 629 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#19.itm}
+load net {ACC1:acc#149.itm(0)} -attr vt d
+load net {ACC1:acc#149.itm(1)} -attr vt d
+load net {ACC1:acc#149.itm(2)} -attr vt d
+load netBundle {ACC1:acc#149.itm} 3 {ACC1:acc#149.itm(0)} {ACC1:acc#149.itm(1)} {ACC1:acc#149.itm(2)} -attr xrf 33466 -attr oid 630 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
+load net {conc#686.itm(0)} -attr vt d
+load net {conc#686.itm(1)} -attr vt d
+load netBundle {conc#686.itm} 2 {conc#686.itm(0)} {conc#686.itm(1)} -attr xrf 33467 -attr oid 631 -attr vt d -attr @path {/sobel/sobel:core/conc#686.itm}
+load net {ACC1:conc#472.itm(0)} -attr vt d
+load net {ACC1:conc#472.itm(1)} -attr vt d
+load netBundle {ACC1:conc#472.itm} 2 {ACC1:conc#472.itm(0)} {ACC1:conc#472.itm(1)} -attr xrf 33468 -attr oid 632 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#472.itm}
+load net {ACC1:acc#148.itm(0)} -attr vt d
+load net {ACC1:acc#148.itm(1)} -attr vt d
+load net {ACC1:acc#148.itm(2)} -attr vt d
+load net {ACC1:acc#148.itm(3)} -attr vt d
+load net {ACC1:acc#148.itm(4)} -attr vt d
+load netBundle {ACC1:acc#148.itm} 5 {ACC1:acc#148.itm(0)} {ACC1:acc#148.itm(1)} {ACC1:acc#148.itm(2)} {ACC1:acc#148.itm(3)} {ACC1:acc#148.itm(4)} -attr xrf 33469 -attr oid 633 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
+load net {conc#687.itm(0)} -attr vt d
+load net {conc#687.itm(1)} -attr vt d
+load net {conc#687.itm(2)} -attr vt d
+load net {conc#687.itm(3)} -attr vt d
+load netBundle {conc#687.itm} 4 {conc#687.itm(0)} {conc#687.itm(1)} {conc#687.itm(2)} {conc#687.itm(3)} -attr xrf 33470 -attr oid 634 -attr vt d -attr @path {/sobel/sobel:core/conc#687.itm}
+load net {ACC1:slc#17.itm(0)} -attr vt d
+load net {ACC1:slc#17.itm(1)} -attr vt d
+load net {ACC1:slc#17.itm(2)} -attr vt d
+load netBundle {ACC1:slc#17.itm} 3 {ACC1:slc#17.itm(0)} {ACC1:slc#17.itm(1)} {ACC1:slc#17.itm(2)} -attr xrf 33471 -attr oid 635 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
+load net {ACC1:acc#147.itm(0)} -attr vt d
+load net {ACC1:acc#147.itm(1)} -attr vt d
+load net {ACC1:acc#147.itm(2)} -attr vt d
+load net {ACC1:acc#147.itm(3)} -attr vt d
+load netBundle {ACC1:acc#147.itm} 4 {ACC1:acc#147.itm(0)} {ACC1:acc#147.itm(1)} {ACC1:acc#147.itm(2)} {ACC1:acc#147.itm(3)} -attr xrf 33472 -attr oid 636 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
+load net {conc#688.itm(0)} -attr vt d
+load net {conc#688.itm(1)} -attr vt d
+load net {conc#688.itm(2)} -attr vt d
+load net {conc#688.itm(3)} -attr vt d
+load netBundle {conc#688.itm} 4 {conc#688.itm(0)} {conc#688.itm(1)} {conc#688.itm(2)} {conc#688.itm(3)} -attr xrf 33473 -attr oid 637 -attr vt d -attr @path {/sobel/sobel:core/conc#688.itm}
+load net {ACC1:conc#468.itm(0)} -attr vt d
+load net {ACC1:conc#468.itm(1)} -attr vt d
+load net {ACC1:conc#468.itm(2)} -attr vt d
+load netBundle {ACC1:conc#468.itm} 3 {ACC1:conc#468.itm(0)} {ACC1:conc#468.itm(1)} {ACC1:conc#468.itm(2)} -attr xrf 33474 -attr oid 638 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#468.itm}
+load net {ACC1:slc#15.itm(0)} -attr vt d
+load net {ACC1:slc#15.itm(1)} -attr vt d
+load netBundle {ACC1:slc#15.itm} 2 {ACC1:slc#15.itm(0)} {ACC1:slc#15.itm(1)} -attr xrf 33475 -attr oid 639 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#15.itm}
+load net {ACC1:acc#145.itm(0)} -attr vt d
+load net {ACC1:acc#145.itm(1)} -attr vt d
+load net {ACC1:acc#145.itm(2)} -attr vt d
+load netBundle {ACC1:acc#145.itm} 3 {ACC1:acc#145.itm(0)} {ACC1:acc#145.itm(1)} {ACC1:acc#145.itm(2)} -attr xrf 33476 -attr oid 640 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
+load net {conc#689.itm(0)} -attr vt d
+load net {conc#689.itm(1)} -attr vt d
+load netBundle {conc#689.itm} 2 {conc#689.itm(0)} {conc#689.itm(1)} -attr xrf 33477 -attr oid 641 -attr vt d -attr @path {/sobel/sobel:core/conc#689.itm}
+load net {ACC1:conc#464.itm(0)} -attr vt d
+load net {ACC1:conc#464.itm(1)} -attr vt d
+load netBundle {ACC1:conc#464.itm} 2 {ACC1:conc#464.itm(0)} {ACC1:conc#464.itm(1)} -attr xrf 33478 -attr oid 642 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#464.itm}
+load net {ACC1:conc#470.itm(0)} -attr vt d
+load net {ACC1:conc#470.itm(1)} -attr vt d
+load net {ACC1:conc#470.itm(2)} -attr vt d
+load net {ACC1:conc#470.itm(3)} -attr vt d
+load net {ACC1:conc#470.itm(4)} -attr vt d
+load netBundle {ACC1:conc#470.itm} 5 {ACC1:conc#470.itm(0)} {ACC1:conc#470.itm(1)} {ACC1:conc#470.itm(2)} {ACC1:conc#470.itm(3)} {ACC1:conc#470.itm(4)} -attr xrf 33479 -attr oid 643 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#470.itm}
+load net {ACC1:slc#16.itm(0)} -attr vt d
+load net {ACC1:slc#16.itm(1)} -attr vt d
+load net {ACC1:slc#16.itm(2)} -attr vt d
+load net {ACC1:slc#16.itm(3)} -attr vt d
+load netBundle {ACC1:slc#16.itm} 4 {ACC1:slc#16.itm(0)} {ACC1:slc#16.itm(1)} {ACC1:slc#16.itm(2)} {ACC1:slc#16.itm(3)} -attr xrf 33480 -attr oid 644 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#16.itm}
+load net {ACC1:acc#146.itm(0)} -attr vt d
+load net {ACC1:acc#146.itm(1)} -attr vt d
+load net {ACC1:acc#146.itm(2)} -attr vt d
+load net {ACC1:acc#146.itm(3)} -attr vt d
+load net {ACC1:acc#146.itm(4)} -attr vt d
+load netBundle {ACC1:acc#146.itm} 5 {ACC1:acc#146.itm(0)} {ACC1:acc#146.itm(1)} {ACC1:acc#146.itm(2)} {ACC1:acc#146.itm(3)} {ACC1:acc#146.itm(4)} -attr xrf 33481 -attr oid 645 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
+load net {conc#690.itm(0)} -attr vt d
+load net {conc#690.itm(1)} -attr vt d
+load net {conc#690.itm(2)} -attr vt d
+load netBundle {conc#690.itm} 3 {conc#690.itm(0)} {conc#690.itm(1)} {conc#690.itm(2)} -attr xrf 33482 -attr oid 646 -attr vt d -attr @path {/sobel/sobel:core/conc#690.itm}
+load net {ACC1:slc#14.itm(0)} -attr vt d
+load net {ACC1:slc#14.itm(1)} -attr vt d
+load netBundle {ACC1:slc#14.itm} 2 {ACC1:slc#14.itm(0)} {ACC1:slc#14.itm(1)} -attr xrf 33483 -attr oid 647 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#14.itm}
+load net {ACC1:acc#144.itm(0)} -attr vt d
+load net {ACC1:acc#144.itm(1)} -attr vt d
+load net {ACC1:acc#144.itm(2)} -attr vt d
+load netBundle {ACC1:acc#144.itm} 3 {ACC1:acc#144.itm(0)} {ACC1:acc#144.itm(1)} {ACC1:acc#144.itm(2)} -attr xrf 33484 -attr oid 648 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
+load net {conc#691.itm(0)} -attr vt d
+load net {conc#691.itm(1)} -attr vt d
+load netBundle {conc#691.itm} 2 {conc#691.itm(0)} {conc#691.itm(1)} -attr xrf 33485 -attr oid 649 -attr vt d -attr @path {/sobel/sobel:core/conc#691.itm}
+load net {ACC1:conc#462.itm(0)} -attr vt d
+load net {ACC1:conc#462.itm(1)} -attr vt d
+load netBundle {ACC1:conc#462.itm} 2 {ACC1:conc#462.itm(0)} {ACC1:conc#462.itm(1)} -attr xrf 33486 -attr oid 650 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#462.itm}
+load net {ACC1:conc#466.itm(0)} -attr vt d
+load net {ACC1:conc#466.itm(1)} -attr vt d
+load net {ACC1:conc#466.itm(2)} -attr vt d
+load netBundle {ACC1:conc#466.itm} 3 {ACC1:conc#466.itm(0)} {ACC1:conc#466.itm(1)} {ACC1:conc#466.itm(2)} -attr xrf 33487 -attr oid 651 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#466.itm}
+load net {ACC1-1:not#120.itm(0)} -attr vt d
+load net {ACC1-1:not#120.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#120.itm} 2 {ACC1-1:not#120.itm(0)} {ACC1-1:not#120.itm(1)} -attr xrf 33488 -attr oid 652 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#120.itm}
+load net {slc(ACC1:acc#125.psp#1.sva)#8.itm(0)} -attr vt d
+load net {slc(ACC1:acc#125.psp#1.sva)#8.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#125.psp#1.sva)#8.itm} 2 {slc(ACC1:acc#125.psp#1.sva)#8.itm(0)} {slc(ACC1:acc#125.psp#1.sva)#8.itm(1)} -attr xrf 33489 -attr oid 653 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#8.itm}
+load net {ACC1:acc#143.itm(0)} -attr vt d
+load net {ACC1:acc#143.itm(1)} -attr vt d
+load net {ACC1:acc#143.itm(2)} -attr vt d
+load net {ACC1:acc#143.itm(3)} -attr vt d
+load net {ACC1:acc#143.itm(4)} -attr vt d
+load net {ACC1:acc#143.itm(5)} -attr vt d
+load net {ACC1:acc#143.itm(6)} -attr vt d
+load net {ACC1:acc#143.itm(7)} -attr vt d
+load net {ACC1:acc#143.itm(8)} -attr vt d
+load net {ACC1:acc#143.itm(9)} -attr vt d
+load net {ACC1:acc#143.itm(10)} -attr vt d
+load netBundle {ACC1:acc#143.itm} 11 {ACC1:acc#143.itm(0)} {ACC1:acc#143.itm(1)} {ACC1:acc#143.itm(2)} {ACC1:acc#143.itm(3)} {ACC1:acc#143.itm(4)} {ACC1:acc#143.itm(5)} {ACC1:acc#143.itm(6)} {ACC1:acc#143.itm(7)} {ACC1:acc#143.itm(8)} {ACC1:acc#143.itm(9)} {ACC1:acc#143.itm(10)} -attr xrf 33490 -attr oid 654 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:not#158.itm(0)} -attr vt d
+load net {ACC1:not#158.itm(1)} -attr vt d
+load net {ACC1:not#158.itm(2)} -attr vt d
+load net {ACC1:not#158.itm(3)} -attr vt d
+load net {ACC1:not#158.itm(4)} -attr vt d
+load net {ACC1:not#158.itm(5)} -attr vt d
+load net {ACC1:not#158.itm(6)} -attr vt d
+load net {ACC1:not#158.itm(7)} -attr vt d
+load net {ACC1:not#158.itm(8)} -attr vt d
+load net {ACC1:not#158.itm(9)} -attr vt d
+load netBundle {ACC1:not#158.itm} 10 {ACC1:not#158.itm(0)} {ACC1:not#158.itm(1)} {ACC1:not#158.itm(2)} {ACC1:not#158.itm(3)} {ACC1:not#158.itm(4)} {ACC1:not#158.itm(5)} {ACC1:not#158.itm(6)} {ACC1:not#158.itm(7)} {ACC1:not#158.itm(8)} {ACC1:not#158.itm(9)} -attr xrf 33491 -attr oid 655 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {slc(regs.regs(0).sva#4).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#4).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#4).itm} 10 {slc(regs.regs(0).sva#4).itm(0)} {slc(regs.regs(0).sva#4).itm(1)} {slc(regs.regs(0).sva#4).itm(2)} {slc(regs.regs(0).sva#4).itm(3)} {slc(regs.regs(0).sva#4).itm(4)} {slc(regs.regs(0).sva#4).itm(5)} {slc(regs.regs(0).sva#4).itm(6)} {slc(regs.regs(0).sva#4).itm(7)} {slc(regs.regs(0).sva#4).itm(8)} {slc(regs.regs(0).sva#4).itm(9)} -attr xrf 33492 -attr oid 656 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {ACC1:not#159.itm(0)} -attr vt d
+load net {ACC1:not#159.itm(1)} -attr vt d
+load net {ACC1:not#159.itm(2)} -attr vt d
+load net {ACC1:not#159.itm(3)} -attr vt d
+load net {ACC1:not#159.itm(4)} -attr vt d
+load net {ACC1:not#159.itm(5)} -attr vt d
+load net {ACC1:not#159.itm(6)} -attr vt d
+load net {ACC1:not#159.itm(7)} -attr vt d
+load net {ACC1:not#159.itm(8)} -attr vt d
+load net {ACC1:not#159.itm(9)} -attr vt d
+load netBundle {ACC1:not#159.itm} 10 {ACC1:not#159.itm(0)} {ACC1:not#159.itm(1)} {ACC1:not#159.itm(2)} {ACC1:not#159.itm(3)} {ACC1:not#159.itm(4)} {ACC1:not#159.itm(5)} {ACC1:not#159.itm(6)} {ACC1:not#159.itm(7)} {ACC1:not#159.itm(8)} {ACC1:not#159.itm(9)} -attr xrf 33493 -attr oid 657 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {slc(regs.regs(0).sva#5).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#5).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#5).itm} 10 {slc(regs.regs(0).sva#5).itm(0)} {slc(regs.regs(0).sva#5).itm(1)} {slc(regs.regs(0).sva#5).itm(2)} {slc(regs.regs(0).sva#5).itm(3)} {slc(regs.regs(0).sva#5).itm(4)} {slc(regs.regs(0).sva#5).itm(5)} {slc(regs.regs(0).sva#5).itm(6)} {slc(regs.regs(0).sva#5).itm(7)} {slc(regs.regs(0).sva#5).itm(8)} {slc(regs.regs(0).sva#5).itm(9)} -attr xrf 33494 -attr oid 658 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {ACC1:acc#142.itm(0)} -attr vt d
+load net {ACC1:acc#142.itm(1)} -attr vt d
+load net {ACC1:acc#142.itm(2)} -attr vt d
+load net {ACC1:acc#142.itm(3)} -attr vt d
+load net {ACC1:acc#142.itm(4)} -attr vt d
+load net {ACC1:acc#142.itm(5)} -attr vt d
+load net {ACC1:acc#142.itm(6)} -attr vt d
+load net {ACC1:acc#142.itm(7)} -attr vt d
+load net {ACC1:acc#142.itm(8)} -attr vt d
+load net {ACC1:acc#142.itm(9)} -attr vt d
+load net {ACC1:acc#142.itm(10)} -attr vt d
+load netBundle {ACC1:acc#142.itm} 11 {ACC1:acc#142.itm(0)} {ACC1:acc#142.itm(1)} {ACC1:acc#142.itm(2)} {ACC1:acc#142.itm(3)} {ACC1:acc#142.itm(4)} {ACC1:acc#142.itm(5)} {ACC1:acc#142.itm(6)} {ACC1:acc#142.itm(7)} {ACC1:acc#142.itm(8)} {ACC1:acc#142.itm(9)} {ACC1:acc#142.itm(10)} -attr xrf 33495 -attr oid 659 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:not#160.itm(0)} -attr vt d
+load net {ACC1:not#160.itm(1)} -attr vt d
+load net {ACC1:not#160.itm(2)} -attr vt d
+load net {ACC1:not#160.itm(3)} -attr vt d
+load net {ACC1:not#160.itm(4)} -attr vt d
+load net {ACC1:not#160.itm(5)} -attr vt d
+load net {ACC1:not#160.itm(6)} -attr vt d
+load net {ACC1:not#160.itm(7)} -attr vt d
+load net {ACC1:not#160.itm(8)} -attr vt d
+load net {ACC1:not#160.itm(9)} -attr vt d
+load netBundle {ACC1:not#160.itm} 10 {ACC1:not#160.itm(0)} {ACC1:not#160.itm(1)} {ACC1:not#160.itm(2)} {ACC1:not#160.itm(3)} {ACC1:not#160.itm(4)} {ACC1:not#160.itm(5)} {ACC1:not#160.itm(6)} {ACC1:not#160.itm(7)} {ACC1:not#160.itm(8)} {ACC1:not#160.itm(9)} -attr xrf 33496 -attr oid 660 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {slc(regs.regs(0).sva#6).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#6).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#6).itm} 10 {slc(regs.regs(0).sva#6).itm(0)} {slc(regs.regs(0).sva#6).itm(1)} {slc(regs.regs(0).sva#6).itm(2)} {slc(regs.regs(0).sva#6).itm(3)} {slc(regs.regs(0).sva#6).itm(4)} {slc(regs.regs(0).sva#6).itm(5)} {slc(regs.regs(0).sva#6).itm(6)} {slc(regs.regs(0).sva#6).itm(7)} {slc(regs.regs(0).sva#6).itm(8)} {slc(regs.regs(0).sva#6).itm(9)} -attr xrf 33497 -attr oid 661 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {ACC1:acc#176.itm(0)} -attr vt d
+load net {ACC1:acc#176.itm(1)} -attr vt d
+load net {ACC1:acc#176.itm(2)} -attr vt d
+load net {ACC1:acc#176.itm(3)} -attr vt d
+load net {ACC1:acc#176.itm(4)} -attr vt d
+load netBundle {ACC1:acc#176.itm} 5 {ACC1:acc#176.itm(0)} {ACC1:acc#176.itm(1)} {ACC1:acc#176.itm(2)} {ACC1:acc#176.itm(3)} {ACC1:acc#176.itm(4)} -attr xrf 33498 -attr oid 662 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
+load net {conc#692.itm(0)} -attr vt d
+load net {conc#692.itm(1)} -attr vt d
+load net {conc#692.itm(2)} -attr vt d
+load net {conc#692.itm(3)} -attr vt d
+load netBundle {conc#692.itm} 4 {conc#692.itm(0)} {conc#692.itm(1)} {conc#692.itm(2)} {conc#692.itm(3)} -attr xrf 33499 -attr oid 663 -attr vt d -attr @path {/sobel/sobel:core/conc#692.itm}
+load net {ACC1:slc#41.itm(0)} -attr vt d
+load net {ACC1:slc#41.itm(1)} -attr vt d
+load net {ACC1:slc#41.itm(2)} -attr vt d
+load netBundle {ACC1:slc#41.itm} 3 {ACC1:slc#41.itm(0)} {ACC1:slc#41.itm(1)} {ACC1:slc#41.itm(2)} -attr xrf 33500 -attr oid 664 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
+load net {ACC1:acc#175.itm(0)} -attr vt d
+load net {ACC1:acc#175.itm(1)} -attr vt d
+load net {ACC1:acc#175.itm(2)} -attr vt d
+load net {ACC1:acc#175.itm(3)} -attr vt d
+load netBundle {ACC1:acc#175.itm} 4 {ACC1:acc#175.itm(0)} {ACC1:acc#175.itm(1)} {ACC1:acc#175.itm(2)} {ACC1:acc#175.itm(3)} -attr xrf 33501 -attr oid 665 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
+load net {conc#693.itm(0)} -attr vt d
+load net {conc#693.itm(1)} -attr vt d
+load net {conc#693.itm(2)} -attr vt d
+load net {conc#693.itm(3)} -attr vt d
+load netBundle {conc#693.itm} 4 {conc#693.itm(0)} {conc#693.itm(1)} {conc#693.itm(2)} {conc#693.itm(3)} -attr xrf 33502 -attr oid 666 -attr vt d -attr @path {/sobel/sobel:core/conc#693.itm}
+load net {ACC1:conc#522.itm(0)} -attr vt d
+load net {ACC1:conc#522.itm(1)} -attr vt d
+load net {ACC1:conc#522.itm(2)} -attr vt d
+load netBundle {ACC1:conc#522.itm} 3 {ACC1:conc#522.itm(0)} {ACC1:conc#522.itm(1)} {ACC1:conc#522.itm(2)} -attr xrf 33503 -attr oid 667 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#522.itm}
+load net {ACC1:slc#39.itm(0)} -attr vt d
+load net {ACC1:slc#39.itm(1)} -attr vt d
+load netBundle {ACC1:slc#39.itm} 2 {ACC1:slc#39.itm(0)} {ACC1:slc#39.itm(1)} -attr xrf 33504 -attr oid 668 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#39.itm}
+load net {ACC1:acc#173.itm(0)} -attr vt d
+load net {ACC1:acc#173.itm(1)} -attr vt d
+load net {ACC1:acc#173.itm(2)} -attr vt d
+load netBundle {ACC1:acc#173.itm} 3 {ACC1:acc#173.itm(0)} {ACC1:acc#173.itm(1)} {ACC1:acc#173.itm(2)} -attr xrf 33505 -attr oid 669 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
+load net {conc#694.itm(0)} -attr vt d
+load net {conc#694.itm(1)} -attr vt d
+load netBundle {conc#694.itm} 2 {conc#694.itm(0)} {conc#694.itm(1)} -attr xrf 33506 -attr oid 670 -attr vt d -attr @path {/sobel/sobel:core/conc#694.itm}
+load net {ACC1:conc#518.itm(0)} -attr vt d
+load net {ACC1:conc#518.itm(1)} -attr vt d
+load netBundle {ACC1:conc#518.itm} 2 {ACC1:conc#518.itm(0)} {ACC1:conc#518.itm(1)} -attr xrf 33507 -attr oid 671 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#518.itm}
+load net {ACC1:conc#524.itm(0)} -attr vt d
+load net {ACC1:conc#524.itm(1)} -attr vt d
+load net {ACC1:conc#524.itm(2)} -attr vt d
+load net {ACC1:conc#524.itm(3)} -attr vt d
+load net {ACC1:conc#524.itm(4)} -attr vt d
+load netBundle {ACC1:conc#524.itm} 5 {ACC1:conc#524.itm(0)} {ACC1:conc#524.itm(1)} {ACC1:conc#524.itm(2)} {ACC1:conc#524.itm(3)} {ACC1:conc#524.itm(4)} -attr xrf 33508 -attr oid 672 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#524.itm}
+load net {ACC1:slc#40.itm(0)} -attr vt d
+load net {ACC1:slc#40.itm(1)} -attr vt d
+load net {ACC1:slc#40.itm(2)} -attr vt d
+load net {ACC1:slc#40.itm(3)} -attr vt d
+load netBundle {ACC1:slc#40.itm} 4 {ACC1:slc#40.itm(0)} {ACC1:slc#40.itm(1)} {ACC1:slc#40.itm(2)} {ACC1:slc#40.itm(3)} -attr xrf 33509 -attr oid 673 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
+load net {ACC1:acc#174.itm(0)} -attr vt d
+load net {ACC1:acc#174.itm(1)} -attr vt d
+load net {ACC1:acc#174.itm(2)} -attr vt d
+load net {ACC1:acc#174.itm(3)} -attr vt d
+load net {ACC1:acc#174.itm(4)} -attr vt d
+load netBundle {ACC1:acc#174.itm} 5 {ACC1:acc#174.itm(0)} {ACC1:acc#174.itm(1)} {ACC1:acc#174.itm(2)} {ACC1:acc#174.itm(3)} {ACC1:acc#174.itm(4)} -attr xrf 33510 -attr oid 674 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
+load net {conc#695.itm(0)} -attr vt d
+load net {conc#695.itm(1)} -attr vt d
+load net {conc#695.itm(2)} -attr vt d
+load netBundle {conc#695.itm} 3 {conc#695.itm(0)} {conc#695.itm(1)} {conc#695.itm(2)} -attr xrf 33511 -attr oid 675 -attr vt d -attr @path {/sobel/sobel:core/conc#695.itm}
+load net {ACC1:slc#38.itm(0)} -attr vt d
+load net {ACC1:slc#38.itm(1)} -attr vt d
+load netBundle {ACC1:slc#38.itm} 2 {ACC1:slc#38.itm(0)} {ACC1:slc#38.itm(1)} -attr xrf 33512 -attr oid 676 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#38.itm}
+load net {ACC1:acc#172.itm(0)} -attr vt d
+load net {ACC1:acc#172.itm(1)} -attr vt d
+load net {ACC1:acc#172.itm(2)} -attr vt d
+load netBundle {ACC1:acc#172.itm} 3 {ACC1:acc#172.itm(0)} {ACC1:acc#172.itm(1)} {ACC1:acc#172.itm(2)} -attr xrf 33513 -attr oid 677 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
+load net {conc#696.itm(0)} -attr vt d
+load net {conc#696.itm(1)} -attr vt d
+load netBundle {conc#696.itm} 2 {conc#696.itm(0)} {conc#696.itm(1)} -attr xrf 33514 -attr oid 678 -attr vt d -attr @path {/sobel/sobel:core/conc#696.itm}
+load net {ACC1:conc#516.itm(0)} -attr vt d
+load net {ACC1:conc#516.itm(1)} -attr vt d
+load netBundle {ACC1:conc#516.itm} 2 {ACC1:conc#516.itm(0)} {ACC1:conc#516.itm(1)} -attr xrf 33515 -attr oid 679 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#516.itm}
+load net {ACC1:conc#520.itm(0)} -attr vt d
+load net {ACC1:conc#520.itm(1)} -attr vt d
+load net {ACC1:conc#520.itm(2)} -attr vt d
+load netBundle {ACC1:conc#520.itm} 3 {ACC1:conc#520.itm(0)} {ACC1:conc#520.itm(1)} {ACC1:conc#520.itm(2)} -attr xrf 33516 -attr oid 680 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#520.itm}
+load net {ACC1-3:not#120.itm(0)} -attr vt d
+load net {ACC1-3:not#120.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#120.itm} 2 {ACC1-3:not#120.itm(0)} {ACC1-3:not#120.itm(1)} -attr xrf 33517 -attr oid 681 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#120.itm}
+load net {slc(ACC1:acc#125.psp.sva)#8.itm(0)} -attr vt d
+load net {slc(ACC1:acc#125.psp.sva)#8.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#125.psp.sva)#8.itm} 2 {slc(ACC1:acc#125.psp.sva)#8.itm(0)} {slc(ACC1:acc#125.psp.sva)#8.itm(1)} -attr xrf 33518 -attr oid 682 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#8.itm}
+load net {ACC1:acc#171.itm(0)} -attr vt d
+load net {ACC1:acc#171.itm(1)} -attr vt d
+load net {ACC1:acc#171.itm(2)} -attr vt d
+load net {ACC1:acc#171.itm(3)} -attr vt d
+load net {ACC1:acc#171.itm(4)} -attr vt d
+load net {ACC1:acc#171.itm(5)} -attr vt d
+load net {ACC1:acc#171.itm(6)} -attr vt d
+load net {ACC1:acc#171.itm(7)} -attr vt d
+load net {ACC1:acc#171.itm(8)} -attr vt d
+load net {ACC1:acc#171.itm(9)} -attr vt d
+load net {ACC1:acc#171.itm(10)} -attr vt d
+load netBundle {ACC1:acc#171.itm} 11 {ACC1:acc#171.itm(0)} {ACC1:acc#171.itm(1)} {ACC1:acc#171.itm(2)} {ACC1:acc#171.itm(3)} {ACC1:acc#171.itm(4)} {ACC1:acc#171.itm(5)} {ACC1:acc#171.itm(6)} {ACC1:acc#171.itm(7)} {ACC1:acc#171.itm(8)} {ACC1:acc#171.itm(9)} {ACC1:acc#171.itm(10)} -attr xrf 33519 -attr oid 683 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {slc(regs.regs(1).sva)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#5.itm} 10 {slc(regs.regs(1).sva)#5.itm(0)} {slc(regs.regs(1).sva)#5.itm(1)} {slc(regs.regs(1).sva)#5.itm(2)} {slc(regs.regs(1).sva)#5.itm(3)} {slc(regs.regs(1).sva)#5.itm(4)} {slc(regs.regs(1).sva)#5.itm(5)} {slc(regs.regs(1).sva)#5.itm(6)} {slc(regs.regs(1).sva)#5.itm(7)} {slc(regs.regs(1).sva)#5.itm(8)} {slc(regs.regs(1).sva)#5.itm(9)} -attr xrf 33520 -attr oid 684 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {slc(regs.regs(1).sva)#6.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#6.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#6.itm} 10 {slc(regs.regs(1).sva)#6.itm(0)} {slc(regs.regs(1).sva)#6.itm(1)} {slc(regs.regs(1).sva)#6.itm(2)} {slc(regs.regs(1).sva)#6.itm(3)} {slc(regs.regs(1).sva)#6.itm(4)} {slc(regs.regs(1).sva)#6.itm(5)} {slc(regs.regs(1).sva)#6.itm(6)} {slc(regs.regs(1).sva)#6.itm(7)} {slc(regs.regs(1).sva)#6.itm(8)} {slc(regs.regs(1).sva)#6.itm(9)} -attr xrf 33521 -attr oid 685 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {slc(regs.regs(1).sva)#7.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#7.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#7.itm} 10 {slc(regs.regs(1).sva)#7.itm(0)} {slc(regs.regs(1).sva)#7.itm(1)} {slc(regs.regs(1).sva)#7.itm(2)} {slc(regs.regs(1).sva)#7.itm(3)} {slc(regs.regs(1).sva)#7.itm(4)} {slc(regs.regs(1).sva)#7.itm(5)} {slc(regs.regs(1).sva)#7.itm(6)} {slc(regs.regs(1).sva)#7.itm(7)} {slc(regs.regs(1).sva)#7.itm(8)} {slc(regs.regs(1).sva)#7.itm(9)} -attr xrf 33522 -attr oid 686 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {ACC1:slc#43.itm(0)} -attr vt d
+load net {ACC1:slc#43.itm(1)} -attr vt d
+load netBundle {ACC1:slc#43.itm} 2 {ACC1:slc#43.itm(0)} {ACC1:slc#43.itm(1)} -attr xrf 33523 -attr oid 687 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#43.itm}
+load net {ACC1:acc#177.itm(0)} -attr vt d
+load net {ACC1:acc#177.itm(1)} -attr vt d
+load net {ACC1:acc#177.itm(2)} -attr vt d
+load netBundle {ACC1:acc#177.itm} 3 {ACC1:acc#177.itm(0)} {ACC1:acc#177.itm(1)} {ACC1:acc#177.itm(2)} -attr xrf 33524 -attr oid 688 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
+load net {conc#697.itm(0)} -attr vt d
+load net {conc#697.itm(1)} -attr vt d
+load netBundle {conc#697.itm} 2 {conc#697.itm(0)} {conc#697.itm(1)} -attr xrf 33525 -attr oid 689 -attr vt d -attr @path {/sobel/sobel:core/conc#697.itm}
+load net {ACC1:conc#526.itm(0)} -attr vt d
+load net {ACC1:conc#526.itm(1)} -attr vt d
+load netBundle {ACC1:conc#526.itm} 2 {ACC1:conc#526.itm(0)} {ACC1:conc#526.itm(1)} -attr xrf 33526 -attr oid 690 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#526.itm}
+load net {ACC1:acc#178.itm(0)} -attr vt d
+load net {ACC1:acc#178.itm(1)} -attr vt d
+load net {ACC1:acc#178.itm(2)} -attr vt d
+load net {ACC1:acc#178.itm(3)} -attr vt d
+load netBundle {ACC1:acc#178.itm} 4 {ACC1:acc#178.itm(0)} {ACC1:acc#178.itm(1)} {ACC1:acc#178.itm(2)} {ACC1:acc#178.itm(3)} -attr xrf 33527 -attr oid 691 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
+load net {conc#698.itm(0)} -attr vt d
+load net {conc#698.itm(1)} -attr vt d
+load net {conc#698.itm(2)} -attr vt d
+load netBundle {conc#698.itm} 3 {conc#698.itm(0)} {conc#698.itm(1)} {conc#698.itm(2)} -attr xrf 33528 -attr oid 692 -attr vt d -attr @path {/sobel/sobel:core/conc#698.itm}
+load net {ACC1-3:not#147.itm(0)} -attr vt d
+load net {ACC1-3:not#147.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#147.itm} 2 {ACC1-3:not#147.itm(0)} {ACC1-3:not#147.itm(1)} -attr xrf 33529 -attr oid 693 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#147.itm}
+load net {slc(ACC1:acc#118.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#118.psp.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#118.psp.sva)#2.itm} 2 {slc(ACC1:acc#118.psp.sva)#2.itm(0)} {slc(ACC1:acc#118.psp.sva)#2.itm(1)} -attr xrf 33530 -attr oid 694 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp.sva)#2.itm}
+load net {conc#699.itm(0)} -attr vt d
+load net {conc#699.itm(1)} -attr vt d
+load netBundle {conc#699.itm} 2 {conc#699.itm(0)} {conc#699.itm(1)} -attr xrf 33531 -attr oid 695 -attr vt d -attr @path {/sobel/sobel:core/conc#699.itm}
+load net {ACC1:acc#180.itm(0)} -attr vt d
+load net {ACC1:acc#180.itm(1)} -attr vt d
+load net {ACC1:acc#180.itm(2)} -attr vt d
+load net {ACC1:acc#180.itm(3)} -attr vt d
+load net {ACC1:acc#180.itm(4)} -attr vt d
+load net {ACC1:acc#180.itm(5)} -attr vt d
+load net {ACC1:acc#180.itm(6)} -attr vt d
+load net {ACC1:acc#180.itm(7)} -attr vt d
+load net {ACC1:acc#180.itm(8)} -attr vt d
+load net {ACC1:acc#180.itm(9)} -attr vt d
+load net {ACC1:acc#180.itm(10)} -attr vt d
+load netBundle {ACC1:acc#180.itm} 11 {ACC1:acc#180.itm(0)} {ACC1:acc#180.itm(1)} {ACC1:acc#180.itm(2)} {ACC1:acc#180.itm(3)} {ACC1:acc#180.itm(4)} {ACC1:acc#180.itm(5)} {ACC1:acc#180.itm(6)} {ACC1:acc#180.itm(7)} {ACC1:acc#180.itm(8)} {ACC1:acc#180.itm(9)} {ACC1:acc#180.itm(10)} -attr xrf 33532 -attr oid 696 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {slc(regs.regs(1).sva)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#2.itm} 10 {slc(regs.regs(1).sva)#2.itm(0)} {slc(regs.regs(1).sva)#2.itm(1)} {slc(regs.regs(1).sva)#2.itm(2)} {slc(regs.regs(1).sva)#2.itm(3)} {slc(regs.regs(1).sva)#2.itm(4)} {slc(regs.regs(1).sva)#2.itm(5)} {slc(regs.regs(1).sva)#2.itm(6)} {slc(regs.regs(1).sva)#2.itm(7)} {slc(regs.regs(1).sva)#2.itm(8)} {slc(regs.regs(1).sva)#2.itm(9)} -attr xrf 33533 -attr oid 697 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {slc(regs.regs(1).sva)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#3.itm} 10 {slc(regs.regs(1).sva)#3.itm(0)} {slc(regs.regs(1).sva)#3.itm(1)} {slc(regs.regs(1).sva)#3.itm(2)} {slc(regs.regs(1).sva)#3.itm(3)} {slc(regs.regs(1).sva)#3.itm(4)} {slc(regs.regs(1).sva)#3.itm(5)} {slc(regs.regs(1).sva)#3.itm(6)} {slc(regs.regs(1).sva)#3.itm(7)} {slc(regs.regs(1).sva)#3.itm(8)} {slc(regs.regs(1).sva)#3.itm(9)} -attr xrf 33534 -attr oid 698 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {slc(regs.regs(1).sva)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#4.itm} 10 {slc(regs.regs(1).sva)#4.itm(0)} {slc(regs.regs(1).sva)#4.itm(1)} {slc(regs.regs(1).sva)#4.itm(2)} {slc(regs.regs(1).sva)#4.itm(3)} {slc(regs.regs(1).sva)#4.itm(4)} {slc(regs.regs(1).sva)#4.itm(5)} {slc(regs.regs(1).sva)#4.itm(6)} {slc(regs.regs(1).sva)#4.itm(7)} {slc(regs.regs(1).sva)#4.itm(8)} {slc(regs.regs(1).sva)#4.itm(9)} -attr xrf 33535 -attr oid 699 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {ACC1:slc#50.itm(0)} -attr vt d
+load net {ACC1:slc#50.itm(1)} -attr vt d
+load net {ACC1:slc#50.itm(2)} -attr vt d
+load net {ACC1:slc#50.itm(3)} -attr vt d
+load netBundle {ACC1:slc#50.itm} 4 {ACC1:slc#50.itm(0)} {ACC1:slc#50.itm(1)} {ACC1:slc#50.itm(2)} {ACC1:slc#50.itm(3)} -attr xrf 33536 -attr oid 700 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#185.itm(0)} -attr vt d
+load net {ACC1:acc#185.itm(1)} -attr vt d
+load net {ACC1:acc#185.itm(2)} -attr vt d
+load net {ACC1:acc#185.itm(3)} -attr vt d
+load net {ACC1:acc#185.itm(4)} -attr vt d
+load netBundle {ACC1:acc#185.itm} 5 {ACC1:acc#185.itm(0)} {ACC1:acc#185.itm(1)} {ACC1:acc#185.itm(2)} {ACC1:acc#185.itm(3)} {ACC1:acc#185.itm(4)} -attr xrf 33537 -attr oid 701 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
+load net {conc#700.itm(0)} -attr vt d
+load net {conc#700.itm(1)} -attr vt d
+load net {conc#700.itm(2)} -attr vt d
+load net {conc#700.itm(3)} -attr vt d
+load netBundle {conc#700.itm} 4 {conc#700.itm(0)} {conc#700.itm(1)} {conc#700.itm(2)} {conc#700.itm(3)} -attr xrf 33538 -attr oid 702 -attr vt d -attr @path {/sobel/sobel:core/conc#700.itm}
+load net {ACC1:slc#48.itm(0)} -attr vt d
+load net {ACC1:slc#48.itm(1)} -attr vt d
+load net {ACC1:slc#48.itm(2)} -attr vt d
+load netBundle {ACC1:slc#48.itm} 3 {ACC1:slc#48.itm(0)} {ACC1:slc#48.itm(1)} {ACC1:slc#48.itm(2)} -attr xrf 33539 -attr oid 703 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#48.itm}
+load net {ACC1:acc#183.itm(0)} -attr vt d
+load net {ACC1:acc#183.itm(1)} -attr vt d
+load net {ACC1:acc#183.itm(2)} -attr vt d
+load net {ACC1:acc#183.itm(3)} -attr vt d
+load netBundle {ACC1:acc#183.itm} 4 {ACC1:acc#183.itm(0)} {ACC1:acc#183.itm(1)} {ACC1:acc#183.itm(2)} {ACC1:acc#183.itm(3)} -attr xrf 33540 -attr oid 704 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
+load net {conc#701.itm(0)} -attr vt d
+load net {conc#701.itm(1)} -attr vt d
+load netBundle {conc#701.itm} 2 {conc#701.itm(0)} {conc#701.itm(1)} -attr xrf 33541 -attr oid 705 -attr vt d -attr @path {/sobel/sobel:core/conc#701.itm}
+load net {ACC1:conc#538.itm(0)} -attr vt d
+load net {ACC1:conc#538.itm(1)} -attr vt d
+load netBundle {ACC1:conc#538.itm} 2 {ACC1:conc#538.itm(0)} {ACC1:conc#538.itm(1)} -attr xrf 33542 -attr oid 706 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#538.itm}
+load net {conc#702.itm(0)} -attr vt d
+load net {conc#702.itm(1)} -attr vt d
+load net {conc#702.itm(2)} -attr vt d
+load net {conc#702.itm(3)} -attr vt d
+load netBundle {conc#702.itm} 4 {conc#702.itm(0)} {conc#702.itm(1)} {conc#702.itm(2)} {conc#702.itm(3)} -attr xrf 33543 -attr oid 707 -attr vt d -attr @path {/sobel/sobel:core/conc#702.itm}
+load net {ACC1:slc#49.itm(0)} -attr vt d
+load net {ACC1:slc#49.itm(1)} -attr vt d
+load net {ACC1:slc#49.itm(2)} -attr vt d
+load netBundle {ACC1:slc#49.itm} 3 {ACC1:slc#49.itm(0)} {ACC1:slc#49.itm(1)} {ACC1:slc#49.itm(2)} -attr xrf 33544 -attr oid 708 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#49.itm}
+load net {ACC1:acc#184.itm(0)} -attr vt d
+load net {ACC1:acc#184.itm(1)} -attr vt d
+load net {ACC1:acc#184.itm(2)} -attr vt d
+load net {ACC1:acc#184.itm(3)} -attr vt d
+load netBundle {ACC1:acc#184.itm} 4 {ACC1:acc#184.itm(0)} {ACC1:acc#184.itm(1)} {ACC1:acc#184.itm(2)} {ACC1:acc#184.itm(3)} -attr xrf 33545 -attr oid 709 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
+load net {conc#703.itm(0)} -attr vt d
+load net {conc#703.itm(1)} -attr vt d
+load net {conc#703.itm(2)} -attr vt d
+load netBundle {conc#703.itm} 3 {conc#703.itm(0)} {conc#703.itm(1)} {conc#703.itm(2)} -attr xrf 33546 -attr oid 710 -attr vt d -attr @path {/sobel/sobel:core/conc#703.itm}
+load net {ACC1:slc#47.itm(0)} -attr vt d
+load net {ACC1:slc#47.itm(1)} -attr vt d
+load netBundle {ACC1:slc#47.itm} 2 {ACC1:slc#47.itm(0)} {ACC1:slc#47.itm(1)} -attr xrf 33547 -attr oid 711 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#47.itm}
+load net {ACC1:acc#182.itm(0)} -attr vt d
+load net {ACC1:acc#182.itm(1)} -attr vt d
+load net {ACC1:acc#182.itm(2)} -attr vt d
+load netBundle {ACC1:acc#182.itm} 3 {ACC1:acc#182.itm(0)} {ACC1:acc#182.itm(1)} {ACC1:acc#182.itm(2)} -attr xrf 33548 -attr oid 712 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
+load net {conc#704.itm(0)} -attr vt d
+load net {conc#704.itm(1)} -attr vt d
+load netBundle {conc#704.itm} 2 {conc#704.itm(0)} {conc#704.itm(1)} -attr xrf 33549 -attr oid 713 -attr vt d -attr @path {/sobel/sobel:core/conc#704.itm}
+load net {ACC1:conc#536.itm(0)} -attr vt d
+load net {ACC1:conc#536.itm(1)} -attr vt d
+load netBundle {ACC1:conc#536.itm} 2 {ACC1:conc#536.itm(0)} {ACC1:conc#536.itm(1)} -attr xrf 33550 -attr oid 714 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#536.itm}
+load net {ACC1:conc#540.itm(0)} -attr vt d
+load net {ACC1:conc#540.itm(1)} -attr vt d
+load net {ACC1:conc#540.itm(2)} -attr vt d
+load netBundle {ACC1:conc#540.itm} 3 {ACC1:conc#540.itm(0)} {ACC1:conc#540.itm(1)} {ACC1:conc#540.itm(2)} -attr xrf 33551 -attr oid 715 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#540.itm}
+load net {ACC1:slc#46.itm(0)} -attr vt d
+load net {ACC1:slc#46.itm(1)} -attr vt d
+load netBundle {ACC1:slc#46.itm} 2 {ACC1:slc#46.itm(0)} {ACC1:slc#46.itm(1)} -attr xrf 33552 -attr oid 716 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#46.itm}
+load net {ACC1:acc#181.itm(0)} -attr vt d
+load net {ACC1:acc#181.itm(1)} -attr vt d
+load net {ACC1:acc#181.itm(2)} -attr vt d
+load netBundle {ACC1:acc#181.itm} 3 {ACC1:acc#181.itm(0)} {ACC1:acc#181.itm(1)} {ACC1:acc#181.itm(2)} -attr xrf 33553 -attr oid 717 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
+load net {conc#705.itm(0)} -attr vt d
+load net {conc#705.itm(1)} -attr vt d
+load netBundle {conc#705.itm} 2 {conc#705.itm(0)} {conc#705.itm(1)} -attr xrf 33554 -attr oid 718 -attr vt d -attr @path {/sobel/sobel:core/conc#705.itm}
+load net {ACC1:conc#534.itm(0)} -attr vt d
+load net {ACC1:conc#534.itm(1)} -attr vt d
+load netBundle {ACC1:conc#534.itm} 2 {ACC1:conc#534.itm(0)} {ACC1:conc#534.itm(1)} -attr xrf 33555 -attr oid 719 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#534.itm}
+load net {ACC1:slc#51.itm(0)} -attr vt d
+load net {ACC1:slc#51.itm(1)} -attr vt d
+load netBundle {ACC1:slc#51.itm} 2 {ACC1:slc#51.itm(0)} {ACC1:slc#51.itm(1)} -attr xrf 33556 -attr oid 720 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
+load net {ACC1:acc#186.itm(0)} -attr vt d
+load net {ACC1:acc#186.itm(1)} -attr vt d
+load net {ACC1:acc#186.itm(2)} -attr vt d
+load netBundle {ACC1:acc#186.itm} 3 {ACC1:acc#186.itm(0)} {ACC1:acc#186.itm(1)} {ACC1:acc#186.itm(2)} -attr xrf 33557 -attr oid 721 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
+load net {conc#706.itm(0)} -attr vt d
+load net {conc#706.itm(1)} -attr vt d
+load netBundle {conc#706.itm} 2 {conc#706.itm(0)} {conc#706.itm(1)} -attr xrf 33558 -attr oid 722 -attr vt d -attr @path {/sobel/sobel:core/conc#706.itm}
+load net {ACC1:conc#544.itm(0)} -attr vt d
+load net {ACC1:conc#544.itm(1)} -attr vt d
+load netBundle {ACC1:conc#544.itm} 2 {ACC1:conc#544.itm(0)} {ACC1:conc#544.itm(1)} -attr xrf 33559 -attr oid 723 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#544.itm}
+load net {ACC1-3:exs#558.itm(0)} -attr vt d
+load net {ACC1-3:exs#558.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#558.itm} 2 {ACC1-3:exs#558.itm(0)} {ACC1-3:exs#558.itm(1)} -attr xrf 33560 -attr oid 724 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#558.itm}
+load net {ACC1-3:exs#547.itm(0)} -attr vt d
+load net {ACC1-3:exs#547.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#547.itm} 2 {ACC1-3:exs#547.itm(0)} {ACC1-3:exs#547.itm(1)} -attr xrf 33561 -attr oid 725 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#547.itm}
+load net {ACC1:acc#188.itm(0)} -attr vt d
+load net {ACC1:acc#188.itm(1)} -attr vt d
+load net {ACC1:acc#188.itm(2)} -attr vt d
+load netBundle {ACC1:acc#188.itm} 3 {ACC1:acc#188.itm(0)} {ACC1:acc#188.itm(1)} {ACC1:acc#188.itm(2)} -attr xrf 33562 -attr oid 726 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
+load net {conc#707.itm(0)} -attr vt d
+load net {conc#707.itm(1)} -attr vt d
+load net {conc#707.itm(2)} -attr vt d
+load netBundle {conc#707.itm} 3 {conc#707.itm(0)} {conc#707.itm(1)} {conc#707.itm(2)} -attr xrf 33563 -attr oid 727 -attr vt d -attr @path {/sobel/sobel:core/conc#707.itm}
+load net {ACC1:conc#549.itm(0)} -attr vt d
+load net {ACC1:conc#549.itm(1)} -attr vt d
+load netBundle {ACC1:conc#549.itm} 2 {ACC1:conc#549.itm(0)} {ACC1:conc#549.itm(1)} -attr xrf 33564 -attr oid 728 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#549.itm}
+load net {ACC1:acc#153.itm(0)} -attr vt d
+load net {ACC1:acc#153.itm(1)} -attr vt d
+load net {ACC1:acc#153.itm(2)} -attr vt d
+load net {ACC1:acc#153.itm(3)} -attr vt d
+load net {ACC1:acc#153.itm(4)} -attr vt d
+load net {ACC1:acc#153.itm(5)} -attr vt d
+load net {ACC1:acc#153.itm(6)} -attr vt d
+load net {ACC1:acc#153.itm(7)} -attr vt d
+load net {ACC1:acc#153.itm(8)} -attr vt d
+load net {ACC1:acc#153.itm(9)} -attr vt d
+load net {ACC1:acc#153.itm(10)} -attr vt d
+load netBundle {ACC1:acc#153.itm} 11 {ACC1:acc#153.itm(0)} {ACC1:acc#153.itm(1)} {ACC1:acc#153.itm(2)} {ACC1:acc#153.itm(3)} {ACC1:acc#153.itm(4)} {ACC1:acc#153.itm(5)} {ACC1:acc#153.itm(6)} {ACC1:acc#153.itm(7)} {ACC1:acc#153.itm(8)} {ACC1:acc#153.itm(9)} {ACC1:acc#153.itm(10)} -attr xrf 33565 -attr oid 729 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:not#161.itm(0)} -attr vt d
+load net {ACC1:not#161.itm(1)} -attr vt d
+load net {ACC1:not#161.itm(2)} -attr vt d
+load net {ACC1:not#161.itm(3)} -attr vt d
+load net {ACC1:not#161.itm(4)} -attr vt d
+load net {ACC1:not#161.itm(5)} -attr vt d
+load net {ACC1:not#161.itm(6)} -attr vt d
+load net {ACC1:not#161.itm(7)} -attr vt d
+load net {ACC1:not#161.itm(8)} -attr vt d
+load net {ACC1:not#161.itm(9)} -attr vt d
+load netBundle {ACC1:not#161.itm} 10 {ACC1:not#161.itm(0)} {ACC1:not#161.itm(1)} {ACC1:not#161.itm(2)} {ACC1:not#161.itm(3)} {ACC1:not#161.itm(4)} {ACC1:not#161.itm(5)} {ACC1:not#161.itm(6)} {ACC1:not#161.itm(7)} {ACC1:not#161.itm(8)} {ACC1:not#161.itm(9)} -attr xrf 33566 -attr oid 730 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {slc(regs.regs(0).sva#1).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#1).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#1).itm} 10 {slc(regs.regs(0).sva#1).itm(0)} {slc(regs.regs(0).sva#1).itm(1)} {slc(regs.regs(0).sva#1).itm(2)} {slc(regs.regs(0).sva#1).itm(3)} {slc(regs.regs(0).sva#1).itm(4)} {slc(regs.regs(0).sva#1).itm(5)} {slc(regs.regs(0).sva#1).itm(6)} {slc(regs.regs(0).sva#1).itm(7)} {slc(regs.regs(0).sva#1).itm(8)} {slc(regs.regs(0).sva#1).itm(9)} -attr xrf 33567 -attr oid 731 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {ACC1:not#162.itm(0)} -attr vt d
+load net {ACC1:not#162.itm(1)} -attr vt d
+load net {ACC1:not#162.itm(2)} -attr vt d
+load net {ACC1:not#162.itm(3)} -attr vt d
+load net {ACC1:not#162.itm(4)} -attr vt d
+load net {ACC1:not#162.itm(5)} -attr vt d
+load net {ACC1:not#162.itm(6)} -attr vt d
+load net {ACC1:not#162.itm(7)} -attr vt d
+load net {ACC1:not#162.itm(8)} -attr vt d
+load net {ACC1:not#162.itm(9)} -attr vt d
+load netBundle {ACC1:not#162.itm} 10 {ACC1:not#162.itm(0)} {ACC1:not#162.itm(1)} {ACC1:not#162.itm(2)} {ACC1:not#162.itm(3)} {ACC1:not#162.itm(4)} {ACC1:not#162.itm(5)} {ACC1:not#162.itm(6)} {ACC1:not#162.itm(7)} {ACC1:not#162.itm(8)} {ACC1:not#162.itm(9)} -attr xrf 33568 -attr oid 732 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {slc(regs.regs(0).sva#2).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#2).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#2).itm} 10 {slc(regs.regs(0).sva#2).itm(0)} {slc(regs.regs(0).sva#2).itm(1)} {slc(regs.regs(0).sva#2).itm(2)} {slc(regs.regs(0).sva#2).itm(3)} {slc(regs.regs(0).sva#2).itm(4)} {slc(regs.regs(0).sva#2).itm(5)} {slc(regs.regs(0).sva#2).itm(6)} {slc(regs.regs(0).sva#2).itm(7)} {slc(regs.regs(0).sva#2).itm(8)} {slc(regs.regs(0).sva#2).itm(9)} -attr xrf 33569 -attr oid 733 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {ACC1:acc#152.itm(0)} -attr vt d
+load net {ACC1:acc#152.itm(1)} -attr vt d
+load net {ACC1:acc#152.itm(2)} -attr vt d
+load net {ACC1:acc#152.itm(3)} -attr vt d
+load net {ACC1:acc#152.itm(4)} -attr vt d
+load net {ACC1:acc#152.itm(5)} -attr vt d
+load net {ACC1:acc#152.itm(6)} -attr vt d
+load net {ACC1:acc#152.itm(7)} -attr vt d
+load net {ACC1:acc#152.itm(8)} -attr vt d
+load net {ACC1:acc#152.itm(9)} -attr vt d
+load net {ACC1:acc#152.itm(10)} -attr vt d
+load netBundle {ACC1:acc#152.itm} 11 {ACC1:acc#152.itm(0)} {ACC1:acc#152.itm(1)} {ACC1:acc#152.itm(2)} {ACC1:acc#152.itm(3)} {ACC1:acc#152.itm(4)} {ACC1:acc#152.itm(5)} {ACC1:acc#152.itm(6)} {ACC1:acc#152.itm(7)} {ACC1:acc#152.itm(8)} {ACC1:acc#152.itm(9)} {ACC1:acc#152.itm(10)} -attr xrf 33570 -attr oid 734 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:not#163.itm(0)} -attr vt d
+load net {ACC1:not#163.itm(1)} -attr vt d
+load net {ACC1:not#163.itm(2)} -attr vt d
+load net {ACC1:not#163.itm(3)} -attr vt d
+load net {ACC1:not#163.itm(4)} -attr vt d
+load net {ACC1:not#163.itm(5)} -attr vt d
+load net {ACC1:not#163.itm(6)} -attr vt d
+load net {ACC1:not#163.itm(7)} -attr vt d
+load net {ACC1:not#163.itm(8)} -attr vt d
+load net {ACC1:not#163.itm(9)} -attr vt d
+load netBundle {ACC1:not#163.itm} 10 {ACC1:not#163.itm(0)} {ACC1:not#163.itm(1)} {ACC1:not#163.itm(2)} {ACC1:not#163.itm(3)} {ACC1:not#163.itm(4)} {ACC1:not#163.itm(5)} {ACC1:not#163.itm(6)} {ACC1:not#163.itm(7)} {ACC1:not#163.itm(8)} {ACC1:not#163.itm(9)} -attr xrf 33571 -attr oid 735 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {slc(regs.regs(0).sva#3).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#3).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#3).itm} 10 {slc(regs.regs(0).sva#3).itm(0)} {slc(regs.regs(0).sva#3).itm(1)} {slc(regs.regs(0).sva#3).itm(2)} {slc(regs.regs(0).sva#3).itm(3)} {slc(regs.regs(0).sva#3).itm(4)} {slc(regs.regs(0).sva#3).itm(5)} {slc(regs.regs(0).sva#3).itm(6)} {slc(regs.regs(0).sva#3).itm(7)} {slc(regs.regs(0).sva#3).itm(8)} {slc(regs.regs(0).sva#3).itm(9)} -attr xrf 33572 -attr oid 736 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {ACC1:acc#161.itm(0)} -attr vt d
+load net {ACC1:acc#161.itm(1)} -attr vt d
+load net {ACC1:acc#161.itm(2)} -attr vt d
+load netBundle {ACC1:acc#161.itm} 3 {ACC1:acc#161.itm(0)} {ACC1:acc#161.itm(1)} {ACC1:acc#161.itm(2)} -attr xrf 33573 -attr oid 737 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
+load net {conc#708.itm(0)} -attr vt d
+load net {conc#708.itm(1)} -attr vt d
+load net {conc#708.itm(2)} -attr vt d
+load netBundle {conc#708.itm} 3 {conc#708.itm(0)} {conc#708.itm(1)} {conc#708.itm(2)} -attr xrf 33574 -attr oid 738 -attr vt d -attr @path {/sobel/sobel:core/conc#708.itm}
+load net {ACC1:conc#495.itm(0)} -attr vt d
+load net {ACC1:conc#495.itm(1)} -attr vt d
+load netBundle {ACC1:conc#495.itm} 2 {ACC1:conc#495.itm(0)} {ACC1:conc#495.itm(1)} -attr xrf 33575 -attr oid 739 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#495.itm}
+load net {ACC1:acc#160.itm(0)} -attr vt d
+load net {ACC1:acc#160.itm(1)} -attr vt d
+load net {ACC1:acc#160.itm(2)} -attr vt d
+load net {ACC1:acc#160.itm(3)} -attr vt d
+load netBundle {ACC1:acc#160.itm} 4 {ACC1:acc#160.itm(0)} {ACC1:acc#160.itm(1)} {ACC1:acc#160.itm(2)} {ACC1:acc#160.itm(3)} -attr xrf 33576 -attr oid 740 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
+load net {conc#709.itm(0)} -attr vt d
+load net {conc#709.itm(1)} -attr vt d
+load net {conc#709.itm(2)} -attr vt d
+load netBundle {conc#709.itm} 3 {conc#709.itm(0)} {conc#709.itm(1)} {conc#709.itm(2)} -attr xrf 33577 -attr oid 741 -attr vt d -attr @path {/sobel/sobel:core/conc#709.itm}
+load net {ACC1-1:not#149.itm(0)} -attr vt d
+load net {ACC1-1:not#149.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#149.itm} 2 {ACC1-1:not#149.itm(0)} {ACC1-1:not#149.itm(1)} -attr xrf 33578 -attr oid 742 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#149.itm}
+load net {slc(ACC1:acc#120.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#120.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#120.psp#1.sva).itm} 2 {slc(ACC1:acc#120.psp#1.sva).itm(0)} {slc(ACC1:acc#120.psp#1.sva).itm(1)} -attr xrf 33579 -attr oid 743 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp#1.sva).itm}
+load net {conc#710.itm(0)} -attr vt d
+load net {conc#710.itm(1)} -attr vt d
+load netBundle {conc#710.itm} 2 {conc#710.itm(0)} {conc#710.itm(1)} -attr xrf 33580 -attr oid 744 -attr vt d -attr @path {/sobel/sobel:core/conc#710.itm}
+load net {ACC1:slc#26.itm(0)} -attr vt d
+load net {ACC1:slc#26.itm(1)} -attr vt d
+load net {ACC1:slc#26.itm(2)} -attr vt d
+load net {ACC1:slc#26.itm(3)} -attr vt d
+load netBundle {ACC1:slc#26.itm} 4 {ACC1:slc#26.itm(0)} {ACC1:slc#26.itm(1)} {ACC1:slc#26.itm(2)} {ACC1:slc#26.itm(3)} -attr xrf 33581 -attr oid 745 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#158.itm(0)} -attr vt d
+load net {ACC1:acc#158.itm(1)} -attr vt d
+load net {ACC1:acc#158.itm(2)} -attr vt d
+load net {ACC1:acc#158.itm(3)} -attr vt d
+load net {ACC1:acc#158.itm(4)} -attr vt d
+load netBundle {ACC1:acc#158.itm} 5 {ACC1:acc#158.itm(0)} {ACC1:acc#158.itm(1)} {ACC1:acc#158.itm(2)} {ACC1:acc#158.itm(3)} {ACC1:acc#158.itm(4)} -attr xrf 33582 -attr oid 746 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
+load net {conc#711.itm(0)} -attr vt d
+load net {conc#711.itm(1)} -attr vt d
+load net {conc#711.itm(2)} -attr vt d
+load net {conc#711.itm(3)} -attr vt d
+load netBundle {conc#711.itm} 4 {conc#711.itm(0)} {conc#711.itm(1)} {conc#711.itm(2)} {conc#711.itm(3)} -attr xrf 33583 -attr oid 747 -attr vt d -attr @path {/sobel/sobel:core/conc#711.itm}
+load net {ACC1:slc#24.itm(0)} -attr vt d
+load net {ACC1:slc#24.itm(1)} -attr vt d
+load net {ACC1:slc#24.itm(2)} -attr vt d
+load netBundle {ACC1:slc#24.itm} 3 {ACC1:slc#24.itm(0)} {ACC1:slc#24.itm(1)} {ACC1:slc#24.itm(2)} -attr xrf 33584 -attr oid 748 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#24.itm}
+load net {ACC1:acc#156.itm(0)} -attr vt d
+load net {ACC1:acc#156.itm(1)} -attr vt d
+load net {ACC1:acc#156.itm(2)} -attr vt d
+load net {ACC1:acc#156.itm(3)} -attr vt d
+load netBundle {ACC1:acc#156.itm} 4 {ACC1:acc#156.itm(0)} {ACC1:acc#156.itm(1)} {ACC1:acc#156.itm(2)} {ACC1:acc#156.itm(3)} -attr xrf 33585 -attr oid 749 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
+load net {conc#712.itm(0)} -attr vt d
+load net {conc#712.itm(1)} -attr vt d
+load netBundle {conc#712.itm} 2 {conc#712.itm(0)} {conc#712.itm(1)} -attr xrf 33586 -attr oid 750 -attr vt d -attr @path {/sobel/sobel:core/conc#712.itm}
+load net {ACC1:conc#484.itm(0)} -attr vt d
+load net {ACC1:conc#484.itm(1)} -attr vt d
+load netBundle {ACC1:conc#484.itm} 2 {ACC1:conc#484.itm(0)} {ACC1:conc#484.itm(1)} -attr xrf 33587 -attr oid 751 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#484.itm}
+load net {conc#713.itm(0)} -attr vt d
+load net {conc#713.itm(1)} -attr vt d
+load net {conc#713.itm(2)} -attr vt d
+load net {conc#713.itm(3)} -attr vt d
+load netBundle {conc#713.itm} 4 {conc#713.itm(0)} {conc#713.itm(1)} {conc#713.itm(2)} {conc#713.itm(3)} -attr xrf 33588 -attr oid 752 -attr vt d -attr @path {/sobel/sobel:core/conc#713.itm}
+load net {ACC1:slc#25.itm(0)} -attr vt d
+load net {ACC1:slc#25.itm(1)} -attr vt d
+load net {ACC1:slc#25.itm(2)} -attr vt d
+load netBundle {ACC1:slc#25.itm} 3 {ACC1:slc#25.itm(0)} {ACC1:slc#25.itm(1)} {ACC1:slc#25.itm(2)} -attr xrf 33589 -attr oid 753 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#25.itm}
+load net {ACC1:acc#157.itm(0)} -attr vt d
+load net {ACC1:acc#157.itm(1)} -attr vt d
+load net {ACC1:acc#157.itm(2)} -attr vt d
+load net {ACC1:acc#157.itm(3)} -attr vt d
+load netBundle {ACC1:acc#157.itm} 4 {ACC1:acc#157.itm(0)} {ACC1:acc#157.itm(1)} {ACC1:acc#157.itm(2)} {ACC1:acc#157.itm(3)} -attr xrf 33590 -attr oid 754 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
+load net {conc#714.itm(0)} -attr vt d
+load net {conc#714.itm(1)} -attr vt d
+load net {conc#714.itm(2)} -attr vt d
+load netBundle {conc#714.itm} 3 {conc#714.itm(0)} {conc#714.itm(1)} {conc#714.itm(2)} -attr xrf 33591 -attr oid 755 -attr vt d -attr @path {/sobel/sobel:core/conc#714.itm}
+load net {ACC1:slc#23.itm(0)} -attr vt d
+load net {ACC1:slc#23.itm(1)} -attr vt d
+load netBundle {ACC1:slc#23.itm} 2 {ACC1:slc#23.itm(0)} {ACC1:slc#23.itm(1)} -attr xrf 33592 -attr oid 756 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#23.itm}
+load net {ACC1:acc#155.itm(0)} -attr vt d
+load net {ACC1:acc#155.itm(1)} -attr vt d
+load net {ACC1:acc#155.itm(2)} -attr vt d
+load netBundle {ACC1:acc#155.itm} 3 {ACC1:acc#155.itm(0)} {ACC1:acc#155.itm(1)} {ACC1:acc#155.itm(2)} -attr xrf 33593 -attr oid 757 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
+load net {conc#715.itm(0)} -attr vt d
+load net {conc#715.itm(1)} -attr vt d
+load netBundle {conc#715.itm} 2 {conc#715.itm(0)} {conc#715.itm(1)} -attr xrf 33594 -attr oid 758 -attr vt d -attr @path {/sobel/sobel:core/conc#715.itm}
+load net {ACC1:conc#482.itm(0)} -attr vt d
+load net {ACC1:conc#482.itm(1)} -attr vt d
+load netBundle {ACC1:conc#482.itm} 2 {ACC1:conc#482.itm(0)} {ACC1:conc#482.itm(1)} -attr xrf 33595 -attr oid 759 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#482.itm}
+load net {ACC1:conc#486.itm(0)} -attr vt d
+load net {ACC1:conc#486.itm(1)} -attr vt d
+load net {ACC1:conc#486.itm(2)} -attr vt d
+load netBundle {ACC1:conc#486.itm} 3 {ACC1:conc#486.itm(0)} {ACC1:conc#486.itm(1)} {ACC1:conc#486.itm(2)} -attr xrf 33596 -attr oid 760 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#486.itm}
+load net {ACC1:slc#22.itm(0)} -attr vt d
+load net {ACC1:slc#22.itm(1)} -attr vt d
+load netBundle {ACC1:slc#22.itm} 2 {ACC1:slc#22.itm(0)} {ACC1:slc#22.itm(1)} -attr xrf 33597 -attr oid 761 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#22.itm}
+load net {ACC1:acc#154.itm(0)} -attr vt d
+load net {ACC1:acc#154.itm(1)} -attr vt d
+load net {ACC1:acc#154.itm(2)} -attr vt d
+load netBundle {ACC1:acc#154.itm} 3 {ACC1:acc#154.itm(0)} {ACC1:acc#154.itm(1)} {ACC1:acc#154.itm(2)} -attr xrf 33598 -attr oid 762 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
+load net {conc#716.itm(0)} -attr vt d
+load net {conc#716.itm(1)} -attr vt d
+load netBundle {conc#716.itm} 2 {conc#716.itm(0)} {conc#716.itm(1)} -attr xrf 33599 -attr oid 763 -attr vt d -attr @path {/sobel/sobel:core/conc#716.itm}
+load net {ACC1:conc#480.itm(0)} -attr vt d
+load net {ACC1:conc#480.itm(1)} -attr vt d
+load netBundle {ACC1:conc#480.itm} 2 {ACC1:conc#480.itm(0)} {ACC1:conc#480.itm(1)} -attr xrf 33600 -attr oid 764 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#480.itm}
+load net {ACC1:slc#27.itm(0)} -attr vt d
+load net {ACC1:slc#27.itm(1)} -attr vt d
+load netBundle {ACC1:slc#27.itm} 2 {ACC1:slc#27.itm(0)} {ACC1:slc#27.itm(1)} -attr xrf 33601 -attr oid 765 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#27.itm}
+load net {ACC1:acc#159.itm(0)} -attr vt d
+load net {ACC1:acc#159.itm(1)} -attr vt d
+load net {ACC1:acc#159.itm(2)} -attr vt d
+load netBundle {ACC1:acc#159.itm} 3 {ACC1:acc#159.itm(0)} {ACC1:acc#159.itm(1)} {ACC1:acc#159.itm(2)} -attr xrf 33602 -attr oid 766 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
+load net {conc#717.itm(0)} -attr vt d
+load net {conc#717.itm(1)} -attr vt d
+load netBundle {conc#717.itm} 2 {conc#717.itm(0)} {conc#717.itm(1)} -attr xrf 33603 -attr oid 767 -attr vt d -attr @path {/sobel/sobel:core/conc#717.itm}
+load net {ACC1:conc#490.itm(0)} -attr vt d
+load net {ACC1:conc#490.itm(1)} -attr vt d
+load netBundle {ACC1:conc#490.itm} 2 {ACC1:conc#490.itm(0)} {ACC1:conc#490.itm(1)} -attr xrf 33604 -attr oid 768 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#490.itm}
+load net {ACC1:exs#850.itm(0)} -attr vt d
+load net {ACC1:exs#850.itm(1)} -attr vt d
+load netBundle {ACC1:exs#850.itm} 2 {ACC1:exs#850.itm(0)} {ACC1:exs#850.itm(1)} -attr xrf 33605 -attr oid 769 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#850.itm}
+load net {ACC1:exs#827.itm(0)} -attr vt d
+load net {ACC1:exs#827.itm(1)} -attr vt d
+load netBundle {ACC1:exs#827.itm} 2 {ACC1:exs#827.itm(0)} {ACC1:exs#827.itm(1)} -attr xrf 33606 -attr oid 770 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#827.itm}
+load net {ACC1:acc#187.itm(0)} -attr vt d
+load net {ACC1:acc#187.itm(1)} -attr vt d
+load net {ACC1:acc#187.itm(2)} -attr vt d
+load net {ACC1:acc#187.itm(3)} -attr vt d
+load netBundle {ACC1:acc#187.itm} 4 {ACC1:acc#187.itm(0)} {ACC1:acc#187.itm(1)} {ACC1:acc#187.itm(2)} {ACC1:acc#187.itm(3)} -attr xrf 33607 -attr oid 771 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
+load net {conc#718.itm(0)} -attr vt d
+load net {conc#718.itm(1)} -attr vt d
+load net {conc#718.itm(2)} -attr vt d
+load netBundle {conc#718.itm} 3 {conc#718.itm(0)} {conc#718.itm(1)} {conc#718.itm(2)} -attr xrf 33608 -attr oid 772 -attr vt d -attr @path {/sobel/sobel:core/conc#718.itm}
+load net {ACC1-3:not#149.itm(0)} -attr vt d
+load net {ACC1-3:not#149.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#149.itm} 2 {ACC1-3:not#149.itm(0)} {ACC1-3:not#149.itm(1)} -attr xrf 33609 -attr oid 773 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#149.itm}
+load net {slc(ACC1:acc#120.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#120.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#120.psp.sva).itm} 2 {slc(ACC1:acc#120.psp.sva).itm(0)} {slc(ACC1:acc#120.psp.sva).itm(1)} -attr xrf 33610 -attr oid 774 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp.sva).itm}
+load net {conc#719.itm(0)} -attr vt d
+load net {conc#719.itm(1)} -attr vt d
+load netBundle {conc#719.itm} 2 {conc#719.itm(0)} {conc#719.itm(1)} -attr xrf 33611 -attr oid 775 -attr vt d -attr @path {/sobel/sobel:core/conc#719.itm}
+load net {ACC1:acc#162.itm(0)} -attr vt d
+load net {ACC1:acc#162.itm(1)} -attr vt d
+load net {ACC1:acc#162.itm(2)} -attr vt d
+load net {ACC1:acc#162.itm(3)} -attr vt d
+load net {ACC1:acc#162.itm(4)} -attr vt d
+load net {ACC1:acc#162.itm(5)} -attr vt d
+load net {ACC1:acc#162.itm(6)} -attr vt d
+load net {ACC1:acc#162.itm(7)} -attr vt d
+load net {ACC1:acc#162.itm(8)} -attr vt d
+load net {ACC1:acc#162.itm(9)} -attr vt d
+load net {ACC1:acc#162.itm(10)} -attr vt d
+load netBundle {ACC1:acc#162.itm} 11 {ACC1:acc#162.itm(0)} {ACC1:acc#162.itm(1)} {ACC1:acc#162.itm(2)} {ACC1:acc#162.itm(3)} {ACC1:acc#162.itm(4)} {ACC1:acc#162.itm(5)} {ACC1:acc#162.itm(6)} {ACC1:acc#162.itm(7)} {ACC1:acc#162.itm(8)} {ACC1:acc#162.itm(9)} {ACC1:acc#162.itm(10)} -attr xrf 33612 -attr oid 776 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {slc(regs.regs(1).sva)#8.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#8.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#8.itm} 10 {slc(regs.regs(1).sva)#8.itm(0)} {slc(regs.regs(1).sva)#8.itm(1)} {slc(regs.regs(1).sva)#8.itm(2)} {slc(regs.regs(1).sva)#8.itm(3)} {slc(regs.regs(1).sva)#8.itm(4)} {slc(regs.regs(1).sva)#8.itm(5)} {slc(regs.regs(1).sva)#8.itm(6)} {slc(regs.regs(1).sva)#8.itm(7)} {slc(regs.regs(1).sva)#8.itm(8)} {slc(regs.regs(1).sva)#8.itm(9)} -attr xrf 33613 -attr oid 777 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {slc(regs.regs(1).sva)#9.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#9.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#9.itm} 10 {slc(regs.regs(1).sva)#9.itm(0)} {slc(regs.regs(1).sva)#9.itm(1)} {slc(regs.regs(1).sva)#9.itm(2)} {slc(regs.regs(1).sva)#9.itm(3)} {slc(regs.regs(1).sva)#9.itm(4)} {slc(regs.regs(1).sva)#9.itm(5)} {slc(regs.regs(1).sva)#9.itm(6)} {slc(regs.regs(1).sva)#9.itm(7)} {slc(regs.regs(1).sva)#9.itm(8)} {slc(regs.regs(1).sva)#9.itm(9)} -attr xrf 33614 -attr oid 778 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {slc(regs.regs(1).sva)#10.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#10.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#10.itm} 10 {slc(regs.regs(1).sva)#10.itm(0)} {slc(regs.regs(1).sva)#10.itm(1)} {slc(regs.regs(1).sva)#10.itm(2)} {slc(regs.regs(1).sva)#10.itm(3)} {slc(regs.regs(1).sva)#10.itm(4)} {slc(regs.regs(1).sva)#10.itm(5)} {slc(regs.regs(1).sva)#10.itm(6)} {slc(regs.regs(1).sva)#10.itm(7)} {slc(regs.regs(1).sva)#10.itm(8)} {slc(regs.regs(1).sva)#10.itm(9)} -attr xrf 33615 -attr oid 779 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {ACC1:slc#34.itm(0)} -attr vt d
+load net {ACC1:slc#34.itm(1)} -attr vt d
+load net {ACC1:slc#34.itm(2)} -attr vt d
+load net {ACC1:slc#34.itm(3)} -attr vt d
+load netBundle {ACC1:slc#34.itm} 4 {ACC1:slc#34.itm(0)} {ACC1:slc#34.itm(1)} {ACC1:slc#34.itm(2)} {ACC1:slc#34.itm(3)} -attr xrf 33616 -attr oid 780 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#167.itm(0)} -attr vt d
+load net {ACC1:acc#167.itm(1)} -attr vt d
+load net {ACC1:acc#167.itm(2)} -attr vt d
+load net {ACC1:acc#167.itm(3)} -attr vt d
+load net {ACC1:acc#167.itm(4)} -attr vt d
+load netBundle {ACC1:acc#167.itm} 5 {ACC1:acc#167.itm(0)} {ACC1:acc#167.itm(1)} {ACC1:acc#167.itm(2)} {ACC1:acc#167.itm(3)} {ACC1:acc#167.itm(4)} -attr xrf 33617 -attr oid 781 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
+load net {conc#720.itm(0)} -attr vt d
+load net {conc#720.itm(1)} -attr vt d
+load net {conc#720.itm(2)} -attr vt d
+load net {conc#720.itm(3)} -attr vt d
+load netBundle {conc#720.itm} 4 {conc#720.itm(0)} {conc#720.itm(1)} {conc#720.itm(2)} {conc#720.itm(3)} -attr xrf 33618 -attr oid 782 -attr vt d -attr @path {/sobel/sobel:core/conc#720.itm}
+load net {ACC1:slc#32.itm(0)} -attr vt d
+load net {ACC1:slc#32.itm(1)} -attr vt d
+load net {ACC1:slc#32.itm(2)} -attr vt d
+load netBundle {ACC1:slc#32.itm} 3 {ACC1:slc#32.itm(0)} {ACC1:slc#32.itm(1)} {ACC1:slc#32.itm(2)} -attr xrf 33619 -attr oid 783 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#32.itm}
+load net {ACC1:acc#165.itm(0)} -attr vt d
+load net {ACC1:acc#165.itm(1)} -attr vt d
+load net {ACC1:acc#165.itm(2)} -attr vt d
+load net {ACC1:acc#165.itm(3)} -attr vt d
+load netBundle {ACC1:acc#165.itm} 4 {ACC1:acc#165.itm(0)} {ACC1:acc#165.itm(1)} {ACC1:acc#165.itm(2)} {ACC1:acc#165.itm(3)} -attr xrf 33620 -attr oid 784 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
+load net {conc#721.itm(0)} -attr vt d
+load net {conc#721.itm(1)} -attr vt d
+load netBundle {conc#721.itm} 2 {conc#721.itm(0)} {conc#721.itm(1)} -attr xrf 33621 -attr oid 785 -attr vt d -attr @path {/sobel/sobel:core/conc#721.itm}
+load net {ACC1:conc#502.itm(0)} -attr vt d
+load net {ACC1:conc#502.itm(1)} -attr vt d
+load netBundle {ACC1:conc#502.itm} 2 {ACC1:conc#502.itm(0)} {ACC1:conc#502.itm(1)} -attr xrf 33622 -attr oid 786 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#502.itm}
+load net {conc#722.itm(0)} -attr vt d
+load net {conc#722.itm(1)} -attr vt d
+load net {conc#722.itm(2)} -attr vt d
+load net {conc#722.itm(3)} -attr vt d
+load netBundle {conc#722.itm} 4 {conc#722.itm(0)} {conc#722.itm(1)} {conc#722.itm(2)} {conc#722.itm(3)} -attr xrf 33623 -attr oid 787 -attr vt d -attr @path {/sobel/sobel:core/conc#722.itm}
+load net {ACC1:slc#33.itm(0)} -attr vt d
+load net {ACC1:slc#33.itm(1)} -attr vt d
+load net {ACC1:slc#33.itm(2)} -attr vt d
+load netBundle {ACC1:slc#33.itm} 3 {ACC1:slc#33.itm(0)} {ACC1:slc#33.itm(1)} {ACC1:slc#33.itm(2)} -attr xrf 33624 -attr oid 788 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#166.itm(0)} -attr vt d
+load net {ACC1:acc#166.itm(1)} -attr vt d
+load net {ACC1:acc#166.itm(2)} -attr vt d
+load net {ACC1:acc#166.itm(3)} -attr vt d
+load netBundle {ACC1:acc#166.itm} 4 {ACC1:acc#166.itm(0)} {ACC1:acc#166.itm(1)} {ACC1:acc#166.itm(2)} {ACC1:acc#166.itm(3)} -attr xrf 33625 -attr oid 789 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
+load net {conc#723.itm(0)} -attr vt d
+load net {conc#723.itm(1)} -attr vt d
+load net {conc#723.itm(2)} -attr vt d
+load netBundle {conc#723.itm} 3 {conc#723.itm(0)} {conc#723.itm(1)} {conc#723.itm(2)} -attr xrf 33626 -attr oid 790 -attr vt d -attr @path {/sobel/sobel:core/conc#723.itm}
+load net {ACC1:slc#31.itm(0)} -attr vt d
+load net {ACC1:slc#31.itm(1)} -attr vt d
+load netBundle {ACC1:slc#31.itm} 2 {ACC1:slc#31.itm(0)} {ACC1:slc#31.itm(1)} -attr xrf 33627 -attr oid 791 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#31.itm}
+load net {ACC1:acc#164.itm(0)} -attr vt d
+load net {ACC1:acc#164.itm(1)} -attr vt d
+load net {ACC1:acc#164.itm(2)} -attr vt d
+load netBundle {ACC1:acc#164.itm} 3 {ACC1:acc#164.itm(0)} {ACC1:acc#164.itm(1)} {ACC1:acc#164.itm(2)} -attr xrf 33628 -attr oid 792 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
+load net {conc#724.itm(0)} -attr vt d
+load net {conc#724.itm(1)} -attr vt d
+load netBundle {conc#724.itm} 2 {conc#724.itm(0)} {conc#724.itm(1)} -attr xrf 33629 -attr oid 793 -attr vt d -attr @path {/sobel/sobel:core/conc#724.itm}
+load net {ACC1:conc#500.itm(0)} -attr vt d
+load net {ACC1:conc#500.itm(1)} -attr vt d
+load netBundle {ACC1:conc#500.itm} 2 {ACC1:conc#500.itm(0)} {ACC1:conc#500.itm(1)} -attr xrf 33630 -attr oid 794 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#500.itm}
+load net {ACC1:conc#504.itm(0)} -attr vt d
+load net {ACC1:conc#504.itm(1)} -attr vt d
+load net {ACC1:conc#504.itm(2)} -attr vt d
+load netBundle {ACC1:conc#504.itm} 3 {ACC1:conc#504.itm(0)} {ACC1:conc#504.itm(1)} {ACC1:conc#504.itm(2)} -attr xrf 33631 -attr oid 795 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#504.itm}
+load net {ACC1:slc#30.itm(0)} -attr vt d
+load net {ACC1:slc#30.itm(1)} -attr vt d
+load netBundle {ACC1:slc#30.itm} 2 {ACC1:slc#30.itm(0)} {ACC1:slc#30.itm(1)} -attr xrf 33632 -attr oid 796 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#30.itm}
+load net {ACC1:acc#163.itm(0)} -attr vt d
+load net {ACC1:acc#163.itm(1)} -attr vt d
+load net {ACC1:acc#163.itm(2)} -attr vt d
+load netBundle {ACC1:acc#163.itm} 3 {ACC1:acc#163.itm(0)} {ACC1:acc#163.itm(1)} {ACC1:acc#163.itm(2)} -attr xrf 33633 -attr oid 797 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
+load net {conc#725.itm(0)} -attr vt d
+load net {conc#725.itm(1)} -attr vt d
+load netBundle {conc#725.itm} 2 {conc#725.itm(0)} {conc#725.itm(1)} -attr xrf 33634 -attr oid 798 -attr vt d -attr @path {/sobel/sobel:core/conc#725.itm}
+load net {ACC1:conc#498.itm(0)} -attr vt d
+load net {ACC1:conc#498.itm(1)} -attr vt d
+load netBundle {ACC1:conc#498.itm} 2 {ACC1:conc#498.itm(0)} {ACC1:conc#498.itm(1)} -attr xrf 33635 -attr oid 799 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#498.itm}
+load net {ACC1:slc#35.itm(0)} -attr vt d
+load net {ACC1:slc#35.itm(1)} -attr vt d
+load netBundle {ACC1:slc#35.itm} 2 {ACC1:slc#35.itm(0)} {ACC1:slc#35.itm(1)} -attr xrf 33636 -attr oid 800 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#35.itm}
+load net {ACC1:acc#168.itm(0)} -attr vt d
+load net {ACC1:acc#168.itm(1)} -attr vt d
+load net {ACC1:acc#168.itm(2)} -attr vt d
+load netBundle {ACC1:acc#168.itm} 3 {ACC1:acc#168.itm(0)} {ACC1:acc#168.itm(1)} {ACC1:acc#168.itm(2)} -attr xrf 33637 -attr oid 801 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
+load net {conc#726.itm(0)} -attr vt d
+load net {conc#726.itm(1)} -attr vt d
+load netBundle {conc#726.itm} 2 {conc#726.itm(0)} {conc#726.itm(1)} -attr xrf 33638 -attr oid 802 -attr vt d -attr @path {/sobel/sobel:core/conc#726.itm}
+load net {ACC1:conc#508.itm(0)} -attr vt d
+load net {ACC1:conc#508.itm(1)} -attr vt d
+load netBundle {ACC1:conc#508.itm} 2 {ACC1:conc#508.itm(0)} {ACC1:conc#508.itm(1)} -attr xrf 33639 -attr oid 803 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#508.itm}
+load net {ACC1-3:exs#562.itm(0)} -attr vt d
+load net {ACC1-3:exs#562.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#562.itm} 2 {ACC1-3:exs#562.itm(0)} {ACC1-3:exs#562.itm(1)} -attr xrf 33640 -attr oid 804 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#562.itm}
+load net {ACC1-3:exs#551.itm(0)} -attr vt d
+load net {ACC1-3:exs#551.itm(1)} -attr vt d
+load netBundle {ACC1-3:exs#551.itm} 2 {ACC1-3:exs#551.itm(0)} {ACC1-3:exs#551.itm(1)} -attr xrf 33641 -attr oid 805 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#551.itm}
+load net {ACC1:acc#170.itm(0)} -attr vt d
+load net {ACC1:acc#170.itm(1)} -attr vt d
+load net {ACC1:acc#170.itm(2)} -attr vt d
+load netBundle {ACC1:acc#170.itm} 3 {ACC1:acc#170.itm(0)} {ACC1:acc#170.itm(1)} {ACC1:acc#170.itm(2)} -attr xrf 33642 -attr oid 806 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
+load net {conc#727.itm(0)} -attr vt d
+load net {conc#727.itm(1)} -attr vt d
+load net {conc#727.itm(2)} -attr vt d
+load netBundle {conc#727.itm} 3 {conc#727.itm(0)} {conc#727.itm(1)} {conc#727.itm(2)} -attr xrf 33643 -attr oid 807 -attr vt d -attr @path {/sobel/sobel:core/conc#727.itm}
+load net {ACC1:conc#513.itm(0)} -attr vt d
+load net {ACC1:conc#513.itm(1)} -attr vt d
+load netBundle {ACC1:conc#513.itm} 2 {ACC1:conc#513.itm(0)} {ACC1:conc#513.itm(1)} -attr xrf 33644 -attr oid 808 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#513.itm}
+load net {ACC1:acc#133.itm(0)} -attr vt d
+load net {ACC1:acc#133.itm(1)} -attr vt d
+load net {ACC1:acc#133.itm(2)} -attr vt d
+load net {ACC1:acc#133.itm(3)} -attr vt d
+load net {ACC1:acc#133.itm(4)} -attr vt d
+load net {ACC1:acc#133.itm(5)} -attr vt d
+load net {ACC1:acc#133.itm(6)} -attr vt d
+load net {ACC1:acc#133.itm(7)} -attr vt d
+load net {ACC1:acc#133.itm(8)} -attr vt d
+load net {ACC1:acc#133.itm(9)} -attr vt d
+load net {ACC1:acc#133.itm(10)} -attr vt d
+load netBundle {ACC1:acc#133.itm} 11 {ACC1:acc#133.itm(0)} {ACC1:acc#133.itm(1)} {ACC1:acc#133.itm(2)} {ACC1:acc#133.itm(3)} {ACC1:acc#133.itm(4)} {ACC1:acc#133.itm(5)} {ACC1:acc#133.itm(6)} {ACC1:acc#133.itm(7)} {ACC1:acc#133.itm(8)} {ACC1:acc#133.itm(9)} {ACC1:acc#133.itm(10)} -attr xrf 33645 -attr oid 809 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:not.itm(0)} -attr vt d
+load net {ACC1:not.itm(1)} -attr vt d
+load net {ACC1:not.itm(2)} -attr vt d
+load net {ACC1:not.itm(3)} -attr vt d
+load net {ACC1:not.itm(4)} -attr vt d
+load net {ACC1:not.itm(5)} -attr vt d
+load net {ACC1:not.itm(6)} -attr vt d
+load net {ACC1:not.itm(7)} -attr vt d
+load net {ACC1:not.itm(8)} -attr vt d
+load net {ACC1:not.itm(9)} -attr vt d
+load netBundle {ACC1:not.itm} 10 {ACC1:not.itm(0)} {ACC1:not.itm(1)} {ACC1:not.itm(2)} {ACC1:not.itm(3)} {ACC1:not.itm(4)} {ACC1:not.itm(5)} {ACC1:not.itm(6)} {ACC1:not.itm(7)} {ACC1:not.itm(8)} {ACC1:not.itm(9)} -attr xrf 33646 -attr oid 810 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {slc(regs.regs(0).sva#7).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#7).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#7).itm} 10 {slc(regs.regs(0).sva#7).itm(0)} {slc(regs.regs(0).sva#7).itm(1)} {slc(regs.regs(0).sva#7).itm(2)} {slc(regs.regs(0).sva#7).itm(3)} {slc(regs.regs(0).sva#7).itm(4)} {slc(regs.regs(0).sva#7).itm(5)} {slc(regs.regs(0).sva#7).itm(6)} {slc(regs.regs(0).sva#7).itm(7)} {slc(regs.regs(0).sva#7).itm(8)} {slc(regs.regs(0).sva#7).itm(9)} -attr xrf 33647 -attr oid 811 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {ACC1:not#156.itm(0)} -attr vt d
+load net {ACC1:not#156.itm(1)} -attr vt d
+load net {ACC1:not#156.itm(2)} -attr vt d
+load net {ACC1:not#156.itm(3)} -attr vt d
+load net {ACC1:not#156.itm(4)} -attr vt d
+load net {ACC1:not#156.itm(5)} -attr vt d
+load net {ACC1:not#156.itm(6)} -attr vt d
+load net {ACC1:not#156.itm(7)} -attr vt d
+load net {ACC1:not#156.itm(8)} -attr vt d
+load net {ACC1:not#156.itm(9)} -attr vt d
+load netBundle {ACC1:not#156.itm} 10 {ACC1:not#156.itm(0)} {ACC1:not#156.itm(1)} {ACC1:not#156.itm(2)} {ACC1:not#156.itm(3)} {ACC1:not#156.itm(4)} {ACC1:not#156.itm(5)} {ACC1:not#156.itm(6)} {ACC1:not#156.itm(7)} {ACC1:not#156.itm(8)} {ACC1:not#156.itm(9)} -attr xrf 33648 -attr oid 812 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {slc(regs.regs(0).sva#8).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#8).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#8).itm} 10 {slc(regs.regs(0).sva#8).itm(0)} {slc(regs.regs(0).sva#8).itm(1)} {slc(regs.regs(0).sva#8).itm(2)} {slc(regs.regs(0).sva#8).itm(3)} {slc(regs.regs(0).sva#8).itm(4)} {slc(regs.regs(0).sva#8).itm(5)} {slc(regs.regs(0).sva#8).itm(6)} {slc(regs.regs(0).sva#8).itm(7)} {slc(regs.regs(0).sva#8).itm(8)} {slc(regs.regs(0).sva#8).itm(9)} -attr xrf 33649 -attr oid 813 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {ACC1:acc#132.itm(0)} -attr vt d
+load net {ACC1:acc#132.itm(1)} -attr vt d
+load net {ACC1:acc#132.itm(2)} -attr vt d
+load net {ACC1:acc#132.itm(3)} -attr vt d
+load net {ACC1:acc#132.itm(4)} -attr vt d
+load net {ACC1:acc#132.itm(5)} -attr vt d
+load net {ACC1:acc#132.itm(6)} -attr vt d
+load net {ACC1:acc#132.itm(7)} -attr vt d
+load net {ACC1:acc#132.itm(8)} -attr vt d
+load net {ACC1:acc#132.itm(9)} -attr vt d
+load net {ACC1:acc#132.itm(10)} -attr vt d
+load netBundle {ACC1:acc#132.itm} 11 {ACC1:acc#132.itm(0)} {ACC1:acc#132.itm(1)} {ACC1:acc#132.itm(2)} {ACC1:acc#132.itm(3)} {ACC1:acc#132.itm(4)} {ACC1:acc#132.itm(5)} {ACC1:acc#132.itm(6)} {ACC1:acc#132.itm(7)} {ACC1:acc#132.itm(8)} {ACC1:acc#132.itm(9)} {ACC1:acc#132.itm(10)} -attr xrf 33650 -attr oid 814 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:not#157.itm(0)} -attr vt d
+load net {ACC1:not#157.itm(1)} -attr vt d
+load net {ACC1:not#157.itm(2)} -attr vt d
+load net {ACC1:not#157.itm(3)} -attr vt d
+load net {ACC1:not#157.itm(4)} -attr vt d
+load net {ACC1:not#157.itm(5)} -attr vt d
+load net {ACC1:not#157.itm(6)} -attr vt d
+load net {ACC1:not#157.itm(7)} -attr vt d
+load net {ACC1:not#157.itm(8)} -attr vt d
+load net {ACC1:not#157.itm(9)} -attr vt d
+load netBundle {ACC1:not#157.itm} 10 {ACC1:not#157.itm(0)} {ACC1:not#157.itm(1)} {ACC1:not#157.itm(2)} {ACC1:not#157.itm(3)} {ACC1:not#157.itm(4)} {ACC1:not#157.itm(5)} {ACC1:not#157.itm(6)} {ACC1:not#157.itm(7)} {ACC1:not#157.itm(8)} {ACC1:not#157.itm(9)} -attr xrf 33651 -attr oid 815 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {slc(regs.regs(0).sva#9).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva#9).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva#9).itm} 10 {slc(regs.regs(0).sva#9).itm(0)} {slc(regs.regs(0).sva#9).itm(1)} {slc(regs.regs(0).sva#9).itm(2)} {slc(regs.regs(0).sva#9).itm(3)} {slc(regs.regs(0).sva#9).itm(4)} {slc(regs.regs(0).sva#9).itm(5)} {slc(regs.regs(0).sva#9).itm(6)} {slc(regs.regs(0).sva#9).itm(7)} {slc(regs.regs(0).sva#9).itm(8)} {slc(regs.regs(0).sva#9).itm(9)} -attr xrf 33652 -attr oid 816 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {ACC1:acc#141.itm(0)} -attr vt d
+load net {ACC1:acc#141.itm(1)} -attr vt d
+load net {ACC1:acc#141.itm(2)} -attr vt d
+load netBundle {ACC1:acc#141.itm} 3 {ACC1:acc#141.itm(0)} {ACC1:acc#141.itm(1)} {ACC1:acc#141.itm(2)} -attr xrf 33653 -attr oid 817 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
+load net {conc#728.itm(0)} -attr vt d
+load net {conc#728.itm(1)} -attr vt d
+load net {conc#728.itm(2)} -attr vt d
+load netBundle {conc#728.itm} 3 {conc#728.itm(0)} {conc#728.itm(1)} {conc#728.itm(2)} -attr xrf 33654 -attr oid 818 -attr vt d -attr @path {/sobel/sobel:core/conc#728.itm}
+load net {ACC1:conc#459.itm(0)} -attr vt d
+load net {ACC1:conc#459.itm(1)} -attr vt d
+load netBundle {ACC1:conc#459.itm} 2 {ACC1:conc#459.itm(0)} {ACC1:conc#459.itm(1)} -attr xrf 33655 -attr oid 819 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#459.itm}
+load net {ACC1:acc#140.itm(0)} -attr vt d
+load net {ACC1:acc#140.itm(1)} -attr vt d
+load net {ACC1:acc#140.itm(2)} -attr vt d
+load net {ACC1:acc#140.itm(3)} -attr vt d
+load netBundle {ACC1:acc#140.itm} 4 {ACC1:acc#140.itm(0)} {ACC1:acc#140.itm(1)} {ACC1:acc#140.itm(2)} {ACC1:acc#140.itm(3)} -attr xrf 33656 -attr oid 820 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
+load net {conc#729.itm(0)} -attr vt d
+load net {conc#729.itm(1)} -attr vt d
+load net {conc#729.itm(2)} -attr vt d
+load netBundle {conc#729.itm} 3 {conc#729.itm(0)} {conc#729.itm(1)} {conc#729.itm(2)} -attr xrf 33657 -attr oid 821 -attr vt d -attr @path {/sobel/sobel:core/conc#729.itm}
+load net {ACC1-1:not#145.itm(0)} -attr vt d
+load net {ACC1-1:not#145.itm(1)} -attr vt d
+load netBundle {ACC1-1:not#145.itm} 2 {ACC1-1:not#145.itm(0)} {ACC1-1:not#145.itm(1)} -attr xrf 33658 -attr oid 822 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#145.itm}
+load net {slc(ACC1:acc#116.psp#1.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#116.psp#1.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#116.psp#1.sva).itm} 2 {slc(ACC1:acc#116.psp#1.sva).itm(0)} {slc(ACC1:acc#116.psp#1.sva).itm(1)} -attr xrf 33659 -attr oid 823 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp#1.sva).itm}
+load net {conc#730.itm(0)} -attr vt d
+load net {conc#730.itm(1)} -attr vt d
+load netBundle {conc#730.itm} 2 {conc#730.itm(0)} {conc#730.itm(1)} -attr xrf 33660 -attr oid 824 -attr vt d -attr @path {/sobel/sobel:core/conc#730.itm}
+load net {ACC1:slc#10.itm(0)} -attr vt d
+load net {ACC1:slc#10.itm(1)} -attr vt d
+load net {ACC1:slc#10.itm(2)} -attr vt d
+load net {ACC1:slc#10.itm(3)} -attr vt d
+load netBundle {ACC1:slc#10.itm} 4 {ACC1:slc#10.itm(0)} {ACC1:slc#10.itm(1)} {ACC1:slc#10.itm(2)} {ACC1:slc#10.itm(3)} -attr xrf 33661 -attr oid 825 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#10.itm}
+load net {ACC1:acc#138.itm(0)} -attr vt d
+load net {ACC1:acc#138.itm(1)} -attr vt d
+load net {ACC1:acc#138.itm(2)} -attr vt d
+load net {ACC1:acc#138.itm(3)} -attr vt d
+load net {ACC1:acc#138.itm(4)} -attr vt d
+load netBundle {ACC1:acc#138.itm} 5 {ACC1:acc#138.itm(0)} {ACC1:acc#138.itm(1)} {ACC1:acc#138.itm(2)} {ACC1:acc#138.itm(3)} {ACC1:acc#138.itm(4)} -attr xrf 33662 -attr oid 826 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
+load net {conc#731.itm(0)} -attr vt d
+load net {conc#731.itm(1)} -attr vt d
+load net {conc#731.itm(2)} -attr vt d
+load net {conc#731.itm(3)} -attr vt d
+load netBundle {conc#731.itm} 4 {conc#731.itm(0)} {conc#731.itm(1)} {conc#731.itm(2)} {conc#731.itm(3)} -attr xrf 33663 -attr oid 827 -attr vt d -attr @path {/sobel/sobel:core/conc#731.itm}
+load net {ACC1:slc#8.itm(0)} -attr vt d
+load net {ACC1:slc#8.itm(1)} -attr vt d
+load net {ACC1:slc#8.itm(2)} -attr vt d
+load netBundle {ACC1:slc#8.itm} 3 {ACC1:slc#8.itm(0)} {ACC1:slc#8.itm(1)} {ACC1:slc#8.itm(2)} -attr xrf 33664 -attr oid 828 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#8.itm}
+load net {ACC1:acc#136.itm(0)} -attr vt d
+load net {ACC1:acc#136.itm(1)} -attr vt d
+load net {ACC1:acc#136.itm(2)} -attr vt d
+load net {ACC1:acc#136.itm(3)} -attr vt d
+load netBundle {ACC1:acc#136.itm} 4 {ACC1:acc#136.itm(0)} {ACC1:acc#136.itm(1)} {ACC1:acc#136.itm(2)} {ACC1:acc#136.itm(3)} -attr xrf 33665 -attr oid 829 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
+load net {conc#732.itm(0)} -attr vt d
+load net {conc#732.itm(1)} -attr vt d
+load netBundle {conc#732.itm} 2 {conc#732.itm(0)} {conc#732.itm(1)} -attr xrf 33666 -attr oid 830 -attr vt d -attr @path {/sobel/sobel:core/conc#732.itm}
+load net {ACC1:conc#448.itm(0)} -attr vt d
+load net {ACC1:conc#448.itm(1)} -attr vt d
+load netBundle {ACC1:conc#448.itm} 2 {ACC1:conc#448.itm(0)} {ACC1:conc#448.itm(1)} -attr xrf 33667 -attr oid 831 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#448.itm}
+load net {conc#733.itm(0)} -attr vt d
+load net {conc#733.itm(1)} -attr vt d
+load net {conc#733.itm(2)} -attr vt d
+load net {conc#733.itm(3)} -attr vt d
+load netBundle {conc#733.itm} 4 {conc#733.itm(0)} {conc#733.itm(1)} {conc#733.itm(2)} {conc#733.itm(3)} -attr xrf 33668 -attr oid 832 -attr vt d -attr @path {/sobel/sobel:core/conc#733.itm}
+load net {ACC1:slc.itm(0)} -attr vt d
+load net {ACC1:slc.itm(1)} -attr vt d
+load net {ACC1:slc.itm(2)} -attr vt d
+load netBundle {ACC1:slc.itm} 3 {ACC1:slc.itm(0)} {ACC1:slc.itm(1)} {ACC1:slc.itm(2)} -attr xrf 33669 -attr oid 833 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc.itm}
+load net {ACC1:acc#137.itm(0)} -attr vt d
+load net {ACC1:acc#137.itm(1)} -attr vt d
+load net {ACC1:acc#137.itm(2)} -attr vt d
+load net {ACC1:acc#137.itm(3)} -attr vt d
+load netBundle {ACC1:acc#137.itm} 4 {ACC1:acc#137.itm(0)} {ACC1:acc#137.itm(1)} {ACC1:acc#137.itm(2)} {ACC1:acc#137.itm(3)} -attr xrf 33670 -attr oid 834 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
+load net {conc#734.itm(0)} -attr vt d
+load net {conc#734.itm(1)} -attr vt d
+load net {conc#734.itm(2)} -attr vt d
+load netBundle {conc#734.itm} 3 {conc#734.itm(0)} {conc#734.itm(1)} {conc#734.itm(2)} -attr xrf 33671 -attr oid 835 -attr vt d -attr @path {/sobel/sobel:core/conc#734.itm}
+load net {ACC1:slc#7.itm(0)} -attr vt d
+load net {ACC1:slc#7.itm(1)} -attr vt d
+load netBundle {ACC1:slc#7.itm} 2 {ACC1:slc#7.itm(0)} {ACC1:slc#7.itm(1)} -attr xrf 33672 -attr oid 836 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#7.itm}
+load net {ACC1:acc#135.itm(0)} -attr vt d
+load net {ACC1:acc#135.itm(1)} -attr vt d
+load net {ACC1:acc#135.itm(2)} -attr vt d
+load netBundle {ACC1:acc#135.itm} 3 {ACC1:acc#135.itm(0)} {ACC1:acc#135.itm(1)} {ACC1:acc#135.itm(2)} -attr xrf 33673 -attr oid 837 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
+load net {conc#735.itm(0)} -attr vt d
+load net {conc#735.itm(1)} -attr vt d
+load netBundle {conc#735.itm} 2 {conc#735.itm(0)} {conc#735.itm(1)} -attr xrf 33674 -attr oid 838 -attr vt d -attr @path {/sobel/sobel:core/conc#735.itm}
+load net {ACC1:conc#446.itm(0)} -attr vt d
+load net {ACC1:conc#446.itm(1)} -attr vt d
+load netBundle {ACC1:conc#446.itm} 2 {ACC1:conc#446.itm(0)} {ACC1:conc#446.itm(1)} -attr xrf 33675 -attr oid 839 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#446.itm}
+load net {ACC1:conc#450.itm(0)} -attr vt d
+load net {ACC1:conc#450.itm(1)} -attr vt d
+load net {ACC1:conc#450.itm(2)} -attr vt d
+load netBundle {ACC1:conc#450.itm} 3 {ACC1:conc#450.itm(0)} {ACC1:conc#450.itm(1)} {ACC1:conc#450.itm(2)} -attr xrf 33676 -attr oid 840 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#450.itm}
+load net {ACC1:slc#9.itm(0)} -attr vt d
+load net {ACC1:slc#9.itm(1)} -attr vt d
+load netBundle {ACC1:slc#9.itm} 2 {ACC1:slc#9.itm(0)} {ACC1:slc#9.itm(1)} -attr xrf 33677 -attr oid 841 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#9.itm}
+load net {ACC1:acc#134.itm(0)} -attr vt d
+load net {ACC1:acc#134.itm(1)} -attr vt d
+load net {ACC1:acc#134.itm(2)} -attr vt d
+load netBundle {ACC1:acc#134.itm} 3 {ACC1:acc#134.itm(0)} {ACC1:acc#134.itm(1)} {ACC1:acc#134.itm(2)} -attr xrf 33678 -attr oid 842 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
+load net {conc#736.itm(0)} -attr vt d
+load net {conc#736.itm(1)} -attr vt d
+load netBundle {conc#736.itm} 2 {conc#736.itm(0)} {conc#736.itm(1)} -attr xrf 33679 -attr oid 843 -attr vt d -attr @path {/sobel/sobel:core/conc#736.itm}
+load net {ACC1:conc#444.itm(0)} -attr vt d
+load net {ACC1:conc#444.itm(1)} -attr vt d
+load netBundle {ACC1:conc#444.itm} 2 {ACC1:conc#444.itm(0)} {ACC1:conc#444.itm(1)} -attr xrf 33680 -attr oid 844 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#444.itm}
+load net {ACC1:slc#11.itm(0)} -attr vt d
+load net {ACC1:slc#11.itm(1)} -attr vt d
+load netBundle {ACC1:slc#11.itm} 2 {ACC1:slc#11.itm(0)} {ACC1:slc#11.itm(1)} -attr xrf 33681 -attr oid 845 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#11.itm}
+load net {ACC1:acc#139.itm(0)} -attr vt d
+load net {ACC1:acc#139.itm(1)} -attr vt d
+load net {ACC1:acc#139.itm(2)} -attr vt d
+load netBundle {ACC1:acc#139.itm} 3 {ACC1:acc#139.itm(0)} {ACC1:acc#139.itm(1)} {ACC1:acc#139.itm(2)} -attr xrf 33682 -attr oid 846 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
+load net {conc#737.itm(0)} -attr vt d
+load net {conc#737.itm(1)} -attr vt d
+load netBundle {conc#737.itm} 2 {conc#737.itm(0)} {conc#737.itm(1)} -attr xrf 33683 -attr oid 847 -attr vt d -attr @path {/sobel/sobel:core/conc#737.itm}
+load net {ACC1:conc#454.itm(0)} -attr vt d
+load net {ACC1:conc#454.itm(1)} -attr vt d
+load netBundle {ACC1:conc#454.itm} 2 {ACC1:conc#454.itm(0)} {ACC1:conc#454.itm(1)} -attr xrf 33684 -attr oid 848 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#454.itm}
+load net {ACC1:exs#858.itm(0)} -attr vt d
+load net {ACC1:exs#858.itm(1)} -attr vt d
+load netBundle {ACC1:exs#858.itm} 2 {ACC1:exs#858.itm(0)} {ACC1:exs#858.itm(1)} -attr xrf 33685 -attr oid 849 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#858.itm}
+load net {ACC1:exs#833.itm(0)} -attr vt d
+load net {ACC1:exs#833.itm(1)} -attr vt d
+load netBundle {ACC1:exs#833.itm} 2 {ACC1:exs#833.itm(0)} {ACC1:exs#833.itm(1)} -attr xrf 33686 -attr oid 850 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#833.itm}
+load net {ACC1:acc#169.itm(0)} -attr vt d
+load net {ACC1:acc#169.itm(1)} -attr vt d
+load net {ACC1:acc#169.itm(2)} -attr vt d
+load net {ACC1:acc#169.itm(3)} -attr vt d
+load netBundle {ACC1:acc#169.itm} 4 {ACC1:acc#169.itm(0)} {ACC1:acc#169.itm(1)} {ACC1:acc#169.itm(2)} {ACC1:acc#169.itm(3)} -attr xrf 33687 -attr oid 851 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
+load net {conc#738.itm(0)} -attr vt d
+load net {conc#738.itm(1)} -attr vt d
+load net {conc#738.itm(2)} -attr vt d
+load netBundle {conc#738.itm} 3 {conc#738.itm(0)} {conc#738.itm(1)} {conc#738.itm(2)} -attr xrf 33688 -attr oid 852 -attr vt d -attr @path {/sobel/sobel:core/conc#738.itm}
+load net {ACC1-3:not#145.itm(0)} -attr vt d
+load net {ACC1-3:not#145.itm(1)} -attr vt d
+load netBundle {ACC1-3:not#145.itm} 2 {ACC1-3:not#145.itm(0)} {ACC1-3:not#145.itm(1)} -attr xrf 33689 -attr oid 853 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#145.itm}
+load net {slc(ACC1:acc#116.psp.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#116.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#116.psp.sva).itm} 2 {slc(ACC1:acc#116.psp.sva).itm(0)} {slc(ACC1:acc#116.psp.sva).itm(1)} -attr xrf 33690 -attr oid 854 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp.sva).itm}
+load net {conc#739.itm(0)} -attr vt d
+load net {conc#739.itm(1)} -attr vt d
+load netBundle {conc#739.itm} 2 {conc#739.itm(0)} {conc#739.itm(1)} -attr xrf 33691 -attr oid 855 -attr vt d -attr @path {/sobel/sobel:core/conc#739.itm}
+load net {slc(FRAME:p#1.sva#2).itm(0)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(1)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(2)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(3)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(4)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(5)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(6)} -attr vt d
+load netBundle {slc(FRAME:p#1.sva#2).itm} 7 {slc(FRAME:p#1.sva#2).itm(0)} {slc(FRAME:p#1.sva#2).itm(1)} {slc(FRAME:p#1.sva#2).itm(2)} {slc(FRAME:p#1.sva#2).itm(3)} {slc(FRAME:p#1.sva#2).itm(4)} {slc(FRAME:p#1.sva#2).itm(5)} {slc(FRAME:p#1.sva#2).itm(6)} -attr xrf 33692 -attr oid 856 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:for:exs.itm(0)} -attr vt d
+load net {FRAME:for:exs.itm(1)} -attr vt d
+load net {FRAME:for:exs.itm(2)} -attr vt d
+load net {FRAME:for:exs.itm(3)} -attr vt d
+load net {FRAME:for:exs.itm(4)} -attr vt d
+load net {FRAME:for:exs.itm(5)} -attr vt d
+load net {FRAME:for:exs.itm(6)} -attr vt d
+load net {FRAME:for:exs.itm(7)} -attr vt d
+load net {FRAME:for:exs.itm(8)} -attr vt d
+load net {FRAME:for:exs.itm(9)} -attr vt d
+load net {FRAME:for:exs.itm(10)} -attr vt d
+load net {FRAME:for:exs.itm(11)} -attr vt d
+load net {FRAME:for:exs.itm(12)} -attr vt d
+load net {FRAME:for:exs.itm(13)} -attr vt d
+load net {FRAME:for:exs.itm(14)} -attr vt d
+load net {FRAME:for:exs.itm(15)} -attr vt d
+load net {FRAME:for:exs.itm(16)} -attr vt d
+load net {FRAME:for:exs.itm(17)} -attr vt d
+load net {FRAME:for:exs.itm(18)} -attr vt d
+load netBundle {FRAME:for:exs.itm} 19 {FRAME:for:exs.itm(0)} {FRAME:for:exs.itm(1)} {FRAME:for:exs.itm(2)} {FRAME:for:exs.itm(3)} {FRAME:for:exs.itm(4)} {FRAME:for:exs.itm(5)} {FRAME:for:exs.itm(6)} {FRAME:for:exs.itm(7)} {FRAME:for:exs.itm(8)} {FRAME:for:exs.itm(9)} {FRAME:for:exs.itm(10)} {FRAME:for:exs.itm(11)} {FRAME:for:exs.itm(12)} {FRAME:for:exs.itm(13)} {FRAME:for:exs.itm(14)} {FRAME:for:exs.itm(15)} {FRAME:for:exs.itm(16)} {FRAME:for:exs.itm(17)} {FRAME:for:exs.itm(18)} -attr xrf 33693 -attr oid 857 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {slc(acc.imod#18.sva).itm(0)} -attr vt d
+load net {slc(acc.imod#18.sva).itm(1)} -attr vt d
+load netBundle {slc(acc.imod#18.sva).itm} 2 {slc(acc.imod#18.sva).itm(0)} {slc(acc.imod#18.sva).itm(1)} -attr xrf 33694 -attr oid 858 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva).itm}
+load net {slc(ACC1:acc#110.psp#2.sva).itm(0)} -attr vt d
+load net {slc(ACC1:acc#110.psp#2.sva).itm(1)} -attr vt d
+load net {slc(ACC1:acc#110.psp#2.sva).itm(2)} -attr vt d
+load netBundle {slc(ACC1:acc#110.psp#2.sva).itm} 3 {slc(ACC1:acc#110.psp#2.sva).itm(0)} {slc(ACC1:acc#110.psp#2.sva).itm(1)} {slc(ACC1:acc#110.psp#2.sva).itm(2)} -attr xrf 33695 -attr oid 859 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.sva).itm}
+load net {ACC1:slc#21.itm(0)} -attr vt d
+load net {ACC1:slc#21.itm(1)} -attr vt d
+load netBundle {ACC1:slc#21.itm} 2 {ACC1:slc#21.itm(0)} {ACC1:slc#21.itm(1)} -attr xrf 33696 -attr oid 860 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#21.itm}
+load net {ACC1:acc#151.itm(0)} -attr vt d
+load net {ACC1:acc#151.itm(1)} -attr vt d
+load net {ACC1:acc#151.itm(2)} -attr vt d
+load netBundle {ACC1:acc#151.itm} 3 {ACC1:acc#151.itm(0)} {ACC1:acc#151.itm(1)} {ACC1:acc#151.itm(2)} -attr xrf 33697 -attr oid 861 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
+load net {conc#740.itm(0)} -attr vt d
+load net {conc#740.itm(1)} -attr vt d
+load net {conc#740.itm(2)} -attr vt d
+load netBundle {conc#740.itm} 3 {conc#740.itm(0)} {conc#740.itm(1)} {conc#740.itm(2)} -attr xrf 33698 -attr oid 862 -attr vt d -attr @path {/sobel/sobel:core/conc#740.itm}
+load net {ACC1:conc#477.itm(0)} -attr vt d
+load net {ACC1:conc#477.itm(1)} -attr vt d
+load netBundle {ACC1:conc#477.itm} 2 {ACC1:conc#477.itm(0)} {ACC1:conc#477.itm(1)} -attr xrf 33699 -attr oid 863 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#477.itm}
+load net {slc(ACC1:acc#118.psp#1.sva)#2.itm(0)} -attr vt d
+load net {slc(ACC1:acc#118.psp#1.sva)#2.itm(1)} -attr vt d
+load netBundle {slc(ACC1:acc#118.psp#1.sva)#2.itm} 2 {slc(ACC1:acc#118.psp#1.sva)#2.itm(0)} {slc(ACC1:acc#118.psp#1.sva)#2.itm(1)} -attr xrf 33700 -attr oid 864 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp#1.sva)#2.itm}
+load net {clk} -attr xrf 33701 -attr oid 865
+load net {clk} -port {clk} -attr xrf 33702 -attr oid 866
+load net {en} -attr xrf 33703 -attr oid 867
+load net {en} -port {en} -attr xrf 33704 -attr oid 868
+load net {arst_n} -attr xrf 33705 -attr oid 869
+load net {arst_n} -port {arst_n} -attr xrf 33706 -attr oid 870
+load net {vin:rsc:mgc_in_wire.d(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 33707 -attr oid 871 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(0)} -port {vin:rsc:mgc_in_wire.d(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(1)} -port {vin:rsc:mgc_in_wire.d(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(2)} -port {vin:rsc:mgc_in_wire.d(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(3)} -port {vin:rsc:mgc_in_wire.d(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(4)} -port {vin:rsc:mgc_in_wire.d(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(5)} -port {vin:rsc:mgc_in_wire.d(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(6)} -port {vin:rsc:mgc_in_wire.d(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(7)} -port {vin:rsc:mgc_in_wire.d(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(8)} -port {vin:rsc:mgc_in_wire.d(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(9)} -port {vin:rsc:mgc_in_wire.d(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(10)} -port {vin:rsc:mgc_in_wire.d(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(11)} -port {vin:rsc:mgc_in_wire.d(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(12)} -port {vin:rsc:mgc_in_wire.d(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(13)} -port {vin:rsc:mgc_in_wire.d(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(14)} -port {vin:rsc:mgc_in_wire.d(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(15)} -port {vin:rsc:mgc_in_wire.d(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(16)} -port {vin:rsc:mgc_in_wire.d(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(17)} -port {vin:rsc:mgc_in_wire.d(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(18)} -port {vin:rsc:mgc_in_wire.d(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(19)} -port {vin:rsc:mgc_in_wire.d(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(20)} -port {vin:rsc:mgc_in_wire.d(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(21)} -port {vin:rsc:mgc_in_wire.d(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(22)} -port {vin:rsc:mgc_in_wire.d(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(23)} -port {vin:rsc:mgc_in_wire.d(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(24)} -port {vin:rsc:mgc_in_wire.d(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(25)} -port {vin:rsc:mgc_in_wire.d(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(26)} -port {vin:rsc:mgc_in_wire.d(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(27)} -port {vin:rsc:mgc_in_wire.d(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(28)} -port {vin:rsc:mgc_in_wire.d(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(29)} -port {vin:rsc:mgc_in_wire.d(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(30)} -port {vin:rsc:mgc_in_wire.d(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(31)} -port {vin:rsc:mgc_in_wire.d(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(32)} -port {vin:rsc:mgc_in_wire.d(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(33)} -port {vin:rsc:mgc_in_wire.d(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(34)} -port {vin:rsc:mgc_in_wire.d(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(35)} -port {vin:rsc:mgc_in_wire.d(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(36)} -port {vin:rsc:mgc_in_wire.d(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(37)} -port {vin:rsc:mgc_in_wire.d(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(38)} -port {vin:rsc:mgc_in_wire.d(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(39)} -port {vin:rsc:mgc_in_wire.d(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(40)} -port {vin:rsc:mgc_in_wire.d(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(41)} -port {vin:rsc:mgc_in_wire.d(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(42)} -port {vin:rsc:mgc_in_wire.d(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(43)} -port {vin:rsc:mgc_in_wire.d(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(44)} -port {vin:rsc:mgc_in_wire.d(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(45)} -port {vin:rsc:mgc_in_wire.d(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(46)} -port {vin:rsc:mgc_in_wire.d(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(47)} -port {vin:rsc:mgc_in_wire.d(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(48)} -port {vin:rsc:mgc_in_wire.d(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(49)} -port {vin:rsc:mgc_in_wire.d(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(50)} -port {vin:rsc:mgc_in_wire.d(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(51)} -port {vin:rsc:mgc_in_wire.d(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(52)} -port {vin:rsc:mgc_in_wire.d(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(53)} -port {vin:rsc:mgc_in_wire.d(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(54)} -port {vin:rsc:mgc_in_wire.d(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(55)} -port {vin:rsc:mgc_in_wire.d(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(56)} -port {vin:rsc:mgc_in_wire.d(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(57)} -port {vin:rsc:mgc_in_wire.d(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(58)} -port {vin:rsc:mgc_in_wire.d(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(59)} -port {vin:rsc:mgc_in_wire.d(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(60)} -port {vin:rsc:mgc_in_wire.d(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(61)} -port {vin:rsc:mgc_in_wire.d(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(62)} -port {vin:rsc:mgc_in_wire.d(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(63)} -port {vin:rsc:mgc_in_wire.d(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(64)} -port {vin:rsc:mgc_in_wire.d(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(65)} -port {vin:rsc:mgc_in_wire.d(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(66)} -port {vin:rsc:mgc_in_wire.d(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(67)} -port {vin:rsc:mgc_in_wire.d(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(68)} -port {vin:rsc:mgc_in_wire.d(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(69)} -port {vin:rsc:mgc_in_wire.d(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(70)} -port {vin:rsc:mgc_in_wire.d(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(71)} -port {vin:rsc:mgc_in_wire.d(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(72)} -port {vin:rsc:mgc_in_wire.d(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(73)} -port {vin:rsc:mgc_in_wire.d(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(74)} -port {vin:rsc:mgc_in_wire.d(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(75)} -port {vin:rsc:mgc_in_wire.d(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(76)} -port {vin:rsc:mgc_in_wire.d(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(77)} -port {vin:rsc:mgc_in_wire.d(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(78)} -port {vin:rsc:mgc_in_wire.d(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(79)} -port {vin:rsc:mgc_in_wire.d(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(80)} -port {vin:rsc:mgc_in_wire.d(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(81)} -port {vin:rsc:mgc_in_wire.d(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(82)} -port {vin:rsc:mgc_in_wire.d(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(83)} -port {vin:rsc:mgc_in_wire.d(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(84)} -port {vin:rsc:mgc_in_wire.d(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(85)} -port {vin:rsc:mgc_in_wire.d(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(86)} -port {vin:rsc:mgc_in_wire.d(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(87)} -port {vin:rsc:mgc_in_wire.d(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(88)} -port {vin:rsc:mgc_in_wire.d(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(89)} -port {vin:rsc:mgc_in_wire.d(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 33708 -attr oid 872 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d
+load netBundle {vout:rsc:mgc_out_stdreg.d} 30 {vout:rsc:mgc_out_stdreg.d(0)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(29)} -attr xrf 33709 -attr oid 873 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -port {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -port {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -port {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -port {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -port {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -port {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -port {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -port {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -port {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -port {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -port {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -port {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -port {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -port {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -port {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -port {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -port {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -port {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -port {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -port {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -port {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -port {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -port {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -port {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -port {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -port {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -port {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -port {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -port {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -port {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load inst "FRAME:or" "or(2,10)" "INTERFACE" -attr xrf 33710 -attr oid 874 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or} -attr area 7.298324 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(10,2)"
+load net {FRAME:acc#2.psp.sva(0)} -pin "FRAME:or" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(1)} -pin "FRAME:or" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(2)} -pin "FRAME:or" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(3)} -pin "FRAME:or" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(4)} -pin "FRAME:or" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(5)} -pin "FRAME:or" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(6)} -pin "FRAME:or" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(7)} -pin "FRAME:or" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(8)} -pin "FRAME:or" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(9)} -pin "FRAME:or" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#4.itm}
+load net {FRAME:acc#2.psp.sva(10)} -pin "FRAME:or" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc.itm}
+load net {FRAME:acc#2.psp.sva(11)} -pin "FRAME:or" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(2)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(3)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(4)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(5)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(6)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(7)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(8)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:or" {A1(9)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {FRAME:or.itm(0)} -pin "FRAME:or" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(1)} -pin "FRAME:or" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(2)} -pin "FRAME:or" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(3)} -pin "FRAME:or" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(4)} -pin "FRAME:or" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(5)} -pin "FRAME:or" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(6)} -pin "FRAME:or" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(7)} -pin "FRAME:or" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(8)} -pin "FRAME:or" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(9)} -pin "FRAME:or" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load inst "FRAME:or#3" "or(2,6)" "INTERFACE" -attr xrf 33711 -attr oid 875 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3} -attr area 4.378994 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(6,2)"
+load net {FRAME:acc#2.psp.sva(0)} -pin "FRAME:or#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(1)} -pin "FRAME:or#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(2)} -pin "FRAME:or#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(3)} -pin "FRAME:or#3" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(4)} -pin "FRAME:or#3" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(5)} -pin "FRAME:or#3" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#2.psp.sva)#3.itm}
+load net {FRAME:acc#2.psp.sva(10)} -pin "FRAME:or#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {FRAME:acc#2.psp.sva(11)} -pin "FRAME:or#3" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {GND} -pin "FRAME:or#3" {A1(2)} -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {GND} -pin "FRAME:or#3" {A1(3)} -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {GND} -pin "FRAME:or#3" {A1(4)} -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {GND} -pin "FRAME:or#3" {A1(5)} -attr @path {/sobel/sobel:core/conc#589.itm}
+load net {FRAME:or#3.itm(0)} -pin "FRAME:or#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(1)} -pin "FRAME:or#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(2)} -pin "FRAME:or#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(3)} -pin "FRAME:or#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(4)} -pin "FRAME:or#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(5)} -pin "FRAME:or#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load inst "nand" "nand(2,1)" "INTERFACE" -attr @path {/sobel/sobel:core/nand} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {exit:FRAME:for.sva#1.st#1} -pin "nand" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.sva#1.st#1}
+load net {main.stage_0#2} -pin "nand" {A1(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load net {nand.itm} -pin "nand" {Z(0)} -attr @path {/sobel/sobel:core/nand.itm}
+load inst "mux" "mux(2,30)" "INTERFACE" -attr xrf 33712 -attr oid 876 -attr vt d -attr @path {/sobel/sobel:core/mux} -attr area 27.583690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(30,1,2)"
+load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "mux" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "mux" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "mux" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "mux" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "mux" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "mux" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "mux" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "mux" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "mux" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "mux" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "mux" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "mux" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "mux" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "mux" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "mux" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "mux" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "mux" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "mux" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "mux" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "mux" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "mux" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "mux" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "mux" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "mux" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "mux" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "mux" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "mux" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "mux" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "mux" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "mux" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {FRAME:acc#2.psp.sva(0)} -pin "mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(1)} -pin "mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(2)} -pin "mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(3)} -pin "mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(4)} -pin "mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(5)} -pin "mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(6)} -pin "mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(7)} -pin "mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(8)} -pin "mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(9)} -pin "mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(0)} -pin "mux" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(1)} -pin "mux" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(2)} -pin "mux" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(3)} -pin "mux" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(4)} -pin "mux" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or#3.itm(5)} -pin "mux" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(6)} -pin "mux" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(7)} -pin "mux" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(8)} -pin "mux" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:acc#2.psp.sva(9)} -pin "mux" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(0)} -pin "mux" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(1)} -pin "mux" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(2)} -pin "mux" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(3)} -pin "mux" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(4)} -pin "mux" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(5)} -pin "mux" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(6)} -pin "mux" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(7)} -pin "mux" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(8)} -pin "mux" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {FRAME:or.itm(9)} -pin "mux" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#11.itm}
+load net {nand.itm} -pin "mux" {S(0)} -attr @path {/sobel/sobel:core/nand.itm}
+load net {mux.itm(0)} -pin "mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(1)} -pin "mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(2)} -pin "mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(3)} -pin "mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(4)} -pin "mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(5)} -pin "mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(6)} -pin "mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(7)} -pin "mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(8)} -pin "mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(9)} -pin "mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(10)} -pin "mux" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(11)} -pin "mux" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(12)} -pin "mux" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(13)} -pin "mux" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(14)} -pin "mux" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(15)} -pin "mux" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(16)} -pin "mux" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(17)} -pin "mux" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(18)} -pin "mux" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(19)} -pin "mux" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(20)} -pin "mux" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(21)} -pin "mux" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(22)} -pin "mux" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(23)} -pin "mux" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(24)} -pin "mux" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(25)} -pin "mux" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(26)} -pin "mux" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(27)} -pin "mux" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(28)} -pin "mux" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(29)} -pin "mux" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load inst "reg(vout:rsc:mgc_out_stdreg.d)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 33713 -attr oid 877 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)}
+load net {mux.itm(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
+load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {clk} -attr xrf 33714 -attr oid 878 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load inst "mux#1" "mux(2,16)" "INTERFACE" -attr xrf 33715 -attr oid 879 -attr vt d -attr @path {/sobel/sobel:core/mux#1} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {in(2).sva#3(0)} -pin "mux#1" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(1)} -pin "mux#1" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(2)} -pin "mux#1" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(3)} -pin "mux#1" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(4)} -pin "mux#1" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(5)} -pin "mux#1" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(6)} -pin "mux#1" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(7)} -pin "mux#1" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(8)} -pin "mux#1" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(9)} -pin "mux#1" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(10)} -pin "mux#1" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(11)} -pin "mux#1" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(12)} -pin "mux#1" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(13)} -pin "mux#1" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(14)} -pin "mux#1" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(15)} -pin "mux#1" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#1(0)} -pin "mux#1" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(1)} -pin "mux#1" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(2)} -pin "mux#1" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(3)} -pin "mux#1" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(4)} -pin "mux#1" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(5)} -pin "mux#1" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(6)} -pin "mux#1" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(7)} -pin "mux#1" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(8)} -pin "mux#1" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(9)} -pin "mux#1" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(10)} -pin "mux#1" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(11)} -pin "mux#1" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(12)} -pin "mux#1" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(13)} -pin "mux#1" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(14)} -pin "mux#1" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(15)} -pin "mux#1" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {main.stage_0#2} -pin "mux#1" {S(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load net {mux#1.itm(0)} -pin "mux#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(1)} -pin "mux#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(2)} -pin "mux#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(3)} -pin "mux#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(4)} -pin "mux#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(5)} -pin "mux#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(6)} -pin "mux#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(7)} -pin "mux#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(8)} -pin "mux#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(9)} -pin "mux#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(10)} -pin "mux#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(11)} -pin "mux#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(12)} -pin "mux#1" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(13)} -pin "mux#1" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(14)} -pin "mux#1" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(15)} -pin "mux#1" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load inst "reg(in(2).sva#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 33716 -attr oid 880 -attr vt d -attr @path {/sobel/sobel:core/reg(in(2).sva#1)}
+load net {mux#1.itm(0)} -pin "reg(in(2).sva#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(1)} -pin "reg(in(2).sva#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(2)} -pin "reg(in(2).sva#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(3)} -pin "reg(in(2).sva#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(4)} -pin "reg(in(2).sva#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(5)} -pin "reg(in(2).sva#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(6)} -pin "reg(in(2).sva#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(7)} -pin "reg(in(2).sva#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(8)} -pin "reg(in(2).sva#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(9)} -pin "reg(in(2).sva#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(10)} -pin "reg(in(2).sva#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(11)} -pin "reg(in(2).sva#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(12)} -pin "reg(in(2).sva#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(13)} -pin "reg(in(2).sva#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(14)} -pin "reg(in(2).sva#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(15)} -pin "reg(in(2).sva#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(2).sva#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(in(2).sva#1)" {clk} -attr xrf 33717 -attr oid 881 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(in(2).sva#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(in(2).sva#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {in(2).sva#1(0)} -pin "reg(in(2).sva#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(1)} -pin "reg(in(2).sva#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(2)} -pin "reg(in(2).sva#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(3)} -pin "reg(in(2).sva#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(4)} -pin "reg(in(2).sva#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(5)} -pin "reg(in(2).sva#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(6)} -pin "reg(in(2).sva#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(7)} -pin "reg(in(2).sva#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(8)} -pin "reg(in(2).sva#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(9)} -pin "reg(in(2).sva#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(10)} -pin "reg(in(2).sva#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(11)} -pin "reg(in(2).sva#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(12)} -pin "reg(in(2).sva#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(13)} -pin "reg(in(2).sva#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(14)} -pin "reg(in(2).sva#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(15)} -pin "reg(in(2).sva#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load inst "ACC2:acc#5" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33718 -attr oid 882 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#5} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC2:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#14.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC2:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#13.itm}
+load net {ACC2:acc#5.itm(0)} -pin "ACC2:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#5.itm}
+load net {ACC2:acc#5.itm(1)} -pin "ACC2:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#5.itm}
+load inst "ACC1:mul#20" "mul(2,0,12,1,13)" "INTERFACE" -attr xrf 33719 -attr oid 883 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC2:acc#5.itm(0)} -pin "ACC1:mul#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#5.itm}
+load net {ACC2:acc#5.itm(1)} -pin "ACC1:mul#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#5.itm}
+load net {PWR} -pin "ACC1:mul#20" {B(0)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(1)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(2)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(3)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(4)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(5)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(6)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(7)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(8)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {PWR} -pin "ACC1:mul#20" {B(9)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {GND} -pin "ACC1:mul#20" {B(10)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {PWR} -pin "ACC1:mul#20" {B(11)} -attr @path {/sobel/sobel:core/Cn1535_12}
+load net {ACC1:mul#20.itm(0)} -pin "ACC1:mul#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(1)} -pin "ACC1:mul#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(2)} -pin "ACC1:mul#20" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(3)} -pin "ACC1:mul#20" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(4)} -pin "ACC1:mul#20" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(5)} -pin "ACC1:mul#20" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(6)} -pin "ACC1:mul#20" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(7)} -pin "ACC1:mul#20" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(8)} -pin "ACC1:mul#20" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(9)} -pin "ACC1:mul#20" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(10)} -pin "ACC1:mul#20" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(11)} -pin "ACC1:mul#20" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(12)} -pin "ACC1:mul#20" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load inst "ACC2:acc#6" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33720 -attr oid 884 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#6} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} -pin "ACC2:acc#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#31.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} -pin "ACC2:acc#6" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#31.itm}
+load net {ACC2:acc#6.itm(0)} -pin "ACC2:acc#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#6.itm}
+load net {ACC2:acc#6.itm(1)} -pin "ACC2:acc#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#6.itm}
+load inst "ACC1:mul#21" "mul(2,0,5,0,6)" "INTERFACE" -attr xrf 33721 -attr oid 885 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC2:acc#6.itm(0)} -pin "ACC1:mul#21" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#6.itm}
+load net {ACC2:acc#6.itm(1)} -pin "ACC1:mul#21" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#6.itm}
+load net {PWR} -pin "ACC1:mul#21" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul#21" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul#21" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul#21" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul#21" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
+load net {ACC1:mul#21.itm(0)} -pin "ACC1:mul#21" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load net {ACC1:mul#21.itm(1)} -pin "ACC1:mul#21" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load net {ACC1:mul#21.itm(2)} -pin "ACC1:mul#21" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load net {ACC1:mul#21.itm(3)} -pin "ACC1:mul#21" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load net {ACC1:mul#21.itm(4)} -pin "ACC1:mul#21" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load net {ACC1:mul#21.itm(5)} -pin "ACC1:mul#21" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#21.itm}
+load inst "ACC2:acc#3" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33722 -attr oid 886 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#3} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(7)} -pin "ACC2:acc#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#3.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(7)} -pin "ACC2:acc#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#2.itm}
+load net {ACC2:acc#3.itm(0)} -pin "ACC2:acc#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#3.itm}
+load net {ACC2:acc#3.itm(1)} -pin "ACC2:acc#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#3.itm}
+load inst "ACC1:mul#18" "mul(2,0,7,0,8)" "INTERFACE" -attr xrf 33723 -attr oid 887 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC2:acc#3.itm(0)} -pin "ACC1:mul#18" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#3.itm}
+load net {ACC2:acc#3.itm(1)} -pin "ACC1:mul#18" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#3.itm}
+load net {PWR} -pin "ACC1:mul#18" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#18" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#18" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#18" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#18" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#18" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#18" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
+load net {ACC1:mul#18.itm(0)} -pin "ACC1:mul#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(1)} -pin "ACC1:mul#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(2)} -pin "ACC1:mul#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(3)} -pin "ACC1:mul#18" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(4)} -pin "ACC1:mul#18" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(5)} -pin "ACC1:mul#18" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(6)} -pin "ACC1:mul#18" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(7)} -pin "ACC1:mul#18" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load inst "ACC2:acc" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33724 -attr oid 888 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(5)} -pin "ACC2:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#4.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(5)} -pin "ACC2:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#3.itm}
+load net {ACC2:acc.itm(0)} -pin "ACC2:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(1)} -pin "ACC2:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load inst "ACC1:mul" "mul(2,0,5,0,6)" "INTERFACE" -attr xrf 33725 -attr oid 889 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC2:acc.itm(0)} -pin "ACC1:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(1)} -pin "ACC1:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {PWR} -pin "ACC1:mul" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
+load net {GND} -pin "ACC1:mul" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
+load net {PWR} -pin "ACC1:mul" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
+load net {ACC1:mul.itm(0)} -pin "ACC1:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(1)} -pin "ACC1:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(2)} -pin "ACC1:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(3)} -pin "ACC1:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(4)} -pin "ACC1:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(5)} -pin "ACC1:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load inst "ACC1:acc#330" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 33726 -attr oid 890 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
+load net {ACC1:mul.itm(0)} -pin "ACC1:acc#330" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(1)} -pin "ACC1:acc#330" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(2)} -pin "ACC1:acc#330" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(3)} -pin "ACC1:acc#330" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(4)} -pin "ACC1:acc#330" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:mul.itm(5)} -pin "ACC1:acc#330" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#330" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#330" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {GND} -pin "ACC1:acc#330" {B(2)} -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} -pin "ACC1:acc#330" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {GND} -pin "ACC1:acc#330" {B(4)} -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#330" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#590.itm}
+load net {ACC1:acc#330.itm(0)} -pin "ACC1:acc#330" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(1)} -pin "ACC1:acc#330" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(2)} -pin "ACC1:acc#330" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(3)} -pin "ACC1:acc#330" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(4)} -pin "ACC1:acc#330" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(5)} -pin "ACC1:acc#330" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(6)} -pin "ACC1:acc#330" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load inst "ACC1:acc#334" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 33727 -attr oid 891 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:mul#18.itm(0)} -pin "ACC1:acc#334" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(1)} -pin "ACC1:acc#334" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(2)} -pin "ACC1:acc#334" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(3)} -pin "ACC1:acc#334" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(4)} -pin "ACC1:acc#334" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(5)} -pin "ACC1:acc#334" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(6)} -pin "ACC1:acc#334" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:mul#18.itm(7)} -pin "ACC1:acc#334" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#18.itm}
+load net {ACC1:acc#330.itm(0)} -pin "ACC1:acc#334" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(1)} -pin "ACC1:acc#334" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(2)} -pin "ACC1:acc#334" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(3)} -pin "ACC1:acc#334" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(4)} -pin "ACC1:acc#334" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(5)} -pin "ACC1:acc#334" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#330.itm(6)} -pin "ACC1:acc#334" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#330.itm}
+load net {ACC1:acc#334.itm(0)} -pin "ACC1:acc#334" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(1)} -pin "ACC1:acc#334" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(2)} -pin "ACC1:acc#334" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(3)} -pin "ACC1:acc#334" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(4)} -pin "ACC1:acc#334" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(5)} -pin "ACC1:acc#334" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(6)} -pin "ACC1:acc#334" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(7)} -pin "ACC1:acc#334" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load inst "ACC1:acc#336" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 33728 -attr oid 892 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336} -attr area 10.253676 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,0,10)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#336" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#336" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(3)} -pin "ACC1:acc#336" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(0)} -pin "ACC1:acc#336" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(1)} -pin "ACC1:acc#336" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(2)} -pin "ACC1:acc#336" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(3)} -pin "ACC1:acc#336" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(4)} -pin "ACC1:acc#336" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:mul#21.itm(5)} -pin "ACC1:acc#336" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
+load net {ACC1:acc#334.itm(0)} -pin "ACC1:acc#336" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(1)} -pin "ACC1:acc#336" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(2)} -pin "ACC1:acc#336" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(3)} -pin "ACC1:acc#336" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(4)} -pin "ACC1:acc#336" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(5)} -pin "ACC1:acc#336" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(6)} -pin "ACC1:acc#336" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#334.itm(7)} -pin "ACC1:acc#336" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#334.itm}
+load net {ACC1:acc#336.itm(0)} -pin "ACC1:acc#336" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(1)} -pin "ACC1:acc#336" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(2)} -pin "ACC1:acc#336" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(3)} -pin "ACC1:acc#336" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(4)} -pin "ACC1:acc#336" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(5)} -pin "ACC1:acc#336" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(6)} -pin "ACC1:acc#336" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(7)} -pin "ACC1:acc#336" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(8)} -pin "ACC1:acc#336" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(9)} -pin "ACC1:acc#336" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load inst "ACC1:acc#310" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33729 -attr oid 893 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#310" {A(0)} -attr @path {/sobel/sobel:core/exs.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#310" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#310" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(0)} -pin "ACC1:acc#310" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#793.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#310" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#793.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#310" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#793.itm}
+load net {ACC1:acc#310.itm(0)} -pin "ACC1:acc#310" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(1)} -pin "ACC1:acc#310" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(2)} -pin "ACC1:acc#310" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load net {ACC1:acc#310.itm(3)} -pin "ACC1:acc#310" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#310.itm}
+load inst "ACC1:acc#309" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33730 -attr oid 894 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#309" {A(0)} -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} -pin "ACC1:acc#309" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} -pin "ACC1:acc#309" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#54.itm}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(2)} -pin "ACC1:acc#309" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#795.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#309" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#795.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#309" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#795.itm}
+load net {ACC1:acc#309.itm(0)} -pin "ACC1:acc#309" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(1)} -pin "ACC1:acc#309" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(2)} -pin "ACC1:acc#309" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load net {ACC1:acc#309.itm(3)} -pin "ACC1:acc#309" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#309.itm}
+load inst "ACC1:acc#319" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33731 -attr oid 895 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#310.itm(1)} -pin "ACC1:acc#319" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#310.itm(2)} -pin "ACC1:acc#319" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#310.itm(3)} -pin "ACC1:acc#319" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#97.itm}
+load net {ACC1:acc#309.itm(1)} -pin "ACC1:acc#319" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#309.itm(2)} -pin "ACC1:acc#319" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#309.itm(3)} -pin "ACC1:acc#319" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#96.itm}
+load net {ACC1:acc#319.itm(0)} -pin "ACC1:acc#319" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(1)} -pin "ACC1:acc#319" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(2)} -pin "ACC1:acc#319" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(3)} -pin "ACC1:acc#319" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load inst "ACC1:acc#307" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33732 -attr oid 896 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#307" {A(0)} -attr @path {/sobel/sobel:core/exs#28.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#307" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#28.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#307" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#28.itm}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(0)} -pin "ACC1:acc#307" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#797.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#307" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#797.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#307" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#797.itm}
+load net {ACC1:acc#307.itm(0)} -pin "ACC1:acc#307" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#307.itm(1)} -pin "ACC1:acc#307" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#307.itm(2)} -pin "ACC1:acc#307" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load net {ACC1:acc#307.itm(3)} -pin "ACC1:acc#307" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#307.itm}
+load inst "ACC1-3:not#60" "not(1)" "INTERFACE" -attr xrf 33733 -attr oid 897 -attr @path {/sobel/sobel:core/ACC1-3:not#60} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#7.lpi#1.dfm:mx0(1)} -pin "ACC1-3:not#60" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.lpi#1.dfm:mx0)#2.itm}
+load net {ACC1-3:not#60.itm} -pin "ACC1-3:not#60" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#60.itm}
+load inst "ACC1-3:and#3" "and(3,1)" "INTERFACE" -attr xrf 33734 -attr oid 898 -attr @path {/sobel/sobel:core/ACC1-3:and#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1-3:and#3" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#19.itm}
+load net {ACC1-3:not#60.itm} -pin "ACC1-3:and#3" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#60.itm}
+load net {acc.imod#7.lpi#1.dfm:mx0(0)} -pin "ACC1-3:and#3" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.lpi#1.dfm:mx0)#1.itm}
+load net {ACC1-3:and#3.itm} -pin "ACC1-3:and#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#3.itm}
+load inst "ACC1:acc#306" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33735 -attr oid 899 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#306" {A(0)} -attr @path {/sobel/sobel:core/exs#29.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#306" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#29.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#306" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#29.itm}
+load net {ACC1-3:and#3.itm} -pin "ACC1:acc#306" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#799.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#306" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#799.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#306" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#799.itm}
+load net {ACC1:acc#306.itm(0)} -pin "ACC1:acc#306" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(1)} -pin "ACC1:acc#306" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(2)} -pin "ACC1:acc#306" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load net {ACC1:acc#306.itm(3)} -pin "ACC1:acc#306" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#306.itm}
+load inst "ACC1:acc#318" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33736 -attr oid 900 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#307.itm(1)} -pin "ACC1:acc#318" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#307.itm(2)} -pin "ACC1:acc#318" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#307.itm(3)} -pin "ACC1:acc#318" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#94.itm}
+load net {ACC1:acc#306.itm(1)} -pin "ACC1:acc#318" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#306.itm(2)} -pin "ACC1:acc#318" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#306.itm(3)} -pin "ACC1:acc#318" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#93.itm}
+load net {ACC1:acc#318.itm(0)} -pin "ACC1:acc#318" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(1)} -pin "ACC1:acc#318" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(2)} -pin "ACC1:acc#318" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(3)} -pin "ACC1:acc#318" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load inst "ACC1:acc#325" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33737 -attr oid 901 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#319.itm(0)} -pin "ACC1:acc#325" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(1)} -pin "ACC1:acc#325" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(2)} -pin "ACC1:acc#325" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#319.itm(3)} -pin "ACC1:acc#325" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#319.itm}
+load net {ACC1:acc#318.itm(0)} -pin "ACC1:acc#325" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(1)} -pin "ACC1:acc#325" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(2)} -pin "ACC1:acc#325" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#318.itm(3)} -pin "ACC1:acc#325" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#318.itm}
+load net {ACC1:acc#325.itm(0)} -pin "ACC1:acc#325" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(1)} -pin "ACC1:acc#325" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(2)} -pin "ACC1:acc#325" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(3)} -pin "ACC1:acc#325" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(4)} -pin "ACC1:acc#325" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load inst "ACC1-3:not#59" "not(1)" "INTERFACE" -attr xrf 33738 -attr oid 902 -attr @path {/sobel/sobel:core/ACC1-3:not#59} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1-3:not#59" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#20.itm}
+load net {ACC1-3:not#59.itm} -pin "ACC1-3:not#59" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#59.itm}
+load inst "ACC1-3:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 33739 -attr oid 903 -attr @path {/sobel/sobel:core/ACC1-3:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {acc.imod#7.lpi#1.dfm:mx0(1)} -pin "ACC1-3:nand#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.lpi#1.dfm:mx0).itm}
+load net {ACC1-3:not#59.itm} -pin "ACC1-3:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#59.itm}
+load net {ACC1-3:nand#1.itm} -pin "ACC1-3:nand#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand#1.itm}
+load inst "ACC1:acc#305" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33740 -attr oid 904 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#305" {A(0)} -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#305" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#305" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#55.itm}
+load net {ACC1-3:nand#1.itm} -pin "ACC1:acc#305" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#801.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} -pin "ACC1:acc#305" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#801.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} -pin "ACC1:acc#305" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#801.itm}
+load net {ACC1:acc#305.itm(0)} -pin "ACC1:acc#305" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(1)} -pin "ACC1:acc#305" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(2)} -pin "ACC1:acc#305" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load net {ACC1:acc#305.itm(3)} -pin "ACC1:acc#305" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#305.itm}
+load inst "ACC1:acc#304" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33741 -attr oid 905 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#304" {A(0)} -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} -pin "ACC1:acc#304" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} -pin "ACC1:acc#304" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#56.itm}
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(0)} -pin "ACC1:acc#304" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#803.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#304" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#803.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#304" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#803.itm}
+load net {ACC1:acc#304.itm(0)} -pin "ACC1:acc#304" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(1)} -pin "ACC1:acc#304" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(2)} -pin "ACC1:acc#304" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load net {ACC1:acc#304.itm(3)} -pin "ACC1:acc#304" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#304.itm}
+load inst "ACC1:acc#317" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33742 -attr oid 906 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#305.itm(1)} -pin "ACC1:acc#317" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#92.itm}
+load net {ACC1:acc#305.itm(2)} -pin "ACC1:acc#317" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#92.itm}
+load net {ACC1:acc#305.itm(3)} -pin "ACC1:acc#317" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#92.itm}
+load net {ACC1:acc#304.itm(1)} -pin "ACC1:acc#317" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#91.itm}
+load net {ACC1:acc#304.itm(2)} -pin "ACC1:acc#317" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#91.itm}
+load net {ACC1:acc#304.itm(3)} -pin "ACC1:acc#317" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#91.itm}
+load net {ACC1:acc#317.itm(0)} -pin "ACC1:acc#317" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(1)} -pin "ACC1:acc#317" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(2)} -pin "ACC1:acc#317" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(3)} -pin "ACC1:acc#317" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load inst "ACC1:acc#303" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33743 -attr oid 907 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#303" {A(0)} -attr @path {/sobel/sobel:core/exs#30.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#303" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#30.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#303" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#30.itm}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(2)} -pin "ACC1:acc#303" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#805.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#303" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#805.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#303" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#805.itm}
+load net {ACC1:acc#303.itm(0)} -pin "ACC1:acc#303" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(1)} -pin "ACC1:acc#303" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(2)} -pin "ACC1:acc#303" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load net {ACC1:acc#303.itm(3)} -pin "ACC1:acc#303" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#303.itm}
+load inst "ACC1:acc#302" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33744 -attr oid 908 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#302" {A(0)} -attr @path {/sobel/sobel:core/exs#31.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#302" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#31.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#302" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#31.itm}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(1)} -pin "ACC1:acc#302" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#807.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#302" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#807.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#302" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#807.itm}
+load net {ACC1:acc#302.itm(0)} -pin "ACC1:acc#302" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(1)} -pin "ACC1:acc#302" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(2)} -pin "ACC1:acc#302" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load net {ACC1:acc#302.itm(3)} -pin "ACC1:acc#302" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#302.itm}
+load inst "ACC1:acc#316" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33745 -attr oid 909 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#303.itm(1)} -pin "ACC1:acc#316" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1:acc#303.itm(2)} -pin "ACC1:acc#316" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1:acc#303.itm(3)} -pin "ACC1:acc#316" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#90.itm}
+load net {ACC1:acc#302.itm(1)} -pin "ACC1:acc#316" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#302.itm(2)} -pin "ACC1:acc#316" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#302.itm(3)} -pin "ACC1:acc#316" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#89.itm}
+load net {ACC1:acc#316.itm(0)} -pin "ACC1:acc#316" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(1)} -pin "ACC1:acc#316" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(2)} -pin "ACC1:acc#316" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(3)} -pin "ACC1:acc#316" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load inst "ACC1:acc#324" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33746 -attr oid 910 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#317.itm(0)} -pin "ACC1:acc#324" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(1)} -pin "ACC1:acc#324" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(2)} -pin "ACC1:acc#324" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#317.itm(3)} -pin "ACC1:acc#324" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#317.itm}
+load net {ACC1:acc#316.itm(0)} -pin "ACC1:acc#324" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(1)} -pin "ACC1:acc#324" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(2)} -pin "ACC1:acc#324" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#316.itm(3)} -pin "ACC1:acc#324" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#316.itm}
+load net {ACC1:acc#324.itm(0)} -pin "ACC1:acc#324" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(1)} -pin "ACC1:acc#324" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(2)} -pin "ACC1:acc#324" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(3)} -pin "ACC1:acc#324" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(4)} -pin "ACC1:acc#324" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load inst "ACC1:acc#328" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 33747 -attr oid 911 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#325.itm(0)} -pin "ACC1:acc#328" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(1)} -pin "ACC1:acc#328" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(2)} -pin "ACC1:acc#328" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(3)} -pin "ACC1:acc#328" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#325.itm(4)} -pin "ACC1:acc#328" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#325.itm}
+load net {ACC1:acc#324.itm(0)} -pin "ACC1:acc#328" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(1)} -pin "ACC1:acc#328" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(2)} -pin "ACC1:acc#328" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(3)} -pin "ACC1:acc#328" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#324.itm(4)} -pin "ACC1:acc#328" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#324.itm}
+load net {ACC1:acc#328.itm(0)} -pin "ACC1:acc#328" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(1)} -pin "ACC1:acc#328" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(2)} -pin "ACC1:acc#328" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(3)} -pin "ACC1:acc#328" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(4)} -pin "ACC1:acc#328" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(5)} -pin "ACC1:acc#328" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load inst "ACC1:acc#332" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 33748 -attr oid 912 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#332" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#541.itm}
+load net {ACC1:acc#328.itm(0)} -pin "ACC1:acc#332" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(1)} -pin "ACC1:acc#332" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(2)} -pin "ACC1:acc#332" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(3)} -pin "ACC1:acc#332" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(4)} -pin "ACC1:acc#332" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#328.itm(5)} -pin "ACC1:acc#332" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#328.itm}
+load net {ACC1:acc#332.itm(0)} -pin "ACC1:acc#332" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(1)} -pin "ACC1:acc#332" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(2)} -pin "ACC1:acc#332" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(3)} -pin "ACC1:acc#332" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(4)} -pin "ACC1:acc#332" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(5)} -pin "ACC1:acc#332" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(6)} -pin "ACC1:acc#332" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(7)} -pin "ACC1:acc#332" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load inst "ACC1:acc#301" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33749 -attr oid 913 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#301" {A(0)} -attr @path {/sobel/sobel:core/exs#32.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#301" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#32.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#301" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#32.itm}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(0)} -pin "ACC1:acc#301" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#809.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#301" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#809.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#301" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#809.itm}
+load net {ACC1:acc#301.itm(0)} -pin "ACC1:acc#301" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {ACC1:acc#301.itm(1)} -pin "ACC1:acc#301" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {ACC1:acc#301.itm(2)} -pin "ACC1:acc#301" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load net {ACC1:acc#301.itm(3)} -pin "ACC1:acc#301" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#301.itm}
+load inst "ACC1:acc#300" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33750 -attr oid 914 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#300" {A(0)} -attr @path {/sobel/sobel:core/exs#33.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#300" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#33.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#300" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#33.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(3)} -pin "ACC1:acc#300" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#811.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} -pin "ACC1:acc#300" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#811.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} -pin "ACC1:acc#300" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#811.itm}
+load net {ACC1:acc#300.itm(0)} -pin "ACC1:acc#300" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#300.itm(1)} -pin "ACC1:acc#300" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#300.itm(2)} -pin "ACC1:acc#300" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load net {ACC1:acc#300.itm(3)} -pin "ACC1:acc#300" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#300.itm}
+load inst "ACC1:acc#315" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33751 -attr oid 915 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#301.itm(1)} -pin "ACC1:acc#315" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#301.itm(2)} -pin "ACC1:acc#315" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#301.itm(3)} -pin "ACC1:acc#315" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#88.itm}
+load net {ACC1:acc#300.itm(1)} -pin "ACC1:acc#315" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#87.itm}
+load net {ACC1:acc#300.itm(2)} -pin "ACC1:acc#315" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#87.itm}
+load net {ACC1:acc#300.itm(3)} -pin "ACC1:acc#315" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#87.itm}
+load net {ACC1:acc#315.itm(0)} -pin "ACC1:acc#315" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(1)} -pin "ACC1:acc#315" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(2)} -pin "ACC1:acc#315" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(3)} -pin "ACC1:acc#315" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load inst "ACC1:acc#299" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33752 -attr oid 916 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#299" {A(0)} -attr @path {/sobel/sobel:core/exs#34.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#299" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#34.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#299" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#34.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(2)} -pin "ACC1:acc#299" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#813.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#299" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#813.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#299" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#813.itm}
+load net {ACC1:acc#299.itm(0)} -pin "ACC1:acc#299" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(1)} -pin "ACC1:acc#299" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(2)} -pin "ACC1:acc#299" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load net {ACC1:acc#299.itm(3)} -pin "ACC1:acc#299" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#299.itm}
+load inst "ACC1:acc#298" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33753 -attr oid 917 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#298" {A(0)} -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#298" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#298" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#57.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(1)} -pin "ACC1:acc#298" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#815.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} -pin "ACC1:acc#298" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#815.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} -pin "ACC1:acc#298" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#815.itm}
+load net {ACC1:acc#298.itm(0)} -pin "ACC1:acc#298" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(1)} -pin "ACC1:acc#298" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(2)} -pin "ACC1:acc#298" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load net {ACC1:acc#298.itm(3)} -pin "ACC1:acc#298" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#298.itm}
+load inst "ACC1:acc#314" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33754 -attr oid 918 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#299.itm(1)} -pin "ACC1:acc#314" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#86.itm}
+load net {ACC1:acc#299.itm(2)} -pin "ACC1:acc#314" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#86.itm}
+load net {ACC1:acc#299.itm(3)} -pin "ACC1:acc#314" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#86.itm}
+load net {ACC1:acc#298.itm(1)} -pin "ACC1:acc#314" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#85.itm}
+load net {ACC1:acc#298.itm(2)} -pin "ACC1:acc#314" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#85.itm}
+load net {ACC1:acc#298.itm(3)} -pin "ACC1:acc#314" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#85.itm}
+load net {ACC1:acc#314.itm(0)} -pin "ACC1:acc#314" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(1)} -pin "ACC1:acc#314" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(2)} -pin "ACC1:acc#314" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(3)} -pin "ACC1:acc#314" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load inst "ACC1:acc#323" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33755 -attr oid 919 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#315.itm(0)} -pin "ACC1:acc#323" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(1)} -pin "ACC1:acc#323" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(2)} -pin "ACC1:acc#323" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#315.itm(3)} -pin "ACC1:acc#323" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#315.itm}
+load net {ACC1:acc#314.itm(0)} -pin "ACC1:acc#323" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(1)} -pin "ACC1:acc#323" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(2)} -pin "ACC1:acc#323" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#314.itm(3)} -pin "ACC1:acc#323" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#314.itm}
+load net {ACC1:acc#323.itm(0)} -pin "ACC1:acc#323" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(1)} -pin "ACC1:acc#323" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(2)} -pin "ACC1:acc#323" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(3)} -pin "ACC1:acc#323" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(4)} -pin "ACC1:acc#323" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load inst "ACC1:acc#297" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33756 -attr oid 920 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#297" {A(0)} -attr @path {/sobel/sobel:core/exs#35.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#297" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#35.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#297" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#35.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(0)} -pin "ACC1:acc#297" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#817.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#297" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#817.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#297" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#817.itm}
+load net {ACC1:acc#297.itm(0)} -pin "ACC1:acc#297" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(1)} -pin "ACC1:acc#297" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(2)} -pin "ACC1:acc#297" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load net {ACC1:acc#297.itm(3)} -pin "ACC1:acc#297" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
+load inst "ACC1:acc#296" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33757 -attr oid 921 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#296" {A(0)} -attr @path {/sobel/sobel:core/exs#36.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#296" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#36.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#296" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#36.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(3)} -pin "ACC1:acc#296" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#819.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#296" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#819.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#296" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#819.itm}
+load net {ACC1:acc#296.itm(0)} -pin "ACC1:acc#296" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(1)} -pin "ACC1:acc#296" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(2)} -pin "ACC1:acc#296" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load net {ACC1:acc#296.itm(3)} -pin "ACC1:acc#296" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
+load inst "ACC1:acc#313" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33758 -attr oid 922 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#297.itm(1)} -pin "ACC1:acc#313" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#84.itm}
+load net {ACC1:acc#297.itm(2)} -pin "ACC1:acc#313" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#84.itm}
+load net {ACC1:acc#297.itm(3)} -pin "ACC1:acc#313" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#84.itm}
+load net {ACC1:acc#296.itm(1)} -pin "ACC1:acc#313" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#83.itm}
+load net {ACC1:acc#296.itm(2)} -pin "ACC1:acc#313" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#83.itm}
+load net {ACC1:acc#296.itm(3)} -pin "ACC1:acc#313" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#83.itm}
+load net {ACC1:acc#313.itm(0)} -pin "ACC1:acc#313" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(1)} -pin "ACC1:acc#313" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(2)} -pin "ACC1:acc#313" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(3)} -pin "ACC1:acc#313" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load inst "ACC1:acc#295" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33759 -attr oid 923 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#295" {A(0)} -attr @path {/sobel/sobel:core/conc#610.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(0)} -pin "ACC1:acc#295" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#610.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(2)} -pin "ACC1:acc#295" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#610.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(2)} -pin "ACC1:acc#295" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#612.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(1)} -pin "ACC1:acc#295" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#612.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(2)} -pin "ACC1:acc#295" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#612.itm}
+load net {ACC1:acc#295.itm(0)} -pin "ACC1:acc#295" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(1)} -pin "ACC1:acc#295" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(2)} -pin "ACC1:acc#295" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load net {ACC1:acc#295.itm(3)} -pin "ACC1:acc#295" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#295.itm}
+load inst "ACC2:not" "not(1)" "INTERFACE" -attr xrf 33760 -attr oid 924 -attr @path {/sobel/sobel:core/ACC2:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(2)} -pin "ACC2:not" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0)#4.itm}
+load net {ACC2:not.itm} -pin "ACC2:not" {Z(0)} -attr @path {/sobel/sobel:core/ACC2:not.itm}
+load inst "ACC2:not#5" "not(1)" "INTERFACE" -attr xrf 33761 -attr oid 925 -attr @path {/sobel/sobel:core/ACC2:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(1)} -pin "ACC2:not#5" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.lpi#1.dfm.sg1:mx0)#1.itm}
+load net {ACC2:not#5.itm} -pin "ACC2:not#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC2:not#5.itm}
+load inst "ACC1-1:not#60" "not(1)" "INTERFACE" -attr xrf 33762 -attr oid 926 -attr @path {/sobel/sobel:core/ACC1-1:not#60} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#20.lpi#1.dfm:mx0(1)} -pin "ACC1-1:not#60" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#20.lpi#1.dfm:mx0)#2.itm}
+load net {ACC1-1:not#60.itm} -pin "ACC1-1:not#60" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#60.itm}
+load inst "ACC1-1:and#3" "and(3,1)" "INTERFACE" -attr xrf 33763 -attr oid 927 -attr @path {/sobel/sobel:core/ACC1-1:and#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1-1:and#3" {A0(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#24.itm}
+load net {ACC1-1:not#60.itm} -pin "ACC1-1:and#3" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#60.itm}
+load net {acc.imod#20.lpi#1.dfm:mx0(0)} -pin "ACC1-1:and#3" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#20.lpi#1.dfm:mx0)#1.itm}
+load net {ACC1-1:and#3.itm} -pin "ACC1-1:and#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#3.itm}
+load inst "ACC1:acc#312" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33764 -attr oid 928 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {PWR} -pin "ACC1:acc#312" {A(0)} -attr @path {/sobel/sobel:core/conc#609.itm}
+load net {ACC1:acc#295.itm(1)} -pin "ACC1:acc#312" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#609.itm}
+load net {ACC1:acc#295.itm(2)} -pin "ACC1:acc#312" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#609.itm}
+load net {ACC1:acc#295.itm(3)} -pin "ACC1:acc#312" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#609.itm}
+load net {ACC1-1:and#3.itm} -pin "ACC1:acc#312" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#611.itm}
+load net {ACC2:not#5.itm} -pin "ACC1:acc#312" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#611.itm}
+load net {PWR} -pin "ACC1:acc#312" {B(2)} -attr @path {/sobel/sobel:core/conc#611.itm}
+load net {ACC2:not.itm} -pin "ACC1:acc#312" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#611.itm}
+load net {ACC1:acc#312.itm(0)} -pin "ACC1:acc#312" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(1)} -pin "ACC1:acc#312" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(2)} -pin "ACC1:acc#312" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(3)} -pin "ACC1:acc#312" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load net {ACC1:acc#312.itm(4)} -pin "ACC1:acc#312" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#312.itm}
+load inst "ACC1:acc#322" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33765 -attr oid 929 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#313.itm(0)} -pin "ACC1:acc#322" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(1)} -pin "ACC1:acc#322" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(2)} -pin "ACC1:acc#322" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#313.itm(3)} -pin "ACC1:acc#322" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#313.itm}
+load net {ACC1:acc#312.itm(1)} -pin "ACC1:acc#322" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#312.itm(2)} -pin "ACC1:acc#322" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#312.itm(3)} -pin "ACC1:acc#322" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#312.itm(4)} -pin "ACC1:acc#322" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#99.itm}
+load net {ACC1:acc#322.itm(0)} -pin "ACC1:acc#322" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(1)} -pin "ACC1:acc#322" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(2)} -pin "ACC1:acc#322" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(3)} -pin "ACC1:acc#322" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(4)} -pin "ACC1:acc#322" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load inst "ACC1:acc#327" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 33766 -attr oid 930 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {ACC1:acc#323.itm(0)} -pin "ACC1:acc#327" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(1)} -pin "ACC1:acc#327" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(2)} -pin "ACC1:acc#327" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(3)} -pin "ACC1:acc#327" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#323.itm(4)} -pin "ACC1:acc#327" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#323.itm}
+load net {ACC1:acc#322.itm(0)} -pin "ACC1:acc#327" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(1)} -pin "ACC1:acc#327" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(2)} -pin "ACC1:acc#327" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(3)} -pin "ACC1:acc#327" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#322.itm(4)} -pin "ACC1:acc#327" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#322.itm}
+load net {ACC1:acc#327.itm(0)} -pin "ACC1:acc#327" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(1)} -pin "ACC1:acc#327" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(2)} -pin "ACC1:acc#327" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(3)} -pin "ACC1:acc#327" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(4)} -pin "ACC1:acc#327" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(5)} -pin "ACC1:acc#327" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load inst "ACC2:not#2" "not(1)" "INTERFACE" -attr xrf 33767 -attr oid 931 -attr @path {/sobel/sobel:core/ACC2:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(2)} -pin "ACC2:not#2" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0)#4.itm}
+load net {ACC2:not#2.itm} -pin "ACC2:not#2" {Z(0)} -attr @path {/sobel/sobel:core/ACC2:not#2.itm}
+load inst "ACC2:not#7" "not(1)" "INTERFACE" -attr xrf 33768 -attr oid 932 -attr @path {/sobel/sobel:core/ACC2:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(1)} -pin "ACC2:not#7" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#18.lpi#1.dfm.sg1:mx0)#1.itm}
+load net {ACC2:not#7.itm} -pin "ACC2:not#7" {Z(0)} -attr @path {/sobel/sobel:core/ACC2:not#7.itm}
+load inst "ACC1-1:not#59" "not(1)" "INTERFACE" -attr xrf 33769 -attr oid 933 -attr @path {/sobel/sobel:core/ACC1-1:not#59} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "ACC1-1:not#59" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0).itm}
+load net {ACC1-1:not#59.itm} -pin "ACC1-1:not#59" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#59.itm}
+load inst "ACC1-1:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 33770 -attr oid 934 -attr @path {/sobel/sobel:core/ACC1-1:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {acc.imod#20.lpi#1.dfm:mx0(1)} -pin "ACC1-1:nand#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#20.lpi#1.dfm:mx0).itm}
+load net {ACC1-1:not#59.itm} -pin "ACC1-1:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#59.itm}
+load net {ACC1-1:nand#1.itm} -pin "ACC1-1:nand#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:nand#1.itm}
+load inst "ACC1:acc#311" "add(4,0,3,1,5)" "INTERFACE" -attr xrf 33771 -attr oid 935 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#311" {A(0)} -attr @path {/sobel/sobel:core/conc#612.itm}
+load net {ACC2:not#7.itm} -pin "ACC1:acc#311" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#612.itm}
+load net {PWR} -pin "ACC1:acc#311" {A(2)} -attr @path {/sobel/sobel:core/conc#612.itm}
+load net {ACC2:not#2.itm} -pin "ACC1:acc#311" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#612.itm}
+load net {ACC1-1:nand#1.itm} -pin "ACC1:acc#311" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#644.itm}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(0)} -pin "ACC1:acc#311" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#644.itm}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(1)} -pin "ACC1:acc#311" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#644.itm}
+load net {ACC1:acc#311.itm(0)} -pin "ACC1:acc#311" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(1)} -pin "ACC1:acc#311" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(2)} -pin "ACC1:acc#311" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(3)} -pin "ACC1:acc#311" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load net {ACC1:acc#311.itm(4)} -pin "ACC1:acc#311" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#311.itm}
+load inst "ACC1:acc#308" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 33772 -attr oid 936 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#308" {A(0)} -attr @path {/sobel/sobel:core/exs#37.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#308" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#37.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#308" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#37.itm}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(1)} -pin "ACC1:acc#308" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#638.itm}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(0)} -pin "ACC1:acc#308" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#638.itm}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(1)} -pin "ACC1:acc#308" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#638.itm}
+load net {ACC1:acc#308.itm(0)} -pin "ACC1:acc#308" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#308.itm(1)} -pin "ACC1:acc#308" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#308.itm(2)} -pin "ACC1:acc#308" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#308.itm(3)} -pin "ACC1:acc#308" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load net {ACC1:acc#308.itm(4)} -pin "ACC1:acc#308" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#308.itm}
+load inst "ACC1:acc#321" "add(4,-1,4,-1,4)" "INTERFACE" -attr xrf 33773 -attr oid 937 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#311.itm(1)} -pin "ACC1:acc#321" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#311.itm(2)} -pin "ACC1:acc#321" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#311.itm(3)} -pin "ACC1:acc#321" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#311.itm(4)} -pin "ACC1:acc#321" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#98.itm}
+load net {ACC1:acc#308.itm(1)} -pin "ACC1:acc#321" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#308.itm(2)} -pin "ACC1:acc#321" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#308.itm(3)} -pin "ACC1:acc#321" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#308.itm(4)} -pin "ACC1:acc#321" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#95.itm}
+load net {ACC1:acc#321.itm(0)} -pin "ACC1:acc#321" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(1)} -pin "ACC1:acc#321" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(2)} -pin "ACC1:acc#321" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(3)} -pin "ACC1:acc#321" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load inst "ACC1:acc#331" "add(6,0,4,0,7)" "INTERFACE" -attr xrf 33774 -attr oid 938 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {ACC1:acc#327.itm(0)} -pin "ACC1:acc#331" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(1)} -pin "ACC1:acc#331" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(2)} -pin "ACC1:acc#331" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(3)} -pin "ACC1:acc#331" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(4)} -pin "ACC1:acc#331" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#327.itm(5)} -pin "ACC1:acc#331" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#327.itm}
+load net {ACC1:acc#321.itm(0)} -pin "ACC1:acc#331" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(1)} -pin "ACC1:acc#331" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(2)} -pin "ACC1:acc#331" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#321.itm(3)} -pin "ACC1:acc#331" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#321.itm}
+load net {ACC1:acc#331.itm(0)} -pin "ACC1:acc#331" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(1)} -pin "ACC1:acc#331" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(2)} -pin "ACC1:acc#331" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(3)} -pin "ACC1:acc#331" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(4)} -pin "ACC1:acc#331" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(5)} -pin "ACC1:acc#331" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(6)} -pin "ACC1:acc#331" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load inst "ACC1:acc#335" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 33775 -attr oid 939 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#332.itm(0)} -pin "ACC1:acc#335" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(1)} -pin "ACC1:acc#335" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(2)} -pin "ACC1:acc#335" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(3)} -pin "ACC1:acc#335" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(4)} -pin "ACC1:acc#335" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(5)} -pin "ACC1:acc#335" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(6)} -pin "ACC1:acc#335" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#332.itm(7)} -pin "ACC1:acc#335" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#332.itm}
+load net {ACC1:acc#331.itm(0)} -pin "ACC1:acc#335" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(1)} -pin "ACC1:acc#335" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(2)} -pin "ACC1:acc#335" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(3)} -pin "ACC1:acc#335" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(4)} -pin "ACC1:acc#335" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(5)} -pin "ACC1:acc#335" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#331.itm(6)} -pin "ACC1:acc#335" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#331.itm}
+load net {ACC1:acc#335.itm(0)} -pin "ACC1:acc#335" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(1)} -pin "ACC1:acc#335" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(2)} -pin "ACC1:acc#335" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(3)} -pin "ACC1:acc#335" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(4)} -pin "ACC1:acc#335" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(5)} -pin "ACC1:acc#335" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(6)} -pin "ACC1:acc#335" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(7)} -pin "ACC1:acc#335" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load inst "ACC1:acc#338" "add(10,-1,8,0,10)" "INTERFACE" -attr xrf 33776 -attr oid 940 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
+load net {ACC1:acc#336.itm(0)} -pin "ACC1:acc#338" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(1)} -pin "ACC1:acc#338" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(2)} -pin "ACC1:acc#338" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(3)} -pin "ACC1:acc#338" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(4)} -pin "ACC1:acc#338" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(5)} -pin "ACC1:acc#338" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(6)} -pin "ACC1:acc#338" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(7)} -pin "ACC1:acc#338" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(8)} -pin "ACC1:acc#338" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#336.itm(9)} -pin "ACC1:acc#338" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#336.itm}
+load net {ACC1:acc#335.itm(0)} -pin "ACC1:acc#338" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(1)} -pin "ACC1:acc#338" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(2)} -pin "ACC1:acc#338" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(3)} -pin "ACC1:acc#338" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(4)} -pin "ACC1:acc#338" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(5)} -pin "ACC1:acc#338" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(6)} -pin "ACC1:acc#338" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#335.itm(7)} -pin "ACC1:acc#338" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#335.itm}
+load net {ACC1:acc#338.itm(0)} -pin "ACC1:acc#338" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(1)} -pin "ACC1:acc#338" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(2)} -pin "ACC1:acc#338" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(3)} -pin "ACC1:acc#338" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(4)} -pin "ACC1:acc#338" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(5)} -pin "ACC1:acc#338" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(6)} -pin "ACC1:acc#338" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(7)} -pin "ACC1:acc#338" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(8)} -pin "ACC1:acc#338" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(9)} -pin "ACC1:acc#338" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load inst "ACC1:acc#340" "add(13,-1,10,0,13)" "INTERFACE" -attr xrf 33777 -attr oid 941 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340} -attr area 14.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,0,12,1,13)"
+load net {ACC1:mul#20.itm(0)} -pin "ACC1:acc#340" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(1)} -pin "ACC1:acc#340" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(2)} -pin "ACC1:acc#340" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(3)} -pin "ACC1:acc#340" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(4)} -pin "ACC1:acc#340" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(5)} -pin "ACC1:acc#340" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(6)} -pin "ACC1:acc#340" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(7)} -pin "ACC1:acc#340" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(8)} -pin "ACC1:acc#340" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(9)} -pin "ACC1:acc#340" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(10)} -pin "ACC1:acc#340" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(11)} -pin "ACC1:acc#340" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:mul#20.itm(12)} -pin "ACC1:acc#340" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#20.itm}
+load net {ACC1:acc#338.itm(0)} -pin "ACC1:acc#340" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(1)} -pin "ACC1:acc#340" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(2)} -pin "ACC1:acc#340" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(3)} -pin "ACC1:acc#340" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(4)} -pin "ACC1:acc#340" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(5)} -pin "ACC1:acc#340" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(6)} -pin "ACC1:acc#340" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(7)} -pin "ACC1:acc#340" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(8)} -pin "ACC1:acc#340" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#338.itm(9)} -pin "ACC1:acc#340" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#338.itm}
+load net {ACC1:acc#340.itm(0)} -pin "ACC1:acc#340" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(1)} -pin "ACC1:acc#340" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(2)} -pin "ACC1:acc#340" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(3)} -pin "ACC1:acc#340" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(4)} -pin "ACC1:acc#340" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(5)} -pin "ACC1:acc#340" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(6)} -pin "ACC1:acc#340" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(7)} -pin "ACC1:acc#340" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(8)} -pin "ACC1:acc#340" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(9)} -pin "ACC1:acc#340" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(10)} -pin "ACC1:acc#340" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(11)} -pin "ACC1:acc#340" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(12)} -pin "ACC1:acc#340" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load inst "ACC2:acc#4" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33778 -attr oid 942 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#4} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(9)} -pin "ACC2:acc#4" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#10.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(9)} -pin "ACC2:acc#4" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#10.itm}
+load net {ACC2:acc#4.itm(0)} -pin "ACC2:acc#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#4.itm}
+load net {ACC2:acc#4.itm(1)} -pin "ACC2:acc#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#4.itm}
+load inst "ACC1:mul#19" "mul(2,0,9,0,10)" "INTERFACE" -attr xrf 33779 -attr oid 943 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC2:acc#4.itm(0)} -pin "ACC1:mul#19" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#4.itm}
+load net {ACC2:acc#4.itm(1)} -pin "ACC1:mul#19" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#4.itm}
+load net {PWR} -pin "ACC1:mul#19" {B(0)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#19" {B(1)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#19" {B(2)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#19" {B(3)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#19" {B(4)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#19" {B(5)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#19" {B(6)} -attr @path {/sobel/sobel:core/C341_9}
+load net {GND} -pin "ACC1:mul#19" {B(7)} -attr @path {/sobel/sobel:core/C341_9}
+load net {PWR} -pin "ACC1:mul#19" {B(8)} -attr @path {/sobel/sobel:core/C341_9}
+load net {ACC1:mul#19.itm(0)} -pin "ACC1:mul#19" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(1)} -pin "ACC1:mul#19" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(2)} -pin "ACC1:mul#19" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(3)} -pin "ACC1:mul#19" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(4)} -pin "ACC1:mul#19" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(5)} -pin "ACC1:mul#19" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(6)} -pin "ACC1:mul#19" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(7)} -pin "ACC1:mul#19" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(8)} -pin "ACC1:mul#19" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(9)} -pin "ACC1:mul#19" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load inst "ACC1:acc#347" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33780 -attr oid 944 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} -pin "ACC1:acc#347" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#11.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#347" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#4.itm}
+load net {ACC1:acc#347.itm(0)} -pin "ACC1:acc#347" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load net {ACC1:acc#347.itm(1)} -pin "ACC1:acc#347" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#347.itm}
+load inst "ACC1:acc#348" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 33781 -attr oid 945 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} -pin "ACC1:acc#348" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:exs.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} -pin "ACC1:acc#348" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:exs.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#348" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:exs#1.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#348" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:exs#1.itm}
+load net {ACC1:acc#348.itm(0)} -pin "ACC1:acc#348" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {ACC1:acc#348.itm(1)} -pin "ACC1:acc#348" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load net {ACC1:acc#348.itm(2)} -pin "ACC1:acc#348" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#348.itm}
+load inst "ACC1:acc#326" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 33782 -attr oid 946 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#348.itm(0)} -pin "ACC1:acc#326" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#657.itm}
+load net {ACC1:acc#348.itm(1)} -pin "ACC1:acc#326" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#657.itm}
+load net {ACC1:acc#348.itm(2)} -pin "ACC1:acc#326" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#657.itm}
+load net {ACC1:acc#347.itm(0)} -pin "ACC1:acc#326" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#657.itm}
+load net {ACC1:acc#347.itm(1)} -pin "ACC1:acc#326" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#657.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#326" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#615.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#326" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#615.itm}
+load net {GND} -pin "ACC1:acc#326" {B(2)} -attr @path {/sobel/sobel:core/conc#615.itm}
+load net {GND} -pin "ACC1:acc#326" {B(3)} -attr @path {/sobel/sobel:core/conc#615.itm}
+load net {PWR} -pin "ACC1:acc#326" {B(4)} -attr @path {/sobel/sobel:core/conc#615.itm}
+load net {ACC1:acc#326.itm(0)} -pin "ACC1:acc#326" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(1)} -pin "ACC1:acc#326" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(2)} -pin "ACC1:acc#326" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(3)} -pin "ACC1:acc#326" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(4)} -pin "ACC1:acc#326" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load inst "ACC1:acc#329" "add(6,0,5,1,7)" "INTERFACE" -attr xrf 33783 -attr oid 947 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#329" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#329" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {GND} -pin "ACC1:acc#329" {A(2)} -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#329" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {GND} -pin "ACC1:acc#329" {A(4)} -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -pin "ACC1:acc#329" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#614.itm}
+load net {ACC1:acc#326.itm(0)} -pin "ACC1:acc#329" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(1)} -pin "ACC1:acc#329" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(2)} -pin "ACC1:acc#329" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(3)} -pin "ACC1:acc#329" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#326.itm(4)} -pin "ACC1:acc#329" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#326.itm}
+load net {ACC1:acc#329.itm(0)} -pin "ACC1:acc#329" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(1)} -pin "ACC1:acc#329" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(2)} -pin "ACC1:acc#329" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(3)} -pin "ACC1:acc#329" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(4)} -pin "ACC1:acc#329" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(5)} -pin "ACC1:acc#329" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(6)} -pin "ACC1:acc#329" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load inst "ACC1:acc#333" "add(7,1,7,0,9)" "INTERFACE" -attr xrf 33784 -attr oid 948 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#329.itm(0)} -pin "ACC1:acc#333" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(1)} -pin "ACC1:acc#333" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(2)} -pin "ACC1:acc#333" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(3)} -pin "ACC1:acc#333" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(4)} -pin "ACC1:acc#333" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(5)} -pin "ACC1:acc#333" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#329.itm(6)} -pin "ACC1:acc#333" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#329.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#333" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#541.itm}
+load net {ACC1:acc#333.itm(0)} -pin "ACC1:acc#333" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(1)} -pin "ACC1:acc#333" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(2)} -pin "ACC1:acc#333" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(3)} -pin "ACC1:acc#333" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(4)} -pin "ACC1:acc#333" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(5)} -pin "ACC1:acc#333" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(6)} -pin "ACC1:acc#333" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(7)} -pin "ACC1:acc#333" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(8)} -pin "ACC1:acc#333" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load inst "ACC1:acc#337" "add(10,0,9,1,11)" "INTERFACE" -attr xrf 33785 -attr oid 949 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
+load net {ACC1:mul#19.itm(0)} -pin "ACC1:acc#337" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(1)} -pin "ACC1:acc#337" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(2)} -pin "ACC1:acc#337" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(3)} -pin "ACC1:acc#337" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(4)} -pin "ACC1:acc#337" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(5)} -pin "ACC1:acc#337" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(6)} -pin "ACC1:acc#337" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(7)} -pin "ACC1:acc#337" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(8)} -pin "ACC1:acc#337" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:mul#19.itm(9)} -pin "ACC1:acc#337" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#19.itm}
+load net {ACC1:acc#333.itm(0)} -pin "ACC1:acc#337" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(1)} -pin "ACC1:acc#337" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(2)} -pin "ACC1:acc#337" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(3)} -pin "ACC1:acc#337" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(4)} -pin "ACC1:acc#337" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(5)} -pin "ACC1:acc#337" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(6)} -pin "ACC1:acc#337" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(7)} -pin "ACC1:acc#337" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#333.itm(8)} -pin "ACC1:acc#337" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#333.itm}
+load net {ACC1:acc#337.itm(0)} -pin "ACC1:acc#337" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(1)} -pin "ACC1:acc#337" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(2)} -pin "ACC1:acc#337" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(3)} -pin "ACC1:acc#337" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(4)} -pin "ACC1:acc#337" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(5)} -pin "ACC1:acc#337" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(6)} -pin "ACC1:acc#337" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(7)} -pin "ACC1:acc#337" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(8)} -pin "ACC1:acc#337" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(9)} -pin "ACC1:acc#337" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(10)} -pin "ACC1:acc#337" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load inst "ACC2:acc#7" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 33786 -attr oid 950 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} -pin "ACC2:acc#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.lpi#1.dfm:mx0)#13.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} -pin "ACC2:acc#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.lpi#1.dfm:mx0)#5.itm}
+load net {ACC2:acc#7.itm(0)} -pin "ACC2:acc#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(1)} -pin "ACC2:acc#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load inst "ACC1:mul#22" "mul(2,0,7,0,8)" "INTERFACE" -attr xrf 33787 -attr oid 951 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC2:acc#7.itm(0)} -pin "ACC1:mul#22" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(1)} -pin "ACC1:mul#22" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {PWR} -pin "ACC1:mul#22" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#22" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#22" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#22" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#22" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
+load net {GND} -pin "ACC1:mul#22" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
+load net {PWR} -pin "ACC1:mul#22" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
+load net {ACC1:mul#22.itm(0)} -pin "ACC1:mul#22" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(1)} -pin "ACC1:mul#22" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(2)} -pin "ACC1:mul#22" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(3)} -pin "ACC1:mul#22" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(4)} -pin "ACC1:mul#22" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(5)} -pin "ACC1:mul#22" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(6)} -pin "ACC1:mul#22" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load net {ACC1:mul#22.itm(7)} -pin "ACC1:mul#22" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#22.itm}
+load inst "ACC1:acc#339" "add(11,1,11,0,13)" "INTERFACE" -attr xrf 33788 -attr oid 952 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,13)"
+load net {ACC1:acc#337.itm(0)} -pin "ACC1:acc#339" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(1)} -pin "ACC1:acc#339" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(2)} -pin "ACC1:acc#339" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(3)} -pin "ACC1:acc#339" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(4)} -pin "ACC1:acc#339" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(5)} -pin "ACC1:acc#339" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(6)} -pin "ACC1:acc#339" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(7)} -pin "ACC1:acc#339" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(8)} -pin "ACC1:acc#339" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(9)} -pin "ACC1:acc#339" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#337.itm(10)} -pin "ACC1:acc#339" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#337.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#339" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "ACC1:acc#339" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(3)} -pin "ACC1:acc#339" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(0)} -pin "ACC1:acc#339" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(1)} -pin "ACC1:acc#339" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(2)} -pin "ACC1:acc#339" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(3)} -pin "ACC1:acc#339" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(4)} -pin "ACC1:acc#339" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(5)} -pin "ACC1:acc#339" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(6)} -pin "ACC1:acc#339" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:mul#22.itm(7)} -pin "ACC1:acc#339" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#441.itm}
+load net {ACC1:acc#339.itm(0)} -pin "ACC1:acc#339" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(1)} -pin "ACC1:acc#339" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(2)} -pin "ACC1:acc#339" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(3)} -pin "ACC1:acc#339" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(4)} -pin "ACC1:acc#339" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(5)} -pin "ACC1:acc#339" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(6)} -pin "ACC1:acc#339" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(7)} -pin "ACC1:acc#339" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(8)} -pin "ACC1:acc#339" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(9)} -pin "ACC1:acc#339" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(10)} -pin "ACC1:acc#339" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(11)} -pin "ACC1:acc#339" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(12)} -pin "ACC1:acc#339" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load inst "ACC1:acc#341" "add(13,-1,13,-1,13)" "INTERFACE" -attr xrf 33789 -attr oid 953 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341} -attr area 14.215154 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,0,13,0,13)"
+load net {ACC1:acc#340.itm(0)} -pin "ACC1:acc#341" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(1)} -pin "ACC1:acc#341" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(2)} -pin "ACC1:acc#341" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(3)} -pin "ACC1:acc#341" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(4)} -pin "ACC1:acc#341" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(5)} -pin "ACC1:acc#341" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(6)} -pin "ACC1:acc#341" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(7)} -pin "ACC1:acc#341" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(8)} -pin "ACC1:acc#341" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(9)} -pin "ACC1:acc#341" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(10)} -pin "ACC1:acc#341" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(11)} -pin "ACC1:acc#341" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#340.itm(12)} -pin "ACC1:acc#341" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#340.itm}
+load net {ACC1:acc#339.itm(0)} -pin "ACC1:acc#341" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(1)} -pin "ACC1:acc#341" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(2)} -pin "ACC1:acc#341" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(3)} -pin "ACC1:acc#341" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(4)} -pin "ACC1:acc#341" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(5)} -pin "ACC1:acc#341" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(6)} -pin "ACC1:acc#341" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(7)} -pin "ACC1:acc#341" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(8)} -pin "ACC1:acc#341" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(9)} -pin "ACC1:acc#341" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(10)} -pin "ACC1:acc#341" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(11)} -pin "ACC1:acc#341" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#339.itm(12)} -pin "ACC1:acc#341" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#339.itm}
+load net {ACC1:acc#341.itm(0)} -pin "ACC1:acc#341" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(1)} -pin "ACC1:acc#341" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(2)} -pin "ACC1:acc#341" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(3)} -pin "ACC1:acc#341" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(4)} -pin "ACC1:acc#341" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(5)} -pin "ACC1:acc#341" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(6)} -pin "ACC1:acc#341" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(7)} -pin "ACC1:acc#341" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(8)} -pin "ACC1:acc#341" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(9)} -pin "ACC1:acc#341" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(10)} -pin "ACC1:acc#341" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(11)} -pin "ACC1:acc#341" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(12)} -pin "ACC1:acc#341" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load inst "reg(ACC1:acc#341.itm#1)" "reg(13,1,1,-1,0)" "INTERFACE" -attr xrf 33790 -attr oid 954 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#341.itm#1)}
+load net {ACC1:acc#341.itm(0)} -pin "reg(ACC1:acc#341.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(1)} -pin "reg(ACC1:acc#341.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(2)} -pin "reg(ACC1:acc#341.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(3)} -pin "reg(ACC1:acc#341.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(4)} -pin "reg(ACC1:acc#341.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(5)} -pin "reg(ACC1:acc#341.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(6)} -pin "reg(ACC1:acc#341.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(7)} -pin "reg(ACC1:acc#341.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(8)} -pin "reg(ACC1:acc#341.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(9)} -pin "reg(ACC1:acc#341.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(10)} -pin "reg(ACC1:acc#341.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(11)} -pin "reg(ACC1:acc#341.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {ACC1:acc#341.itm(12)} -pin "reg(ACC1:acc#341.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(ACC1:acc#341.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_13}
+load net {clk} -pin "reg(ACC1:acc#341.itm#1)" {clk} -attr xrf 33791 -attr oid 955 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#341.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#341.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#341.itm#1(0)} -pin "reg(ACC1:acc#341.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(1)} -pin "reg(ACC1:acc#341.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(2)} -pin "reg(ACC1:acc#341.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(3)} -pin "reg(ACC1:acc#341.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(4)} -pin "reg(ACC1:acc#341.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(5)} -pin "reg(ACC1:acc#341.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(6)} -pin "reg(ACC1:acc#341.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(7)} -pin "reg(ACC1:acc#341.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(8)} -pin "reg(ACC1:acc#341.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(9)} -pin "reg(ACC1:acc#341.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(10)} -pin "reg(ACC1:acc#341.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(11)} -pin "reg(ACC1:acc#341.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(12)} -pin "reg(ACC1:acc#341.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load inst "mux#2" "mux(2,16)" "INTERFACE" -attr xrf 33792 -attr oid 956 -attr vt d -attr @path {/sobel/sobel:core/mux#2} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {in(0).sva#3(0)} -pin "mux#2" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(1)} -pin "mux#2" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(2)} -pin "mux#2" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(3)} -pin "mux#2" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(4)} -pin "mux#2" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(5)} -pin "mux#2" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(6)} -pin "mux#2" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(7)} -pin "mux#2" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(8)} -pin "mux#2" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(9)} -pin "mux#2" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(10)} -pin "mux#2" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(11)} -pin "mux#2" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(12)} -pin "mux#2" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(13)} -pin "mux#2" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(14)} -pin "mux#2" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(15)} -pin "mux#2" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#1(0)} -pin "mux#2" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(1)} -pin "mux#2" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(2)} -pin "mux#2" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(3)} -pin "mux#2" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(4)} -pin "mux#2" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(5)} -pin "mux#2" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(6)} -pin "mux#2" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(7)} -pin "mux#2" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(8)} -pin "mux#2" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(9)} -pin "mux#2" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(10)} -pin "mux#2" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(11)} -pin "mux#2" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(12)} -pin "mux#2" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(13)} -pin "mux#2" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(14)} -pin "mux#2" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(15)} -pin "mux#2" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {main.stage_0#2} -pin "mux#2" {S(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load net {mux#2.itm(0)} -pin "mux#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(1)} -pin "mux#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(2)} -pin "mux#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(3)} -pin "mux#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(4)} -pin "mux#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(5)} -pin "mux#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(6)} -pin "mux#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(7)} -pin "mux#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(8)} -pin "mux#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(9)} -pin "mux#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(10)} -pin "mux#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(11)} -pin "mux#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(12)} -pin "mux#2" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(13)} -pin "mux#2" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(14)} -pin "mux#2" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(15)} -pin "mux#2" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load inst "reg(in(0).sva#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 33793 -attr oid 957 -attr vt d -attr @path {/sobel/sobel:core/reg(in(0).sva#1)}
+load net {mux#2.itm(0)} -pin "reg(in(0).sva#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(1)} -pin "reg(in(0).sva#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(2)} -pin "reg(in(0).sva#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(3)} -pin "reg(in(0).sva#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(4)} -pin "reg(in(0).sva#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(5)} -pin "reg(in(0).sva#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(6)} -pin "reg(in(0).sva#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(7)} -pin "reg(in(0).sva#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(8)} -pin "reg(in(0).sva#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(9)} -pin "reg(in(0).sva#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(10)} -pin "reg(in(0).sva#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(11)} -pin "reg(in(0).sva#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(12)} -pin "reg(in(0).sva#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(13)} -pin "reg(in(0).sva#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(14)} -pin "reg(in(0).sva#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {mux#2.itm(15)} -pin "reg(in(0).sva#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(in(0).sva#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(in(0).sva#1)" {clk} -attr xrf 33794 -attr oid 958 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(in(0).sva#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(in(0).sva#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {in(0).sva#1(0)} -pin "reg(in(0).sva#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(1)} -pin "reg(in(0).sva#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(2)} -pin "reg(in(0).sva#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(3)} -pin "reg(in(0).sva#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(4)} -pin "reg(in(0).sva#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(5)} -pin "reg(in(0).sva#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(6)} -pin "reg(in(0).sva#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(7)} -pin "reg(in(0).sva#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(8)} -pin "reg(in(0).sva#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(9)} -pin "reg(in(0).sva#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(10)} -pin "reg(in(0).sva#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(11)} -pin "reg(in(0).sva#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(12)} -pin "reg(in(0).sva#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(13)} -pin "reg(in(0).sva#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(14)} -pin "reg(in(0).sva#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(15)} -pin "reg(in(0).sva#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load inst "FRAME:for:not#7" "not(1)" "INTERFACE" -attr xrf 33795 -attr oid 959 -attr @path {/sobel/sobel:core/FRAME:for:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:not#7" {A(0)} -attr @path {/sobel/sobel:core/FRAME:for:slc#3.itm}
+load net {FRAME:for:not#7.itm} -pin "FRAME:for:not#7" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#7.itm}
+load inst "reg(exit:FRAME:for.sva#1.st#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 33796 -attr oid 960 -attr @path {/sobel/sobel:core/reg(exit:FRAME:for.sva#1.st#1)}
+load net {FRAME:for:not#7.itm} -pin "reg(exit:FRAME:for.sva#1.st#1)" {D(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#7.itm}
+load net {GND} -pin "reg(exit:FRAME:for.sva#1.st#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
+load net {clk} -pin "reg(exit:FRAME:for.sva#1.st#1)" {clk} -attr xrf 33797 -attr oid 961 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME:for.sva#1.st#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME:for.sva#1.st#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME:for.sva#1.st#1} -pin "reg(exit:FRAME:for.sva#1.st#1)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.sva#1.st#1}
+load inst "regs.operator[]#16:mux" "mux(4,10)" "INTERFACE" -attr xrf 33798 -attr oid 962 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#16:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#16:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(10)} -pin "regs.operator[]#16:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(11)} -pin "regs.operator[]#16:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(12)} -pin "regs.operator[]#16:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(13)} -pin "regs.operator[]#16:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(14)} -pin "regs.operator[]#16:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(15)} -pin "regs.operator[]#16:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(16)} -pin "regs.operator[]#16:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(17)} -pin "regs.operator[]#16:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(18)} -pin "regs.operator[]#16:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(19)} -pin "regs.operator[]#16:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(70)} -pin "regs.operator[]#16:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(71)} -pin "regs.operator[]#16:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(72)} -pin "regs.operator[]#16:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(73)} -pin "regs.operator[]#16:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(74)} -pin "regs.operator[]#16:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(75)} -pin "regs.operator[]#16:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(76)} -pin "regs.operator[]#16:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(77)} -pin "regs.operator[]#16:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(78)} -pin "regs.operator[]#16:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(79)} -pin "regs.operator[]#16:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(70)} -pin "regs.operator[]#16:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(71)} -pin "regs.operator[]#16:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(72)} -pin "regs.operator[]#16:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(73)} -pin "regs.operator[]#16:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(74)} -pin "regs.operator[]#16:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(75)} -pin "regs.operator[]#16:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(76)} -pin "regs.operator[]#16:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(77)} -pin "regs.operator[]#16:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(78)} -pin "regs.operator[]#16:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(79)} -pin "regs.operator[]#16:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#16:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#16:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#16:mux.itm(0)} -pin "regs.operator[]#16:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(1)} -pin "regs.operator[]#16:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(2)} -pin "regs.operator[]#16:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(3)} -pin "regs.operator[]#16:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(4)} -pin "regs.operator[]#16:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(5)} -pin "regs.operator[]#16:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(6)} -pin "regs.operator[]#16:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(7)} -pin "regs.operator[]#16:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(8)} -pin "regs.operator[]#16:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(9)} -pin "regs.operator[]#16:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load inst "FRAME:for:mul#7" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 33799 -attr oid 963 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#16:mux.itm(0)} -pin "FRAME:for:mul#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(1)} -pin "FRAME:for:mul#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(2)} -pin "FRAME:for:mul#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(3)} -pin "FRAME:for:mul#7" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(4)} -pin "FRAME:for:mul#7" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(5)} -pin "FRAME:for:mul#7" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(6)} -pin "FRAME:for:mul#7" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(7)} -pin "FRAME:for:mul#7" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(8)} -pin "FRAME:for:mul#7" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {regs.operator[]#16:mux.itm(9)} -pin "FRAME:for:mul#7" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#16:mux.itm}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:mul#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {FRAME:for:mul#7.itm(0)} -pin "FRAME:for:mul#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(1)} -pin "FRAME:for:mul#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(2)} -pin "FRAME:for:mul#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(3)} -pin "FRAME:for:mul#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(4)} -pin "FRAME:for:mul#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(5)} -pin "FRAME:for:mul#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(6)} -pin "FRAME:for:mul#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(7)} -pin "FRAME:for:mul#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(8)} -pin "FRAME:for:mul#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(9)} -pin "FRAME:for:mul#7" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(10)} -pin "FRAME:for:mul#7" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load inst "regs.operator[]#17:mux" "mux(4,10)" "INTERFACE" -attr xrf 33800 -attr oid 964 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#17:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#17:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(0)} -pin "regs.operator[]#17:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(1)} -pin "regs.operator[]#17:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(2)} -pin "regs.operator[]#17:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(3)} -pin "regs.operator[]#17:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(4)} -pin "regs.operator[]#17:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(5)} -pin "regs.operator[]#17:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(6)} -pin "regs.operator[]#17:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(7)} -pin "regs.operator[]#17:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(8)} -pin "regs.operator[]#17:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(9)} -pin "regs.operator[]#17:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(60)} -pin "regs.operator[]#17:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(61)} -pin "regs.operator[]#17:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(62)} -pin "regs.operator[]#17:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(63)} -pin "regs.operator[]#17:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(64)} -pin "regs.operator[]#17:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(65)} -pin "regs.operator[]#17:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(66)} -pin "regs.operator[]#17:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(67)} -pin "regs.operator[]#17:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(68)} -pin "regs.operator[]#17:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(69)} -pin "regs.operator[]#17:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(60)} -pin "regs.operator[]#17:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(61)} -pin "regs.operator[]#17:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(62)} -pin "regs.operator[]#17:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(63)} -pin "regs.operator[]#17:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(64)} -pin "regs.operator[]#17:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(65)} -pin "regs.operator[]#17:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(66)} -pin "regs.operator[]#17:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(67)} -pin "regs.operator[]#17:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(68)} -pin "regs.operator[]#17:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(69)} -pin "regs.operator[]#17:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#17:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#17:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#17:mux.itm(0)} -pin "regs.operator[]#17:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(1)} -pin "regs.operator[]#17:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(2)} -pin "regs.operator[]#17:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(3)} -pin "regs.operator[]#17:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(4)} -pin "regs.operator[]#17:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(5)} -pin "regs.operator[]#17:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(6)} -pin "regs.operator[]#17:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(7)} -pin "regs.operator[]#17:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(8)} -pin "regs.operator[]#17:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(9)} -pin "regs.operator[]#17:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load inst "FRAME:for:mul#8" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 33801 -attr oid 965 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#17:mux.itm(0)} -pin "FRAME:for:mul#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(1)} -pin "FRAME:for:mul#8" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(2)} -pin "FRAME:for:mul#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(3)} -pin "FRAME:for:mul#8" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(4)} -pin "FRAME:for:mul#8" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(5)} -pin "FRAME:for:mul#8" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(6)} -pin "FRAME:for:mul#8" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(7)} -pin "FRAME:for:mul#8" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(8)} -pin "FRAME:for:mul#8" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {regs.operator[]#17:mux.itm(9)} -pin "FRAME:for:mul#8" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#17:mux.itm}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:mul#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {FRAME:for:mul#8.itm(0)} -pin "FRAME:for:mul#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(1)} -pin "FRAME:for:mul#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(2)} -pin "FRAME:for:mul#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(3)} -pin "FRAME:for:mul#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(4)} -pin "FRAME:for:mul#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(5)} -pin "FRAME:for:mul#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(6)} -pin "FRAME:for:mul#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(7)} -pin "FRAME:for:mul#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(8)} -pin "FRAME:for:mul#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(9)} -pin "FRAME:for:mul#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(10)} -pin "FRAME:for:mul#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load inst "FRAME:for:acc#25" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 33802 -attr oid 966 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {FRAME:for:mul#7.itm(0)} -pin "FRAME:for:acc#25" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(1)} -pin "FRAME:for:acc#25" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(2)} -pin "FRAME:for:acc#25" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(3)} -pin "FRAME:for:acc#25" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(4)} -pin "FRAME:for:acc#25" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(5)} -pin "FRAME:for:acc#25" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(6)} -pin "FRAME:for:acc#25" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(7)} -pin "FRAME:for:acc#25" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(8)} -pin "FRAME:for:acc#25" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(9)} -pin "FRAME:for:acc#25" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(10)} -pin "FRAME:for:acc#25" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#8.itm(0)} -pin "FRAME:for:acc#25" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(1)} -pin "FRAME:for:acc#25" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(2)} -pin "FRAME:for:acc#25" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(3)} -pin "FRAME:for:acc#25" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(4)} -pin "FRAME:for:acc#25" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(5)} -pin "FRAME:for:acc#25" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(6)} -pin "FRAME:for:acc#25" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(7)} -pin "FRAME:for:acc#25" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(8)} -pin "FRAME:for:acc#25" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(9)} -pin "FRAME:for:acc#25" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(10)} -pin "FRAME:for:acc#25" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:acc#25.itm(0)} -pin "FRAME:for:acc#25" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(1)} -pin "FRAME:for:acc#25" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(2)} -pin "FRAME:for:acc#25" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(3)} -pin "FRAME:for:acc#25" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(4)} -pin "FRAME:for:acc#25" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(5)} -pin "FRAME:for:acc#25" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(6)} -pin "FRAME:for:acc#25" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(7)} -pin "FRAME:for:acc#25" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(8)} -pin "FRAME:for:acc#25" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(9)} -pin "FRAME:for:acc#25" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(10)} -pin "FRAME:for:acc#25" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(11)} -pin "FRAME:for:acc#25" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load inst "regs.operator[]#15:mux" "mux(4,10)" "INTERFACE" -attr xrf 33803 -attr oid 967 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#15:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#15:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(20)} -pin "regs.operator[]#15:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(21)} -pin "regs.operator[]#15:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(22)} -pin "regs.operator[]#15:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(23)} -pin "regs.operator[]#15:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(24)} -pin "regs.operator[]#15:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(25)} -pin "regs.operator[]#15:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(26)} -pin "regs.operator[]#15:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(27)} -pin "regs.operator[]#15:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(28)} -pin "regs.operator[]#15:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(29)} -pin "regs.operator[]#15:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm.sg2:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(80)} -pin "regs.operator[]#15:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(81)} -pin "regs.operator[]#15:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(82)} -pin "regs.operator[]#15:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(83)} -pin "regs.operator[]#15:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(84)} -pin "regs.operator[]#15:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(85)} -pin "regs.operator[]#15:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(86)} -pin "regs.operator[]#15:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(87)} -pin "regs.operator[]#15:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(88)} -pin "regs.operator[]#15:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(89)} -pin "regs.operator[]#15:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(80)} -pin "regs.operator[]#15:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(81)} -pin "regs.operator[]#15:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(82)} -pin "regs.operator[]#15:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(83)} -pin "regs.operator[]#15:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(84)} -pin "regs.operator[]#15:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(85)} -pin "regs.operator[]#15:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(86)} -pin "regs.operator[]#15:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(87)} -pin "regs.operator[]#15:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(88)} -pin "regs.operator[]#15:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(89)} -pin "regs.operator[]#15:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#15:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#15:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#15:mux.itm(0)} -pin "regs.operator[]#15:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(1)} -pin "regs.operator[]#15:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(2)} -pin "regs.operator[]#15:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(3)} -pin "regs.operator[]#15:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(4)} -pin "regs.operator[]#15:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(5)} -pin "regs.operator[]#15:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(6)} -pin "regs.operator[]#15:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(7)} -pin "regs.operator[]#15:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(8)} -pin "regs.operator[]#15:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(9)} -pin "regs.operator[]#15:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load inst "FRAME:for:mul#6" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 33804 -attr oid 968 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#15:mux.itm(0)} -pin "FRAME:for:mul#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(1)} -pin "FRAME:for:mul#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(2)} -pin "FRAME:for:mul#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(3)} -pin "FRAME:for:mul#6" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(4)} -pin "FRAME:for:mul#6" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(5)} -pin "FRAME:for:mul#6" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(6)} -pin "FRAME:for:mul#6" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(7)} -pin "FRAME:for:mul#6" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(8)} -pin "FRAME:for:mul#6" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {regs.operator[]#15:mux.itm(9)} -pin "FRAME:for:mul#6" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#15:mux.itm}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:mul#6" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#6" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#16}
+load net {FRAME:for:mul#6.itm(0)} -pin "FRAME:for:mul#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(1)} -pin "FRAME:for:mul#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(2)} -pin "FRAME:for:mul#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(3)} -pin "FRAME:for:mul#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(4)} -pin "FRAME:for:mul#6" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(5)} -pin "FRAME:for:mul#6" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(6)} -pin "FRAME:for:mul#6" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(7)} -pin "FRAME:for:mul#6" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(8)} -pin "FRAME:for:mul#6" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(9)} -pin "FRAME:for:mul#6" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(10)} -pin "FRAME:for:mul#6" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load inst "FRAME:for:acc#26" "add(12,-1,11,1,12)" "INTERFACE" -attr xrf 33805 -attr oid 969 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {FRAME:for:acc#25.itm(0)} -pin "FRAME:for:acc#26" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(1)} -pin "FRAME:for:acc#26" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(2)} -pin "FRAME:for:acc#26" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(3)} -pin "FRAME:for:acc#26" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(4)} -pin "FRAME:for:acc#26" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(5)} -pin "FRAME:for:acc#26" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(6)} -pin "FRAME:for:acc#26" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(7)} -pin "FRAME:for:acc#26" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(8)} -pin "FRAME:for:acc#26" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(9)} -pin "FRAME:for:acc#26" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(10)} -pin "FRAME:for:acc#26" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:acc#25.itm(11)} -pin "FRAME:for:acc#26" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#25.itm}
+load net {FRAME:for:mul#6.itm(0)} -pin "FRAME:for:acc#26" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(1)} -pin "FRAME:for:acc#26" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(2)} -pin "FRAME:for:acc#26" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(3)} -pin "FRAME:for:acc#26" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(4)} -pin "FRAME:for:acc#26" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(5)} -pin "FRAME:for:acc#26" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(6)} -pin "FRAME:for:acc#26" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(7)} -pin "FRAME:for:acc#26" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(8)} -pin "FRAME:for:acc#26" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(9)} -pin "FRAME:for:acc#26" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(10)} -pin "FRAME:for:acc#26" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:acc#26.itm(0)} -pin "FRAME:for:acc#26" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(1)} -pin "FRAME:for:acc#26" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(2)} -pin "FRAME:for:acc#26" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(3)} -pin "FRAME:for:acc#26" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(4)} -pin "FRAME:for:acc#26" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(5)} -pin "FRAME:for:acc#26" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(6)} -pin "FRAME:for:acc#26" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(7)} -pin "FRAME:for:acc#26" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(8)} -pin "FRAME:for:acc#26" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(9)} -pin "FRAME:for:acc#26" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(10)} -pin "FRAME:for:acc#26" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(11)} -pin "FRAME:for:acc#26" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load inst "reg(FRAME:for:acc#26.itm#1)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 33806 -attr oid 970 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:for:acc#26.itm#1)}
+load net {FRAME:for:acc#26.itm(0)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(1)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(2)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(3)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(4)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(5)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(6)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(7)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(8)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(9)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(10)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(11)} -pin "reg(FRAME:for:acc#26.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:acc#26.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
+load net {clk} -pin "reg(FRAME:for:acc#26.itm#1)" {clk} -attr xrf 33807 -attr oid 971 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:for:acc#26.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:for:acc#26.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:for:acc#26.itm#1(0)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(1)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(2)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(3)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(4)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(5)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(6)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(7)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(8)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(9)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(10)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(11)} -pin "reg(FRAME:for:acc#26.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load inst "ACC1:acc#242" "add(3,1,2,1,4)" "INTERFACE" -attr xrf 33808 -attr oid 972 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {PWR} -pin "ACC1:acc#242" {A(0)} -attr @path {/sobel/sobel:core/conc#617.itm}
+load net {acc#10.psp#1.sva(3)} -pin "ACC1:acc#242" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#617.itm}
+load net {PWR} -pin "ACC1:acc#242" {A(2)} -attr @path {/sobel/sobel:core/conc#617.itm}
+load net {acc#10.psp#1.sva(4)} -pin "ACC1:acc#242" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#582.itm}
+load net {ACC1:acc#113.psp#1.sva(3)} -pin "ACC1:acc#242" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#582.itm}
+load net {ACC1:acc#242.itm(0)} -pin "ACC1:acc#242" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
+load net {ACC1:acc#242.itm(1)} -pin "ACC1:acc#242" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
+load net {ACC1:acc#242.itm(2)} -pin "ACC1:acc#242" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
+load net {ACC1:acc#242.itm(3)} -pin "ACC1:acc#242" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
+load inst "ACC1:acc#251" "add(3,-1,2,1,3)" "INTERFACE" -attr xrf 33809 -attr oid 973 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {ACC1:acc#242.itm(1)} -pin "ACC1:acc#251" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#68.itm}
+load net {ACC1:acc#242.itm(2)} -pin "ACC1:acc#251" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#68.itm}
+load net {ACC1:acc#242.itm(3)} -pin "ACC1:acc#251" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#68.itm}
+load net {ACC1:acc#120.psp.sva(1)} -pin "ACC1:acc#251" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp.sva)#2.itm}
+load net {ACC1:acc#120.psp.sva(2)} -pin "ACC1:acc#251" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp.sva)#2.itm}
+load net {ACC1:acc#251.itm(0)} -pin "ACC1:acc#251" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load net {ACC1:acc#251.itm(1)} -pin "ACC1:acc#251" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load net {ACC1:acc#251.itm(2)} -pin "ACC1:acc#251" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load inst "ACC1:acc#243" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 33810 -attr oid 974 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#243" {A(0)} -attr @path {/sobel/sobel:core/conc#618.itm}
+load net {acc#10.psp#1.sva(1)} -pin "ACC1:acc#243" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#618.itm}
+load net {acc#10.psp#1.sva(3)} -pin "ACC1:acc#243" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#618.itm}
+load net {ACC1:acc#113.psp#1.sva(1)} -pin "ACC1:acc#243" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#584.itm}
+load net {acc#10.psp#1.sva(2)} -pin "ACC1:acc#243" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#584.itm}
+load net {ACC1:acc#113.psp#1.sva(3)} -pin "ACC1:acc#243" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#584.itm}
+load net {ACC1:acc#243.itm(0)} -pin "ACC1:acc#243" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
+load net {ACC1:acc#243.itm(1)} -pin "ACC1:acc#243" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
+load net {ACC1:acc#243.itm(2)} -pin "ACC1:acc#243" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
+load net {ACC1:acc#243.itm(3)} -pin "ACC1:acc#243" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
+load net {ACC1:acc#243.itm(4)} -pin "ACC1:acc#243" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
+load inst "ACC1:acc#256" "add(3,1,4,-1,4)" "INTERFACE" -attr xrf 33811 -attr oid 975 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#251.itm(0)} -pin "ACC1:acc#256" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load net {ACC1:acc#251.itm(1)} -pin "ACC1:acc#256" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load net {ACC1:acc#251.itm(2)} -pin "ACC1:acc#256" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
+load net {ACC1:acc#243.itm(1)} -pin "ACC1:acc#256" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#69.itm}
+load net {ACC1:acc#243.itm(2)} -pin "ACC1:acc#256" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#69.itm}
+load net {ACC1:acc#243.itm(3)} -pin "ACC1:acc#256" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#69.itm}
+load net {ACC1:acc#243.itm(4)} -pin "ACC1:acc#256" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#69.itm}
+load net {ACC1:acc#256.itm(0)} -pin "ACC1:acc#256" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#256.itm(1)} -pin "ACC1:acc#256" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#256.itm(2)} -pin "ACC1:acc#256" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#256.itm(3)} -pin "ACC1:acc#256" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load inst "ACC1:acc#255" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 33812 -attr oid 976 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#255" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#284.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#255" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#284.itm}
+load net {acc#10.psp#1.sva(4)} -pin "ACC1:acc#255" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#284.itm}
+load net {acc#10.psp#1.sva(7)} -pin "ACC1:acc#255" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#284.itm}
+load net {ACC1:acc#250.cse(0)} -pin "ACC1:acc#255" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#250.cse(1)} -pin "ACC1:acc#255" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#250.cse(2)} -pin "ACC1:acc#255" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#255.itm(0)} -pin "ACC1:acc#255" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(1)} -pin "ACC1:acc#255" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(2)} -pin "ACC1:acc#255" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(3)} -pin "ACC1:acc#255" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(4)} -pin "ACC1:acc#255" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load inst "ACC1:acc#259" "add(4,1,5,0,6)" "INTERFACE" -attr xrf 33813 -attr oid 977 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#256.itm(0)} -pin "ACC1:acc#259" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#256.itm(1)} -pin "ACC1:acc#259" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#256.itm(2)} -pin "ACC1:acc#259" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#256.itm(3)} -pin "ACC1:acc#259" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
+load net {ACC1:acc#255.itm(0)} -pin "ACC1:acc#259" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(1)} -pin "ACC1:acc#259" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(2)} -pin "ACC1:acc#259" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(3)} -pin "ACC1:acc#259" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#255.itm(4)} -pin "ACC1:acc#259" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
+load net {ACC1:acc#259.itm(0)} -pin "ACC1:acc#259" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(1)} -pin "ACC1:acc#259" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(2)} -pin "ACC1:acc#259" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(3)} -pin "ACC1:acc#259" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(4)} -pin "ACC1:acc#259" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(5)} -pin "ACC1:acc#259" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load inst "ACC1:acc#262" "add(6,1,7,0,8)" "INTERFACE" -attr xrf 33814 -attr oid 978 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#259.itm(0)} -pin "ACC1:acc#262" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(1)} -pin "ACC1:acc#262" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(2)} -pin "ACC1:acc#262" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(3)} -pin "ACC1:acc#262" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(4)} -pin "ACC1:acc#262" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {ACC1:acc#259.itm(5)} -pin "ACC1:acc#262" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
+load net {acc#10.psp#1.sva(8)} -pin "ACC1:acc#262" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {GND} -pin "ACC1:acc#262" {B(1)} -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {acc#10.psp#1.sva(8)} -pin "ACC1:acc#262" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {GND} -pin "ACC1:acc#262" {B(3)} -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {acc#10.psp#1.sva(8)} -pin "ACC1:acc#262" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {GND} -pin "ACC1:acc#262" {B(5)} -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {acc#10.psp#1.sva(8)} -pin "ACC1:acc#262" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#619.itm}
+load net {ACC1:acc#262.itm(0)} -pin "ACC1:acc#262" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(1)} -pin "ACC1:acc#262" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(2)} -pin "ACC1:acc#262" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(3)} -pin "ACC1:acc#262" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(4)} -pin "ACC1:acc#262" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(5)} -pin "ACC1:acc#262" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(6)} -pin "ACC1:acc#262" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(7)} -pin "ACC1:acc#262" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load inst "ACC1-3:not#92" "not(1)" "INTERFACE" -attr xrf 33815 -attr oid 979 -attr @path {/sobel/sobel:core/ACC1-3:not#92} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#188.itm(2)} -pin "ACC1-3:not#92" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#2.itm}
+load net {ACC1-3:not#92.itm} -pin "ACC1-3:not#92" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#92.itm}
+load inst "ACC1-3:and#5" "and(3,1)" "INTERFACE" -attr xrf 33816 -attr oid 980 -attr @path {/sobel/sobel:core/ACC1-3:and#5} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1-3:and#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#38.itm}
+load net {ACC1-3:not#92.itm} -pin "ACC1-3:and#5" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#92.itm}
+load net {ACC1:acc#188.itm(1)} -pin "ACC1-3:and#5" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#1.itm}
+load net {ACC1-3:and#5.itm} -pin "ACC1-3:and#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#5.itm}
+load inst "ACC1:acc#248" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33817 -attr oid 981 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#248" {A(0)} -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {acc#10.psp#1.sva(7)} -pin "ACC1:acc#248" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {acc#10.psp#1.sva(7)} -pin "ACC1:acc#248" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#58.itm}
+load net {ACC1-3:and#5.itm} -pin "ACC1:acc#248" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#772.itm}
+load net {acc#10.psp#1.sva(9)} -pin "ACC1:acc#248" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#772.itm}
+load net {acc#10.psp#1.sva(9)} -pin "ACC1:acc#248" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#772.itm}
+load net {ACC1:acc#248.itm(0)} -pin "ACC1:acc#248" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
+load net {ACC1:acc#248.itm(1)} -pin "ACC1:acc#248" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
+load net {ACC1:acc#248.itm(2)} -pin "ACC1:acc#248" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
+load net {ACC1:acc#248.itm(3)} -pin "ACC1:acc#248" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
+load inst "ACC1:acc#254" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33818 -attr oid 982 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#250.cse(0)} -pin "ACC1:acc#254" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#250.cse(1)} -pin "ACC1:acc#254" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#250.cse(2)} -pin "ACC1:acc#254" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#248.itm(1)} -pin "ACC1:acc#254" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:acc#248.itm(2)} -pin "ACC1:acc#254" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:acc#248.itm(3)} -pin "ACC1:acc#254" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#74.itm}
+load net {ACC1:acc#254.itm(0)} -pin "ACC1:acc#254" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#254.itm(1)} -pin "ACC1:acc#254" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#254.itm(2)} -pin "ACC1:acc#254" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#254.itm(3)} -pin "ACC1:acc#254" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load inst "ACC1:acc#258" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 33819 -attr oid 983 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc#10.psp#1.sva(6)} -pin "ACC1:acc#258" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#620.itm}
+load net {GND} -pin "ACC1:acc#258" {A(1)} -attr @path {/sobel/sobel:core/conc#620.itm}
+load net {acc#10.psp#1.sva(6)} -pin "ACC1:acc#258" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#620.itm}
+load net {GND} -pin "ACC1:acc#258" {A(3)} -attr @path {/sobel/sobel:core/conc#620.itm}
+load net {acc#10.psp#1.sva(6)} -pin "ACC1:acc#258" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#620.itm}
+load net {ACC1:acc#254.itm(0)} -pin "ACC1:acc#258" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#254.itm(1)} -pin "ACC1:acc#258" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#254.itm(2)} -pin "ACC1:acc#258" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#254.itm(3)} -pin "ACC1:acc#258" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
+load net {ACC1:acc#258.itm(0)} -pin "ACC1:acc#258" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(1)} -pin "ACC1:acc#258" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(2)} -pin "ACC1:acc#258" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(3)} -pin "ACC1:acc#258" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(4)} -pin "ACC1:acc#258" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(5)} -pin "ACC1:acc#258" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load inst "ACC1:acc#261" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 33820 -attr oid 984 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#261" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#544.itm}
+load net {ACC1:acc#258.itm(0)} -pin "ACC1:acc#261" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(1)} -pin "ACC1:acc#261" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(2)} -pin "ACC1:acc#261" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(3)} -pin "ACC1:acc#261" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(4)} -pin "ACC1:acc#261" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#258.itm(5)} -pin "ACC1:acc#261" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#258.itm}
+load net {ACC1:acc#261.itm(0)} -pin "ACC1:acc#261" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(1)} -pin "ACC1:acc#261" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(2)} -pin "ACC1:acc#261" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(3)} -pin "ACC1:acc#261" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(4)} -pin "ACC1:acc#261" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(5)} -pin "ACC1:acc#261" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(6)} -pin "ACC1:acc#261" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(7)} -pin "ACC1:acc#261" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load inst "ACC1:acc#264" "add(8,1,8,0,10)" "INTERFACE" -attr xrf 33821 -attr oid 985 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#262.itm(0)} -pin "ACC1:acc#264" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(1)} -pin "ACC1:acc#264" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(2)} -pin "ACC1:acc#264" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(3)} -pin "ACC1:acc#264" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(4)} -pin "ACC1:acc#264" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(5)} -pin "ACC1:acc#264" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(6)} -pin "ACC1:acc#264" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#262.itm(7)} -pin "ACC1:acc#264" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
+load net {ACC1:acc#261.itm(0)} -pin "ACC1:acc#264" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(1)} -pin "ACC1:acc#264" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(2)} -pin "ACC1:acc#264" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(3)} -pin "ACC1:acc#264" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(4)} -pin "ACC1:acc#264" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(5)} -pin "ACC1:acc#264" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(6)} -pin "ACC1:acc#264" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#261.itm(7)} -pin "ACC1:acc#264" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
+load net {ACC1:acc#264.itm(0)} -pin "ACC1:acc#264" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(1)} -pin "ACC1:acc#264" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(2)} -pin "ACC1:acc#264" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(3)} -pin "ACC1:acc#264" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(4)} -pin "ACC1:acc#264" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(5)} -pin "ACC1:acc#264" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(6)} -pin "ACC1:acc#264" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(7)} -pin "ACC1:acc#264" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(8)} -pin "ACC1:acc#264" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(9)} -pin "ACC1:acc#264" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load inst "ACC1:acc#266" "add(10,1,10,0,11)" "INTERFACE" -attr xrf 33822 -attr oid 986 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
+load net {ACC1:acc#264.itm(0)} -pin "ACC1:acc#266" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(1)} -pin "ACC1:acc#266" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(2)} -pin "ACC1:acc#266" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(3)} -pin "ACC1:acc#266" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(4)} -pin "ACC1:acc#266" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(5)} -pin "ACC1:acc#266" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(6)} -pin "ACC1:acc#266" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(7)} -pin "ACC1:acc#266" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(8)} -pin "ACC1:acc#266" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {ACC1:acc#264.itm(9)} -pin "ACC1:acc#266" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#266" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#266" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {GND} -pin "ACC1:acc#266" {B(2)} -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#266" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {GND} -pin "ACC1:acc#266" {B(4)} -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#266" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {GND} -pin "ACC1:acc#266" {B(6)} -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#266" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {GND} -pin "ACC1:acc#266" {B(8)} -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#266" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#623.itm}
+load net {ACC1:acc#266.itm(0)} -pin "ACC1:acc#266" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(1)} -pin "ACC1:acc#266" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(2)} -pin "ACC1:acc#266" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(3)} -pin "ACC1:acc#266" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(4)} -pin "ACC1:acc#266" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(5)} -pin "ACC1:acc#266" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(6)} -pin "ACC1:acc#266" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(7)} -pin "ACC1:acc#266" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(8)} -pin "ACC1:acc#266" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(9)} -pin "ACC1:acc#266" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(10)} -pin "ACC1:acc#266" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load inst "ACC1:acc#268" "add(10,0,11,-1,11)" "INTERFACE" -attr xrf 33823 -attr oid 987 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268} -attr area 12.237292 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,10,0,11)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#268" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(1)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(2)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(3)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(4)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(5)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(6)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(7)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {GND} -pin "ACC1:acc#268" {A(8)} -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#268" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#616.itm}
+load net {ACC1:acc#266.itm(0)} -pin "ACC1:acc#268" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(1)} -pin "ACC1:acc#268" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(2)} -pin "ACC1:acc#268" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(3)} -pin "ACC1:acc#268" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(4)} -pin "ACC1:acc#268" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(5)} -pin "ACC1:acc#268" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(6)} -pin "ACC1:acc#268" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(7)} -pin "ACC1:acc#268" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(8)} -pin "ACC1:acc#268" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(9)} -pin "ACC1:acc#268" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#266.itm(10)} -pin "ACC1:acc#268" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
+load net {ACC1:acc#268.itm(0)} -pin "ACC1:acc#268" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(1)} -pin "ACC1:acc#268" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(2)} -pin "ACC1:acc#268" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(3)} -pin "ACC1:acc#268" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(4)} -pin "ACC1:acc#268" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(5)} -pin "ACC1:acc#268" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(6)} -pin "ACC1:acc#268" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(7)} -pin "ACC1:acc#268" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(8)} -pin "ACC1:acc#268" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(9)} -pin "ACC1:acc#268" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(10)} -pin "ACC1:acc#268" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load inst "ACC1-1:not#164" "not(1)" "INTERFACE" -attr xrf 33824 -attr oid 988 -attr @path {/sobel/sobel:core/ACC1-1:not#164} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:not#164" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#49.itm}
+load net {ACC1-1:not#164.itm} -pin "ACC1-1:not#164" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#164.itm}
+load inst "ACC1-1:nand#2" "nand(2,1)" "INTERFACE" -attr xrf 33825 -attr oid 989 -attr vt c -attr @path {/sobel/sobel:core/ACC1-1:nand#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#161.itm(2)} -pin "ACC1-1:nand#2" {A0(0)} -attr vt c -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#2.itm}
+load net {ACC1-1:not#164.itm} -pin "ACC1-1:nand#2" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#164.itm}
+load net {ACC1-1:nand#2.itm} -pin "ACC1-1:nand#2" {Z(0)} -attr vt c -attr @path {/sobel/sobel:core/ACC1-1:nand#2.itm}
+load inst "ACC1:acc#274" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33826 -attr oid 990 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#274} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#274" {A(0)} -attr @path {/sobel/sobel:core/exs#38.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#274" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#38.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#274" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#38.itm}
+load net {ACC1-1:nand#2.itm} -pin "ACC1:acc#274" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:exs#774.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#274" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:exs#774.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#274" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:exs#774.itm}
+load net {ACC1:acc#274.itm(0)} -pin "ACC1:acc#274" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
+load net {ACC1:acc#274.itm(1)} -pin "ACC1:acc#274" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
+load net {ACC1:acc#274.itm(2)} -pin "ACC1:acc#274" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
+load net {ACC1:acc#274.itm(3)} -pin "ACC1:acc#274" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
+load inst "ACC1-1:not#165" "not(1)" "INTERFACE" -attr xrf 33827 -attr oid 991 -attr @path {/sobel/sobel:core/ACC1-1:not#165} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#160.itm(3)} -pin "ACC1-1:not#165" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#22.sva)#4.itm}
+load net {ACC1-1:not#165.itm} -pin "ACC1-1:not#165" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#165.itm}
+load inst "ACC1:acc#273" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33828 -attr oid 992 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#273" {A(0)} -attr @path {/sobel/sobel:core/exs#39.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#273" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#39.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#273" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#39.itm}
+load net {ACC1-1:not#165.itm} -pin "ACC1:acc#273" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#776.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#273" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#776.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#273" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#776.itm}
+load net {ACC1:acc#273.itm(0)} -pin "ACC1:acc#273" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
+load net {ACC1:acc#273.itm(1)} -pin "ACC1:acc#273" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
+load net {ACC1:acc#273.itm(2)} -pin "ACC1:acc#273" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
+load net {ACC1:acc#273.itm(3)} -pin "ACC1:acc#273" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
+load inst "ACC1:acc#280" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33829 -attr oid 993 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#274.itm(1)} -pin "ACC1:acc#280" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#80.itm}
+load net {ACC1:acc#274.itm(2)} -pin "ACC1:acc#280" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#80.itm}
+load net {ACC1:acc#274.itm(3)} -pin "ACC1:acc#280" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#80.itm}
+load net {ACC1:acc#273.itm(1)} -pin "ACC1:acc#280" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#79.itm}
+load net {ACC1:acc#273.itm(2)} -pin "ACC1:acc#280" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#79.itm}
+load net {ACC1:acc#273.itm(3)} -pin "ACC1:acc#280" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#79.itm}
+load net {ACC1:acc#280.itm(0)} -pin "ACC1:acc#280" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#280.itm(1)} -pin "ACC1:acc#280" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#280.itm(2)} -pin "ACC1:acc#280" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#280.itm(3)} -pin "ACC1:acc#280" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load inst "ACC1:acc#272" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33830 -attr oid 994 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#272" {A(0)} -attr @path {/sobel/sobel:core/exs#40.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#272" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#40.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#272" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#40.itm}
+load net {ACC1:acc#160.itm(2)} -pin "ACC1:acc#272" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#778.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#272" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#778.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#272" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#778.itm}
+load net {ACC1:acc#272.itm(0)} -pin "ACC1:acc#272" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
+load net {ACC1:acc#272.itm(1)} -pin "ACC1:acc#272" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
+load net {ACC1:acc#272.itm(2)} -pin "ACC1:acc#272" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
+load net {ACC1:acc#272.itm(3)} -pin "ACC1:acc#272" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
+load inst "ACC1:acc#271" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33831 -attr oid 995 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#271" {A(0)} -attr @path {/sobel/sobel:core/exs#41.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#271" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#41.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#271" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#41.itm}
+load net {ACC1:acc#113.psp#2.sva(2)} -pin "ACC1:acc#271" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#780.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#271" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#780.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#271" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#780.itm}
+load net {ACC1:acc#271.itm(0)} -pin "ACC1:acc#271" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
+load net {ACC1:acc#271.itm(1)} -pin "ACC1:acc#271" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
+load net {ACC1:acc#271.itm(2)} -pin "ACC1:acc#271" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
+load net {ACC1:acc#271.itm(3)} -pin "ACC1:acc#271" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
+load inst "ACC1:acc#279" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33832 -attr oid 996 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#272.itm(1)} -pin "ACC1:acc#279" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#78.itm}
+load net {ACC1:acc#272.itm(2)} -pin "ACC1:acc#279" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#78.itm}
+load net {ACC1:acc#272.itm(3)} -pin "ACC1:acc#279" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#78.itm}
+load net {ACC1:acc#271.itm(1)} -pin "ACC1:acc#279" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#77.itm}
+load net {ACC1:acc#271.itm(2)} -pin "ACC1:acc#279" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#77.itm}
+load net {ACC1:acc#271.itm(3)} -pin "ACC1:acc#279" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#77.itm}
+load net {ACC1:acc#279.itm(0)} -pin "ACC1:acc#279" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#279.itm(1)} -pin "ACC1:acc#279" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#279.itm(2)} -pin "ACC1:acc#279" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#279.itm(3)} -pin "ACC1:acc#279" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load inst "ACC1:acc#284" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33833 -attr oid 997 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#280.itm(0)} -pin "ACC1:acc#284" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#280.itm(1)} -pin "ACC1:acc#284" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#280.itm(2)} -pin "ACC1:acc#284" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#280.itm(3)} -pin "ACC1:acc#284" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
+load net {ACC1:acc#279.itm(0)} -pin "ACC1:acc#284" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#279.itm(1)} -pin "ACC1:acc#284" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#279.itm(2)} -pin "ACC1:acc#284" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#279.itm(3)} -pin "ACC1:acc#284" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
+load net {ACC1:acc#284.itm(0)} -pin "ACC1:acc#284" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(1)} -pin "ACC1:acc#284" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(2)} -pin "ACC1:acc#284" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(3)} -pin "ACC1:acc#284" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(4)} -pin "ACC1:acc#284" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load inst "ACC1:acc#287" "add(6,0,5,0,7)" "INTERFACE" -attr xrf 33834 -attr oid 998 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#287" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#287" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {GND} -pin "ACC1:acc#287" {A(2)} -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {acc#10.psp#2.sva(5)} -pin "ACC1:acc#287" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {GND} -pin "ACC1:acc#287" {A(4)} -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {acc#10.psp#2.sva(7)} -pin "ACC1:acc#287" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#626.itm}
+load net {ACC1:acc#284.itm(0)} -pin "ACC1:acc#287" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(1)} -pin "ACC1:acc#287" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(2)} -pin "ACC1:acc#287" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(3)} -pin "ACC1:acc#287" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#284.itm(4)} -pin "ACC1:acc#287" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
+load net {ACC1:acc#287.itm(0)} -pin "ACC1:acc#287" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(1)} -pin "ACC1:acc#287" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(2)} -pin "ACC1:acc#287" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(3)} -pin "ACC1:acc#287" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(4)} -pin "ACC1:acc#287" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(5)} -pin "ACC1:acc#287" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(6)} -pin "ACC1:acc#287" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load inst "ACC1:acc#290" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 33835 -attr oid 999 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {acc#10.psp#2.sva(5)} -pin "ACC1:acc#290" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {acc#10.psp#2.sva(5)} -pin "ACC1:acc#290" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {GND} -pin "ACC1:acc#290" {A(2)} -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {acc#10.psp#2.sva(9)} -pin "ACC1:acc#290" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {GND} -pin "ACC1:acc#290" {A(4)} -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {acc#10.psp#2.sva(9)} -pin "ACC1:acc#290" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {GND} -pin "ACC1:acc#290" {A(6)} -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {acc#10.psp#2.sva(9)} -pin "ACC1:acc#290" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#625.itm}
+load net {ACC1:acc#287.itm(0)} -pin "ACC1:acc#290" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(1)} -pin "ACC1:acc#290" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(2)} -pin "ACC1:acc#290" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(3)} -pin "ACC1:acc#290" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(4)} -pin "ACC1:acc#290" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(5)} -pin "ACC1:acc#290" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#287.itm(6)} -pin "ACC1:acc#290" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#287.itm}
+load net {ACC1:acc#290.itm(0)} -pin "ACC1:acc#290" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(1)} -pin "ACC1:acc#290" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(2)} -pin "ACC1:acc#290" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(3)} -pin "ACC1:acc#290" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(4)} -pin "ACC1:acc#290" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(5)} -pin "ACC1:acc#290" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(6)} -pin "ACC1:acc#290" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(7)} -pin "ACC1:acc#290" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load inst "ACC1:acc#292" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 33836 -attr oid 1000 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292} -attr area 10.253676 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,0,10)"
+load net {acc#10.psp#2.sva(10)} -pin "ACC1:acc#292" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {GND} -pin "ACC1:acc#292" {A(1)} -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {acc#10.psp#2.sva(10)} -pin "ACC1:acc#292" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {GND} -pin "ACC1:acc#292" {A(3)} -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {acc#10.psp#2.sva(10)} -pin "ACC1:acc#292" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {GND} -pin "ACC1:acc#292" {A(5)} -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {acc#10.psp#2.sva(10)} -pin "ACC1:acc#292" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {GND} -pin "ACC1:acc#292" {A(7)} -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {acc#10.psp#2.sva(10)} -pin "ACC1:acc#292" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#624.itm}
+load net {ACC1:acc#290.itm(0)} -pin "ACC1:acc#292" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(1)} -pin "ACC1:acc#292" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(2)} -pin "ACC1:acc#292" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(3)} -pin "ACC1:acc#292" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(4)} -pin "ACC1:acc#292" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(5)} -pin "ACC1:acc#292" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(6)} -pin "ACC1:acc#292" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#290.itm(7)} -pin "ACC1:acc#292" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#290.itm}
+load net {ACC1:acc#292.itm(0)} -pin "ACC1:acc#292" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(1)} -pin "ACC1:acc#292" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(2)} -pin "ACC1:acc#292" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(3)} -pin "ACC1:acc#292" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(4)} -pin "ACC1:acc#292" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(5)} -pin "ACC1:acc#292" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(6)} -pin "ACC1:acc#292" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(7)} -pin "ACC1:acc#292" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(8)} -pin "ACC1:acc#292" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(9)} -pin "ACC1:acc#292" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load inst "ACC1:acc#269" "add(3,1,2,1,4)" "INTERFACE" -attr xrf 33837 -attr oid 1001 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {PWR} -pin "ACC1:acc#269" {A(0)} -attr @path {/sobel/sobel:core/conc#631.itm}
+load net {acc#10.psp#2.sva(3)} -pin "ACC1:acc#269" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#631.itm}
+load net {PWR} -pin "ACC1:acc#269" {A(2)} -attr @path {/sobel/sobel:core/conc#631.itm}
+load net {acc#10.psp#2.sva(4)} -pin "ACC1:acc#269" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#597.itm}
+load net {ACC1:acc#113.psp#2.sva(3)} -pin "ACC1:acc#269" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#597.itm}
+load net {ACC1:acc#269.itm(0)} -pin "ACC1:acc#269" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
+load net {ACC1:acc#269.itm(1)} -pin "ACC1:acc#269" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
+load net {ACC1:acc#269.itm(2)} -pin "ACC1:acc#269" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
+load net {ACC1:acc#269.itm(3)} -pin "ACC1:acc#269" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
+load inst "ACC1:acc#278" "add(3,-1,2,1,3)" "INTERFACE" -attr xrf 33838 -attr oid 1002 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {ACC1:acc#269.itm(1)} -pin "ACC1:acc#278" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#75.itm}
+load net {ACC1:acc#269.itm(2)} -pin "ACC1:acc#278" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#75.itm}
+load net {ACC1:acc#269.itm(3)} -pin "ACC1:acc#278" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#75.itm}
+load net {ACC1:acc#120.psp#1.sva(1)} -pin "ACC1:acc#278" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp#1.sva)#2.itm}
+load net {ACC1:acc#120.psp#1.sva(2)} -pin "ACC1:acc#278" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp#1.sva)#2.itm}
+load net {ACC1:acc#278.itm(0)} -pin "ACC1:acc#278" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load net {ACC1:acc#278.itm(1)} -pin "ACC1:acc#278" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load net {ACC1:acc#278.itm(2)} -pin "ACC1:acc#278" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load inst "ACC1:acc#270" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 33839 -attr oid 1003 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#270" {A(0)} -attr @path {/sobel/sobel:core/conc#632.itm}
+load net {acc#10.psp#2.sva(1)} -pin "ACC1:acc#270" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#632.itm}
+load net {acc#10.psp#2.sva(3)} -pin "ACC1:acc#270" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#632.itm}
+load net {ACC1:acc#113.psp#2.sva(1)} -pin "ACC1:acc#270" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#599.itm}
+load net {acc#10.psp#2.sva(2)} -pin "ACC1:acc#270" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#599.itm}
+load net {ACC1:acc#113.psp#2.sva(3)} -pin "ACC1:acc#270" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#599.itm}
+load net {ACC1:acc#270.itm(0)} -pin "ACC1:acc#270" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
+load net {ACC1:acc#270.itm(1)} -pin "ACC1:acc#270" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
+load net {ACC1:acc#270.itm(2)} -pin "ACC1:acc#270" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
+load net {ACC1:acc#270.itm(3)} -pin "ACC1:acc#270" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
+load net {ACC1:acc#270.itm(4)} -pin "ACC1:acc#270" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
+load inst "ACC1:acc#283" "add(3,1,4,-1,4)" "INTERFACE" -attr xrf 33840 -attr oid 1004 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#278.itm(0)} -pin "ACC1:acc#283" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load net {ACC1:acc#278.itm(1)} -pin "ACC1:acc#283" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load net {ACC1:acc#278.itm(2)} -pin "ACC1:acc#283" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
+load net {ACC1:acc#270.itm(1)} -pin "ACC1:acc#283" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#76.itm}
+load net {ACC1:acc#270.itm(2)} -pin "ACC1:acc#283" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#76.itm}
+load net {ACC1:acc#270.itm(3)} -pin "ACC1:acc#283" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#76.itm}
+load net {ACC1:acc#270.itm(4)} -pin "ACC1:acc#283" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#76.itm}
+load net {ACC1:acc#283.itm(0)} -pin "ACC1:acc#283" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#283.itm(1)} -pin "ACC1:acc#283" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#283.itm(2)} -pin "ACC1:acc#283" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#283.itm(3)} -pin "ACC1:acc#283" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load inst "ACC1:acc#282" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 33841 -attr oid 1005 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#282" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#284.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#282" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#284.itm}
+load net {acc#10.psp#2.sva(4)} -pin "ACC1:acc#282" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#284.itm}
+load net {acc#10.psp#2.sva(7)} -pin "ACC1:acc#282" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#284.itm}
+load net {ACC1:acc#277.cse(0)} -pin "ACC1:acc#282" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#277.cse(1)} -pin "ACC1:acc#282" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#277.cse(2)} -pin "ACC1:acc#282" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#282.itm(0)} -pin "ACC1:acc#282" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(1)} -pin "ACC1:acc#282" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(2)} -pin "ACC1:acc#282" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(3)} -pin "ACC1:acc#282" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(4)} -pin "ACC1:acc#282" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load inst "ACC1:acc#286" "add(4,1,5,0,6)" "INTERFACE" -attr xrf 33842 -attr oid 1006 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#283.itm(0)} -pin "ACC1:acc#286" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#283.itm(1)} -pin "ACC1:acc#286" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#283.itm(2)} -pin "ACC1:acc#286" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#283.itm(3)} -pin "ACC1:acc#286" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
+load net {ACC1:acc#282.itm(0)} -pin "ACC1:acc#286" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(1)} -pin "ACC1:acc#286" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(2)} -pin "ACC1:acc#286" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(3)} -pin "ACC1:acc#286" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#282.itm(4)} -pin "ACC1:acc#286" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
+load net {ACC1:acc#286.itm(0)} -pin "ACC1:acc#286" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(1)} -pin "ACC1:acc#286" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(2)} -pin "ACC1:acc#286" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(3)} -pin "ACC1:acc#286" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(4)} -pin "ACC1:acc#286" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(5)} -pin "ACC1:acc#286" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load inst "ACC1:acc#289" "add(6,1,7,0,8)" "INTERFACE" -attr xrf 33843 -attr oid 1007 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#286.itm(0)} -pin "ACC1:acc#289" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(1)} -pin "ACC1:acc#289" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(2)} -pin "ACC1:acc#289" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(3)} -pin "ACC1:acc#289" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(4)} -pin "ACC1:acc#289" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {ACC1:acc#286.itm(5)} -pin "ACC1:acc#289" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
+load net {acc#10.psp#2.sva(8)} -pin "ACC1:acc#289" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {GND} -pin "ACC1:acc#289" {B(1)} -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {acc#10.psp#2.sva(8)} -pin "ACC1:acc#289" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {GND} -pin "ACC1:acc#289" {B(3)} -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {acc#10.psp#2.sva(8)} -pin "ACC1:acc#289" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {GND} -pin "ACC1:acc#289" {B(5)} -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {acc#10.psp#2.sva(8)} -pin "ACC1:acc#289" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#633.itm}
+load net {ACC1:acc#289.itm(0)} -pin "ACC1:acc#289" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(1)} -pin "ACC1:acc#289" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(2)} -pin "ACC1:acc#289" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(3)} -pin "ACC1:acc#289" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(4)} -pin "ACC1:acc#289" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(5)} -pin "ACC1:acc#289" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(6)} -pin "ACC1:acc#289" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(7)} -pin "ACC1:acc#289" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load inst "ACC1-1:not#92" "not(1)" "INTERFACE" -attr xrf 33844 -attr oid 1008 -attr @path {/sobel/sobel:core/ACC1-1:not#92} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#161.itm(2)} -pin "ACC1-1:not#92" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva).itm}
+load net {ACC1-1:not#92.itm} -pin "ACC1-1:not#92" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#92.itm}
+load inst "ACC1-1:and#5" "and(3,1)" "INTERFACE" -attr xrf 33845 -attr oid 1009 -attr @path {/sobel/sobel:core/ACC1-1:and#5} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:and#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#37.itm}
+load net {ACC1-1:not#92.itm} -pin "ACC1-1:and#5" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#92.itm}
+load net {ACC1:acc#161.itm(1)} -pin "ACC1-1:and#5" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#24.sva)#1.itm}
+load net {ACC1-1:and#5.itm} -pin "ACC1-1:and#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#5.itm}
+load inst "ACC1:acc#275" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33846 -attr oid 1010 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#275" {A(0)} -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {acc#10.psp#2.sva(7)} -pin "ACC1:acc#275" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {acc#10.psp#2.sva(7)} -pin "ACC1:acc#275" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#59.itm}
+load net {ACC1-1:and#5.itm} -pin "ACC1:acc#275" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#782.itm}
+load net {acc#10.psp#2.sva(9)} -pin "ACC1:acc#275" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#782.itm}
+load net {acc#10.psp#2.sva(9)} -pin "ACC1:acc#275" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#782.itm}
+load net {ACC1:acc#275.itm(0)} -pin "ACC1:acc#275" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
+load net {ACC1:acc#275.itm(1)} -pin "ACC1:acc#275" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
+load net {ACC1:acc#275.itm(2)} -pin "ACC1:acc#275" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
+load net {ACC1:acc#275.itm(3)} -pin "ACC1:acc#275" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
+load inst "ACC1:acc#281" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33847 -attr oid 1011 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#277.cse(0)} -pin "ACC1:acc#281" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#277.cse(1)} -pin "ACC1:acc#281" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#277.cse(2)} -pin "ACC1:acc#281" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#275.itm(1)} -pin "ACC1:acc#281" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#81.itm}
+load net {ACC1:acc#275.itm(2)} -pin "ACC1:acc#281" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#81.itm}
+load net {ACC1:acc#275.itm(3)} -pin "ACC1:acc#281" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#81.itm}
+load net {ACC1:acc#281.itm(0)} -pin "ACC1:acc#281" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#281.itm(1)} -pin "ACC1:acc#281" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#281.itm(2)} -pin "ACC1:acc#281" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#281.itm(3)} -pin "ACC1:acc#281" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load inst "ACC1:acc#285" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 33848 -attr oid 1012 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc#10.psp#2.sva(6)} -pin "ACC1:acc#285" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#634.itm}
+load net {GND} -pin "ACC1:acc#285" {A(1)} -attr @path {/sobel/sobel:core/conc#634.itm}
+load net {acc#10.psp#2.sva(6)} -pin "ACC1:acc#285" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#634.itm}
+load net {GND} -pin "ACC1:acc#285" {A(3)} -attr @path {/sobel/sobel:core/conc#634.itm}
+load net {acc#10.psp#2.sva(6)} -pin "ACC1:acc#285" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#634.itm}
+load net {ACC1:acc#281.itm(0)} -pin "ACC1:acc#285" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#281.itm(1)} -pin "ACC1:acc#285" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#281.itm(2)} -pin "ACC1:acc#285" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#281.itm(3)} -pin "ACC1:acc#285" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
+load net {ACC1:acc#285.itm(0)} -pin "ACC1:acc#285" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(1)} -pin "ACC1:acc#285" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(2)} -pin "ACC1:acc#285" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(3)} -pin "ACC1:acc#285" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(4)} -pin "ACC1:acc#285" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(5)} -pin "ACC1:acc#285" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load inst "ACC1:acc#288" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 33849 -attr oid 1013 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#288" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#544.itm}
+load net {ACC1:acc#285.itm(0)} -pin "ACC1:acc#288" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(1)} -pin "ACC1:acc#288" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(2)} -pin "ACC1:acc#288" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(3)} -pin "ACC1:acc#288" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(4)} -pin "ACC1:acc#288" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#285.itm(5)} -pin "ACC1:acc#288" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
+load net {ACC1:acc#288.itm(0)} -pin "ACC1:acc#288" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(1)} -pin "ACC1:acc#288" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(2)} -pin "ACC1:acc#288" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(3)} -pin "ACC1:acc#288" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(4)} -pin "ACC1:acc#288" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(5)} -pin "ACC1:acc#288" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(6)} -pin "ACC1:acc#288" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(7)} -pin "ACC1:acc#288" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load inst "ACC1:acc#291" "add(8,1,8,0,10)" "INTERFACE" -attr xrf 33850 -attr oid 1014 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#289.itm(0)} -pin "ACC1:acc#291" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(1)} -pin "ACC1:acc#291" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(2)} -pin "ACC1:acc#291" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(3)} -pin "ACC1:acc#291" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(4)} -pin "ACC1:acc#291" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(5)} -pin "ACC1:acc#291" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(6)} -pin "ACC1:acc#291" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#289.itm(7)} -pin "ACC1:acc#291" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#289.itm}
+load net {ACC1:acc#288.itm(0)} -pin "ACC1:acc#291" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(1)} -pin "ACC1:acc#291" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(2)} -pin "ACC1:acc#291" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(3)} -pin "ACC1:acc#291" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(4)} -pin "ACC1:acc#291" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(5)} -pin "ACC1:acc#291" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(6)} -pin "ACC1:acc#291" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#288.itm(7)} -pin "ACC1:acc#291" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#288.itm}
+load net {ACC1:acc#291.itm(0)} -pin "ACC1:acc#291" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(1)} -pin "ACC1:acc#291" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(2)} -pin "ACC1:acc#291" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(3)} -pin "ACC1:acc#291" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(4)} -pin "ACC1:acc#291" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(5)} -pin "ACC1:acc#291" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(6)} -pin "ACC1:acc#291" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(7)} -pin "ACC1:acc#291" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(8)} -pin "ACC1:acc#291" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(9)} -pin "ACC1:acc#291" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load inst "ACC1:acc#294" "add(10,0,10,1,11)" "INTERFACE" -attr xrf 33851 -attr oid 1015 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
+load net {ACC1:acc#292.itm(0)} -pin "ACC1:acc#294" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(1)} -pin "ACC1:acc#294" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(2)} -pin "ACC1:acc#294" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(3)} -pin "ACC1:acc#294" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(4)} -pin "ACC1:acc#294" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(5)} -pin "ACC1:acc#294" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(6)} -pin "ACC1:acc#294" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(7)} -pin "ACC1:acc#294" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(8)} -pin "ACC1:acc#294" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#292.itm(9)} -pin "ACC1:acc#294" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#292.itm}
+load net {ACC1:acc#291.itm(0)} -pin "ACC1:acc#294" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(1)} -pin "ACC1:acc#294" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(2)} -pin "ACC1:acc#294" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(3)} -pin "ACC1:acc#294" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(4)} -pin "ACC1:acc#294" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(5)} -pin "ACC1:acc#294" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(6)} -pin "ACC1:acc#294" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(7)} -pin "ACC1:acc#294" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(8)} -pin "ACC1:acc#294" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#291.itm(9)} -pin "ACC1:acc#294" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#291.itm}
+load net {ACC1:acc#294.itm(0)} -pin "ACC1:acc#294" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(1)} -pin "ACC1:acc#294" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(2)} -pin "ACC1:acc#294" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(3)} -pin "ACC1:acc#294" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(4)} -pin "ACC1:acc#294" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(5)} -pin "ACC1:acc#294" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(6)} -pin "ACC1:acc#294" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(7)} -pin "ACC1:acc#294" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(8)} -pin "ACC1:acc#294" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(9)} -pin "ACC1:acc#294" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(10)} -pin "ACC1:acc#294" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load inst "ACC1:acc#346" "add(1,0,2,0,3)" "INTERFACE" -attr xrf 33852 -attr oid 1016 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,3)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#346" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#13.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#346" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#849.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#346" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#849.itm}
+load net {ACC1:acc#346.itm(0)} -pin "ACC1:acc#346" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:acc#346.itm(1)} -pin "ACC1:acc#346" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load net {ACC1:acc#346.itm(2)} -pin "ACC1:acc#346" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#346.itm}
+load inst "ACC1-1:acc#124" "add(11,-1,11,-1,11)" "INTERFACE" -attr xrf 33853 -attr oid 1017 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,13)"
+load net {ACC1:acc#294.itm(0)} -pin "ACC1-1:acc#124" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(1)} -pin "ACC1-1:acc#124" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(2)} -pin "ACC1-1:acc#124" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(3)} -pin "ACC1-1:acc#124" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(4)} -pin "ACC1-1:acc#124" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(5)} -pin "ACC1-1:acc#124" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(6)} -pin "ACC1-1:acc#124" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(7)} -pin "ACC1-1:acc#124" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(8)} -pin "ACC1-1:acc#124" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(9)} -pin "ACC1-1:acc#124" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#294.itm(10)} -pin "ACC1-1:acc#124" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
+load net {ACC1:acc#346.itm(0)} -pin "ACC1-1:acc#124" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {ACC1:acc#346.itm(1)} -pin "ACC1-1:acc#124" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {ACC1:acc#346.itm(2)} -pin "ACC1-1:acc#124" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:acc#124" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {GND} -pin "ACC1-1:acc#124" {B(4)} -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:acc#124" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {GND} -pin "ACC1-1:acc#124" {B(6)} -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:acc#124" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {GND} -pin "ACC1-1:acc#124" {B(8)} -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {GND} -pin "ACC1-1:acc#124" {B(9)} -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:acc#124" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#637.itm}
+load net {ACC1-1:acc#124.itm(0)} -pin "ACC1-1:acc#124" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(1)} -pin "ACC1-1:acc#124" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(2)} -pin "ACC1-1:acc#124" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(3)} -pin "ACC1-1:acc#124" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(4)} -pin "ACC1-1:acc#124" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(5)} -pin "ACC1-1:acc#124" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(6)} -pin "ACC1-1:acc#124" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(7)} -pin "ACC1-1:acc#124" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(8)} -pin "ACC1-1:acc#124" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(9)} -pin "ACC1-1:acc#124" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(10)} -pin "ACC1-1:acc#124" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load inst "ACC1-3:not#164" "not(1)" "INTERFACE" -attr xrf 33854 -attr oid 1018 -attr @path {/sobel/sobel:core/ACC1-3:not#164} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1-3:not#164" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#57.itm}
+load net {ACC1-3:not#164.itm} -pin "ACC1-3:not#164" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#164.itm}
+load inst "ACC1-3:nand#2" "nand(2,1)" "INTERFACE" -attr xrf 33855 -attr oid 1019 -attr @path {/sobel/sobel:core/ACC1-3:nand#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#188.itm(2)} -pin "ACC1-3:nand#2" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva).itm}
+load net {ACC1-3:not#164.itm} -pin "ACC1-3:nand#2" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#164.itm}
+load net {ACC1-3:nand#2.itm} -pin "ACC1-3:nand#2" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand#2.itm}
+load inst "ACC1:acc#247" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33856 -attr oid 1020 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#247" {A(0)} -attr @path {/sobel/sobel:core/exs#42.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#247" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#42.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#247" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#42.itm}
+load net {ACC1-3:nand#2.itm} -pin "ACC1:acc#247" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#785.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#247" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#785.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#247" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#785.itm}
+load net {ACC1:acc#247.itm(0)} -pin "ACC1:acc#247" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
+load net {ACC1:acc#247.itm(1)} -pin "ACC1:acc#247" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
+load net {ACC1:acc#247.itm(2)} -pin "ACC1:acc#247" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
+load net {ACC1:acc#247.itm(3)} -pin "ACC1:acc#247" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
+load inst "ACC1-3:not#165" "not(1)" "INTERFACE" -attr xrf 33857 -attr oid 1021 -attr @path {/sobel/sobel:core/ACC1-3:not#165} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#187.itm(3)} -pin "ACC1-3:not#165" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#10.sva)#4.itm}
+load net {ACC1-3:not#165.itm} -pin "ACC1-3:not#165" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#165.itm}
+load inst "ACC1:acc#246" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33858 -attr oid 1022 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#246" {A(0)} -attr @path {/sobel/sobel:core/exs#43.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#246" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#43.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#246" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#43.itm}
+load net {ACC1-3:not#165.itm} -pin "ACC1:acc#246" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#787.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#246" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#787.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#246" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#787.itm}
+load net {ACC1:acc#246.itm(0)} -pin "ACC1:acc#246" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
+load net {ACC1:acc#246.itm(1)} -pin "ACC1:acc#246" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
+load net {ACC1:acc#246.itm(2)} -pin "ACC1:acc#246" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
+load net {ACC1:acc#246.itm(3)} -pin "ACC1:acc#246" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
+load inst "ACC1:acc#253" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33859 -attr oid 1023 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#247.itm(1)} -pin "ACC1:acc#253" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#247.itm(2)} -pin "ACC1:acc#253" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#247.itm(3)} -pin "ACC1:acc#253" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#73.itm}
+load net {ACC1:acc#246.itm(1)} -pin "ACC1:acc#253" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#246.itm(2)} -pin "ACC1:acc#253" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#246.itm(3)} -pin "ACC1:acc#253" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#72.itm}
+load net {ACC1:acc#253.itm(0)} -pin "ACC1:acc#253" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#253.itm(1)} -pin "ACC1:acc#253" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#253.itm(2)} -pin "ACC1:acc#253" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#253.itm(3)} -pin "ACC1:acc#253" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load inst "ACC1:acc#245" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33860 -attr oid 1024 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#245" {A(0)} -attr @path {/sobel/sobel:core/exs#44.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#245" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#44.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#245" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#44.itm}
+load net {ACC1:acc#187.itm(2)} -pin "ACC1:acc#245" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#789.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#245" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#789.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#245" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#789.itm}
+load net {ACC1:acc#245.itm(0)} -pin "ACC1:acc#245" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
+load net {ACC1:acc#245.itm(1)} -pin "ACC1:acc#245" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
+load net {ACC1:acc#245.itm(2)} -pin "ACC1:acc#245" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
+load net {ACC1:acc#245.itm(3)} -pin "ACC1:acc#245" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
+load inst "ACC1:acc#244" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33861 -attr oid 1025 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#244} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#244" {A(0)} -attr @path {/sobel/sobel:core/exs#45.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#244" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#45.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#244" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#45.itm}
+load net {ACC1:acc#113.psp#1.sva(2)} -pin "ACC1:acc#244" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#791.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#244" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#791.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#244" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#791.itm}
+load net {ACC1:acc#244.itm(0)} -pin "ACC1:acc#244" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#244.itm}
+load net {ACC1:acc#244.itm(1)} -pin "ACC1:acc#244" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#244.itm}
+load net {ACC1:acc#244.itm(2)} -pin "ACC1:acc#244" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#244.itm}
+load net {ACC1:acc#244.itm(3)} -pin "ACC1:acc#244" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#244.itm}
+load inst "ACC1:acc#252" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33862 -attr oid 1026 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#245.itm(1)} -pin "ACC1:acc#252" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#71.itm}
+load net {ACC1:acc#245.itm(2)} -pin "ACC1:acc#252" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#71.itm}
+load net {ACC1:acc#245.itm(3)} -pin "ACC1:acc#252" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#71.itm}
+load net {ACC1:acc#244.itm(1)} -pin "ACC1:acc#252" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#70.itm}
+load net {ACC1:acc#244.itm(2)} -pin "ACC1:acc#252" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#70.itm}
+load net {ACC1:acc#244.itm(3)} -pin "ACC1:acc#252" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#70.itm}
+load net {ACC1:acc#252.itm(0)} -pin "ACC1:acc#252" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#252.itm(1)} -pin "ACC1:acc#252" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#252.itm(2)} -pin "ACC1:acc#252" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#252.itm(3)} -pin "ACC1:acc#252" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load inst "ACC1:acc#257" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33863 -attr oid 1027 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#253.itm(0)} -pin "ACC1:acc#257" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#253.itm(1)} -pin "ACC1:acc#257" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#253.itm(2)} -pin "ACC1:acc#257" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#253.itm(3)} -pin "ACC1:acc#257" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
+load net {ACC1:acc#252.itm(0)} -pin "ACC1:acc#257" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#252.itm(1)} -pin "ACC1:acc#257" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#252.itm(2)} -pin "ACC1:acc#257" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#252.itm(3)} -pin "ACC1:acc#257" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
+load net {ACC1:acc#257.itm(0)} -pin "ACC1:acc#257" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(1)} -pin "ACC1:acc#257" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(2)} -pin "ACC1:acc#257" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(3)} -pin "ACC1:acc#257" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(4)} -pin "ACC1:acc#257" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load inst "ACC1:acc#260" "add(6,0,5,0,7)" "INTERFACE" -attr xrf 33864 -attr oid 1028 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#260" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#260" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {GND} -pin "ACC1:acc#260" {A(2)} -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {acc#10.psp#1.sva(5)} -pin "ACC1:acc#260" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {GND} -pin "ACC1:acc#260" {A(4)} -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {acc#10.psp#1.sva(7)} -pin "ACC1:acc#260" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#640.itm}
+load net {ACC1:acc#257.itm(0)} -pin "ACC1:acc#260" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(1)} -pin "ACC1:acc#260" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(2)} -pin "ACC1:acc#260" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(3)} -pin "ACC1:acc#260" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#257.itm(4)} -pin "ACC1:acc#260" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
+load net {ACC1:acc#260.itm(0)} -pin "ACC1:acc#260" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(1)} -pin "ACC1:acc#260" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(2)} -pin "ACC1:acc#260" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(3)} -pin "ACC1:acc#260" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(4)} -pin "ACC1:acc#260" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(5)} -pin "ACC1:acc#260" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(6)} -pin "ACC1:acc#260" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load inst "ACC1:acc#263" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 33865 -attr oid 1029 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {acc#10.psp#1.sva(5)} -pin "ACC1:acc#263" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {acc#10.psp#1.sva(5)} -pin "ACC1:acc#263" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {GND} -pin "ACC1:acc#263" {A(2)} -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {acc#10.psp#1.sva(9)} -pin "ACC1:acc#263" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {GND} -pin "ACC1:acc#263" {A(4)} -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {acc#10.psp#1.sva(9)} -pin "ACC1:acc#263" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {GND} -pin "ACC1:acc#263" {A(6)} -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {acc#10.psp#1.sva(9)} -pin "ACC1:acc#263" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#639.itm}
+load net {ACC1:acc#260.itm(0)} -pin "ACC1:acc#263" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(1)} -pin "ACC1:acc#263" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(2)} -pin "ACC1:acc#263" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(3)} -pin "ACC1:acc#263" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(4)} -pin "ACC1:acc#263" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(5)} -pin "ACC1:acc#263" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#260.itm(6)} -pin "ACC1:acc#263" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
+load net {ACC1:acc#263.itm(0)} -pin "ACC1:acc#263" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(1)} -pin "ACC1:acc#263" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(2)} -pin "ACC1:acc#263" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(3)} -pin "ACC1:acc#263" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(4)} -pin "ACC1:acc#263" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(5)} -pin "ACC1:acc#263" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(6)} -pin "ACC1:acc#263" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(7)} -pin "ACC1:acc#263" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load inst "ACC1:acc#265" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 33866 -attr oid 1030 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265} -attr area 10.253676 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,0,10)"
+load net {acc#10.psp#1.sva(10)} -pin "ACC1:acc#265" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {GND} -pin "ACC1:acc#265" {A(1)} -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {acc#10.psp#1.sva(10)} -pin "ACC1:acc#265" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {GND} -pin "ACC1:acc#265" {A(3)} -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {acc#10.psp#1.sva(10)} -pin "ACC1:acc#265" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {GND} -pin "ACC1:acc#265" {A(5)} -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {acc#10.psp#1.sva(10)} -pin "ACC1:acc#265" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {GND} -pin "ACC1:acc#265" {A(7)} -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {acc#10.psp#1.sva(10)} -pin "ACC1:acc#265" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#638.itm}
+load net {ACC1:acc#263.itm(0)} -pin "ACC1:acc#265" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(1)} -pin "ACC1:acc#265" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(2)} -pin "ACC1:acc#265" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(3)} -pin "ACC1:acc#265" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(4)} -pin "ACC1:acc#265" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(5)} -pin "ACC1:acc#265" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(6)} -pin "ACC1:acc#265" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#263.itm(7)} -pin "ACC1:acc#265" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
+load net {ACC1:acc#265.itm(0)} -pin "ACC1:acc#265" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(1)} -pin "ACC1:acc#265" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(2)} -pin "ACC1:acc#265" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(3)} -pin "ACC1:acc#265" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(4)} -pin "ACC1:acc#265" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(5)} -pin "ACC1:acc#265" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(6)} -pin "ACC1:acc#265" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(7)} -pin "ACC1:acc#265" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(8)} -pin "ACC1:acc#265" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(9)} -pin "ACC1:acc#265" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load inst "ACC1:acc#267" "add(11,1,10,0,12)" "INTERFACE" -attr xrf 33867 -attr oid 1031 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,13)"
+load net {ACC1-1:acc#124.itm(0)} -pin "ACC1:acc#267" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(1)} -pin "ACC1:acc#267" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(2)} -pin "ACC1:acc#267" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(3)} -pin "ACC1:acc#267" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(4)} -pin "ACC1:acc#267" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(5)} -pin "ACC1:acc#267" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(6)} -pin "ACC1:acc#267" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(7)} -pin "ACC1:acc#267" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(8)} -pin "ACC1:acc#267" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(9)} -pin "ACC1:acc#267" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1-1:acc#124.itm(10)} -pin "ACC1:acc#267" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#124.itm}
+load net {ACC1:acc#265.itm(0)} -pin "ACC1:acc#267" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(1)} -pin "ACC1:acc#267" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(2)} -pin "ACC1:acc#267" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(3)} -pin "ACC1:acc#267" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(4)} -pin "ACC1:acc#267" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(5)} -pin "ACC1:acc#267" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(6)} -pin "ACC1:acc#267" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(7)} -pin "ACC1:acc#267" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(8)} -pin "ACC1:acc#267" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#265.itm(9)} -pin "ACC1:acc#267" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
+load net {ACC1:acc#267.itm(0)} -pin "ACC1:acc#267" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(1)} -pin "ACC1:acc#267" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(2)} -pin "ACC1:acc#267" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(3)} -pin "ACC1:acc#267" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(4)} -pin "ACC1:acc#267" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(5)} -pin "ACC1:acc#267" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(6)} -pin "ACC1:acc#267" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(7)} -pin "ACC1:acc#267" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(8)} -pin "ACC1:acc#267" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(9)} -pin "ACC1:acc#267" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(10)} -pin "ACC1:acc#267" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(11)} -pin "ACC1:acc#267" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load inst "ACC1-3:acc#124" "add(11,1,12,-1,12)" "INTERFACE" -attr xrf 33868 -attr oid 1032 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {ACC1:acc#268.itm(0)} -pin "ACC1-3:acc#124" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(1)} -pin "ACC1-3:acc#124" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(2)} -pin "ACC1-3:acc#124" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(3)} -pin "ACC1-3:acc#124" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(4)} -pin "ACC1-3:acc#124" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(5)} -pin "ACC1-3:acc#124" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(6)} -pin "ACC1-3:acc#124" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(7)} -pin "ACC1-3:acc#124" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(8)} -pin "ACC1-3:acc#124" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(9)} -pin "ACC1-3:acc#124" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#268.itm(10)} -pin "ACC1-3:acc#124" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
+load net {ACC1:acc#267.itm(0)} -pin "ACC1-3:acc#124" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(1)} -pin "ACC1-3:acc#124" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(2)} -pin "ACC1-3:acc#124" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(3)} -pin "ACC1-3:acc#124" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(4)} -pin "ACC1-3:acc#124" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(5)} -pin "ACC1-3:acc#124" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(6)} -pin "ACC1-3:acc#124" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(7)} -pin "ACC1-3:acc#124" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(8)} -pin "ACC1-3:acc#124" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(9)} -pin "ACC1-3:acc#124" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(10)} -pin "ACC1-3:acc#124" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1:acc#267.itm(11)} -pin "ACC1-3:acc#124" {B(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
+load net {ACC1-3:acc#124.itm(0)} -pin "ACC1-3:acc#124" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(1)} -pin "ACC1-3:acc#124" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(2)} -pin "ACC1-3:acc#124" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(3)} -pin "ACC1-3:acc#124" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(4)} -pin "ACC1-3:acc#124" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(5)} -pin "ACC1-3:acc#124" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(6)} -pin "ACC1-3:acc#124" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(7)} -pin "ACC1-3:acc#124" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(8)} -pin "ACC1-3:acc#124" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(9)} -pin "ACC1-3:acc#124" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(10)} -pin "ACC1-3:acc#124" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(11)} -pin "ACC1-3:acc#124" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load inst "reg(FRAME:for:slc(in(2).sva).itm#1)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 33869 -attr oid 1033 -attr vt dc -attr @path {/sobel/sobel:core/reg(FRAME:for:slc(in(2).sva).itm#1)}
+load net {ACC1-3:acc#124.itm(0)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(1)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(2)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(3)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(4)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(5)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(6)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(7)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(8)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(9)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(10)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {ACC1-3:acc#124.itm(11)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {D(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-3:acc#124.itm}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
+load net {clk} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {clk} -attr xrf 33870 -attr oid 1034 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:for:slc(in(2).sva).itm#1(0)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(1)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(2)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(3)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(4)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(5)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(6)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(7)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(8)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(9)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(10)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -pin "reg(FRAME:for:slc(in(2).sva).itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(2).sva).itm#1}
+load inst "reg(exit:FRAME:for.lpi#1.dfm#3)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 33871 -attr oid 1035 -attr @path {/sobel/sobel:core/reg(exit:FRAME:for.lpi#1.dfm#3)}
+load net {exit:FRAME:for.lpi#1.dfm#4} -pin "reg(exit:FRAME:for.lpi#1.dfm#3)" {D(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#4}
+load net {GND} -pin "reg(exit:FRAME:for.lpi#1.dfm#3)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
+load net {clk} -pin "reg(exit:FRAME:for.lpi#1.dfm#3)" {clk} -attr xrf 33872 -attr oid 1036 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME:for.lpi#1.dfm#3)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME:for.lpi#1.dfm#3)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME:for.lpi#1.dfm#3} -pin "reg(exit:FRAME:for.lpi#1.dfm#3)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#3}
+load inst "regs.operator[]#10:mux" "mux(4,10)" "INTERFACE" -attr xrf 33873 -attr oid 1037 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#10:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#10:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(10)} -pin "regs.operator[]#10:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(11)} -pin "regs.operator[]#10:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(12)} -pin "regs.operator[]#10:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(13)} -pin "regs.operator[]#10:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(14)} -pin "regs.operator[]#10:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(15)} -pin "regs.operator[]#10:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(16)} -pin "regs.operator[]#10:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(17)} -pin "regs.operator[]#10:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(18)} -pin "regs.operator[]#10:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(19)} -pin "regs.operator[]#10:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(10)} -pin "regs.operator[]#10:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(11)} -pin "regs.operator[]#10:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(12)} -pin "regs.operator[]#10:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(13)} -pin "regs.operator[]#10:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(14)} -pin "regs.operator[]#10:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(15)} -pin "regs.operator[]#10:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(16)} -pin "regs.operator[]#10:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(17)} -pin "regs.operator[]#10:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(18)} -pin "regs.operator[]#10:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(19)} -pin "regs.operator[]#10:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(10)} -pin "regs.operator[]#10:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(11)} -pin "regs.operator[]#10:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(12)} -pin "regs.operator[]#10:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(13)} -pin "regs.operator[]#10:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(14)} -pin "regs.operator[]#10:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(15)} -pin "regs.operator[]#10:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(16)} -pin "regs.operator[]#10:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(17)} -pin "regs.operator[]#10:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(18)} -pin "regs.operator[]#10:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(19)} -pin "regs.operator[]#10:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#10:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#10:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#10:mux.itm(0)} -pin "regs.operator[]#10:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(1)} -pin "regs.operator[]#10:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(2)} -pin "regs.operator[]#10:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(3)} -pin "regs.operator[]#10:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(4)} -pin "regs.operator[]#10:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(5)} -pin "regs.operator[]#10:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(6)} -pin "regs.operator[]#10:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(7)} -pin "regs.operator[]#10:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(8)} -pin "regs.operator[]#10:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(9)} -pin "regs.operator[]#10:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load inst "FRAME:for:mul#1" "mul(10,1,2,1,12)" "INTERFACE" -attr xrf 33874 -attr oid 1038 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#10:mux.itm(0)} -pin "FRAME:for:mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(1)} -pin "FRAME:for:mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(2)} -pin "FRAME:for:mul#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(3)} -pin "FRAME:for:mul#1" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(4)} -pin "FRAME:for:mul#1" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(5)} -pin "FRAME:for:mul#1" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(6)} -pin "FRAME:for:mul#1" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(7)} -pin "FRAME:for:mul#1" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(8)} -pin "FRAME:for:mul#1" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {regs.operator[]#10:mux.itm(9)} -pin "FRAME:for:mul#1" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#10:mux.itm}
+load net {FRAME:for:or#3.itm} -pin "FRAME:for:mul#1" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#645.itm}
+load net {PWR} -pin "FRAME:for:mul#1" {B(1)} -attr @path {/sobel/sobel:core/conc#645.itm}
+load net {FRAME:for:mul#1.itm(0)} -pin "FRAME:for:mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(1)} -pin "FRAME:for:mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(2)} -pin "FRAME:for:mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(3)} -pin "FRAME:for:mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(4)} -pin "FRAME:for:mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(5)} -pin "FRAME:for:mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(6)} -pin "FRAME:for:mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(7)} -pin "FRAME:for:mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(8)} -pin "FRAME:for:mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(9)} -pin "FRAME:for:mul#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(10)} -pin "FRAME:for:mul#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(11)} -pin "FRAME:for:mul#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load inst "regs.operator[]#11:mux" "mux(4,10)" "INTERFACE" -attr xrf 33875 -attr oid 1039 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#11:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#11:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(0)} -pin "regs.operator[]#11:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(1)} -pin "regs.operator[]#11:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(2)} -pin "regs.operator[]#11:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(3)} -pin "regs.operator[]#11:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(4)} -pin "regs.operator[]#11:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(5)} -pin "regs.operator[]#11:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(6)} -pin "regs.operator[]#11:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(7)} -pin "regs.operator[]#11:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(8)} -pin "regs.operator[]#11:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(9)} -pin "regs.operator[]#11:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(0)} -pin "regs.operator[]#11:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(1)} -pin "regs.operator[]#11:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(2)} -pin "regs.operator[]#11:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(3)} -pin "regs.operator[]#11:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(4)} -pin "regs.operator[]#11:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(5)} -pin "regs.operator[]#11:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(6)} -pin "regs.operator[]#11:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(7)} -pin "regs.operator[]#11:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(8)} -pin "regs.operator[]#11:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(9)} -pin "regs.operator[]#11:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(0)} -pin "regs.operator[]#11:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(1)} -pin "regs.operator[]#11:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(2)} -pin "regs.operator[]#11:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(3)} -pin "regs.operator[]#11:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(4)} -pin "regs.operator[]#11:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(5)} -pin "regs.operator[]#11:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(6)} -pin "regs.operator[]#11:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(7)} -pin "regs.operator[]#11:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(8)} -pin "regs.operator[]#11:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(9)} -pin "regs.operator[]#11:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#11:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#11:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#11:mux.itm(0)} -pin "regs.operator[]#11:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(1)} -pin "regs.operator[]#11:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(2)} -pin "regs.operator[]#11:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(3)} -pin "regs.operator[]#11:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(4)} -pin "regs.operator[]#11:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(5)} -pin "regs.operator[]#11:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(6)} -pin "regs.operator[]#11:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(7)} -pin "regs.operator[]#11:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(8)} -pin "regs.operator[]#11:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(9)} -pin "regs.operator[]#11:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load inst "FRAME:for:mul#2" "mul(10,1,2,1,12)" "INTERFACE" -attr xrf 33876 -attr oid 1040 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#11:mux.itm(0)} -pin "FRAME:for:mul#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(1)} -pin "FRAME:for:mul#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(2)} -pin "FRAME:for:mul#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(3)} -pin "FRAME:for:mul#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(4)} -pin "FRAME:for:mul#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(5)} -pin "FRAME:for:mul#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(6)} -pin "FRAME:for:mul#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(7)} -pin "FRAME:for:mul#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(8)} -pin "FRAME:for:mul#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {regs.operator[]#11:mux.itm(9)} -pin "FRAME:for:mul#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#11:mux.itm}
+load net {FRAME:for:or#3.itm} -pin "FRAME:for:mul#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#646.itm}
+load net {PWR} -pin "FRAME:for:mul#2" {B(1)} -attr @path {/sobel/sobel:core/conc#646.itm}
+load net {FRAME:for:mul#2.itm(0)} -pin "FRAME:for:mul#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(1)} -pin "FRAME:for:mul#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(2)} -pin "FRAME:for:mul#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(3)} -pin "FRAME:for:mul#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(4)} -pin "FRAME:for:mul#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(5)} -pin "FRAME:for:mul#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(6)} -pin "FRAME:for:mul#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(7)} -pin "FRAME:for:mul#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(8)} -pin "FRAME:for:mul#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(9)} -pin "FRAME:for:mul#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(10)} -pin "FRAME:for:mul#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(11)} -pin "FRAME:for:mul#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load inst "FRAME:for:acc#23" "add(12,1,12,1,13)" "INTERFACE" -attr xrf 33877 -attr oid 1041 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {FRAME:for:mul#1.itm(0)} -pin "FRAME:for:acc#23" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(1)} -pin "FRAME:for:acc#23" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(2)} -pin "FRAME:for:acc#23" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(3)} -pin "FRAME:for:acc#23" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(4)} -pin "FRAME:for:acc#23" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(5)} -pin "FRAME:for:acc#23" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(6)} -pin "FRAME:for:acc#23" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(7)} -pin "FRAME:for:acc#23" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(8)} -pin "FRAME:for:acc#23" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(9)} -pin "FRAME:for:acc#23" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(10)} -pin "FRAME:for:acc#23" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(11)} -pin "FRAME:for:acc#23" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#2.itm(0)} -pin "FRAME:for:acc#23" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(1)} -pin "FRAME:for:acc#23" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(2)} -pin "FRAME:for:acc#23" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(3)} -pin "FRAME:for:acc#23" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(4)} -pin "FRAME:for:acc#23" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(5)} -pin "FRAME:for:acc#23" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(6)} -pin "FRAME:for:acc#23" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(7)} -pin "FRAME:for:acc#23" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(8)} -pin "FRAME:for:acc#23" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(9)} -pin "FRAME:for:acc#23" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(10)} -pin "FRAME:for:acc#23" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(11)} -pin "FRAME:for:acc#23" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:acc#23.itm(0)} -pin "FRAME:for:acc#23" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(1)} -pin "FRAME:for:acc#23" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(2)} -pin "FRAME:for:acc#23" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(3)} -pin "FRAME:for:acc#23" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(4)} -pin "FRAME:for:acc#23" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(5)} -pin "FRAME:for:acc#23" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(6)} -pin "FRAME:for:acc#23" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(7)} -pin "FRAME:for:acc#23" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(8)} -pin "FRAME:for:acc#23" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(9)} -pin "FRAME:for:acc#23" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(10)} -pin "FRAME:for:acc#23" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(11)} -pin "FRAME:for:acc#23" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(12)} -pin "FRAME:for:acc#23" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load inst "regs.operator[]#9:mux" "mux(4,10)" "INTERFACE" -attr xrf 33878 -attr oid 1042 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#9:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#9:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(20)} -pin "regs.operator[]#9:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(21)} -pin "regs.operator[]#9:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(22)} -pin "regs.operator[]#9:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(23)} -pin "regs.operator[]#9:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(24)} -pin "regs.operator[]#9:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(25)} -pin "regs.operator[]#9:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(26)} -pin "regs.operator[]#9:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(27)} -pin "regs.operator[]#9:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(28)} -pin "regs.operator[]#9:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(29)} -pin "regs.operator[]#9:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm#1:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(20)} -pin "regs.operator[]#9:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(21)} -pin "regs.operator[]#9:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(22)} -pin "regs.operator[]#9:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(23)} -pin "regs.operator[]#9:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(24)} -pin "regs.operator[]#9:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(25)} -pin "regs.operator[]#9:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(26)} -pin "regs.operator[]#9:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(27)} -pin "regs.operator[]#9:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(28)} -pin "regs.operator[]#9:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(29)} -pin "regs.operator[]#9:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(20)} -pin "regs.operator[]#9:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(21)} -pin "regs.operator[]#9:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(22)} -pin "regs.operator[]#9:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(23)} -pin "regs.operator[]#9:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(24)} -pin "regs.operator[]#9:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(25)} -pin "regs.operator[]#9:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(26)} -pin "regs.operator[]#9:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(27)} -pin "regs.operator[]#9:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(28)} -pin "regs.operator[]#9:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(29)} -pin "regs.operator[]#9:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#9:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#9:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#9:mux.itm(0)} -pin "regs.operator[]#9:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(1)} -pin "regs.operator[]#9:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(2)} -pin "regs.operator[]#9:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(3)} -pin "regs.operator[]#9:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(4)} -pin "regs.operator[]#9:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(5)} -pin "regs.operator[]#9:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(6)} -pin "regs.operator[]#9:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(7)} -pin "regs.operator[]#9:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(8)} -pin "regs.operator[]#9:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(9)} -pin "regs.operator[]#9:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load inst "FRAME:for:mul" "mul(10,1,2,1,12)" "INTERFACE" -attr xrf 33879 -attr oid 1043 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#9:mux.itm(0)} -pin "FRAME:for:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(1)} -pin "FRAME:for:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(2)} -pin "FRAME:for:mul" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(3)} -pin "FRAME:for:mul" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(4)} -pin "FRAME:for:mul" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(5)} -pin "FRAME:for:mul" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(6)} -pin "FRAME:for:mul" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(7)} -pin "FRAME:for:mul" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(8)} -pin "FRAME:for:mul" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {regs.operator[]#9:mux.itm(9)} -pin "FRAME:for:mul" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#9:mux.itm}
+load net {FRAME:for:or#3.itm} -pin "FRAME:for:mul" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#647.itm}
+load net {PWR} -pin "FRAME:for:mul" {B(1)} -attr @path {/sobel/sobel:core/conc#647.itm}
+load net {FRAME:for:mul.itm(0)} -pin "FRAME:for:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(1)} -pin "FRAME:for:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(2)} -pin "FRAME:for:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(3)} -pin "FRAME:for:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(4)} -pin "FRAME:for:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(5)} -pin "FRAME:for:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(6)} -pin "FRAME:for:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(7)} -pin "FRAME:for:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(8)} -pin "FRAME:for:mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(9)} -pin "FRAME:for:mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(10)} -pin "FRAME:for:mul" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(11)} -pin "FRAME:for:mul" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load inst "FRAME:for:acc#24" "add(13,-1,12,1,13)" "INTERFACE" -attr xrf 33880 -attr oid 1044 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24} -attr area 14.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,0,12,1,13)"
+load net {FRAME:for:acc#23.itm(0)} -pin "FRAME:for:acc#24" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(1)} -pin "FRAME:for:acc#24" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(2)} -pin "FRAME:for:acc#24" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(3)} -pin "FRAME:for:acc#24" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(4)} -pin "FRAME:for:acc#24" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(5)} -pin "FRAME:for:acc#24" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(6)} -pin "FRAME:for:acc#24" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(7)} -pin "FRAME:for:acc#24" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(8)} -pin "FRAME:for:acc#24" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(9)} -pin "FRAME:for:acc#24" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(10)} -pin "FRAME:for:acc#24" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(11)} -pin "FRAME:for:acc#24" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:acc#23.itm(12)} -pin "FRAME:for:acc#24" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#23.itm}
+load net {FRAME:for:mul.itm(0)} -pin "FRAME:for:acc#24" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(1)} -pin "FRAME:for:acc#24" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(2)} -pin "FRAME:for:acc#24" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(3)} -pin "FRAME:for:acc#24" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(4)} -pin "FRAME:for:acc#24" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(5)} -pin "FRAME:for:acc#24" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(6)} -pin "FRAME:for:acc#24" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(7)} -pin "FRAME:for:acc#24" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(8)} -pin "FRAME:for:acc#24" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(9)} -pin "FRAME:for:acc#24" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(10)} -pin "FRAME:for:acc#24" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(11)} -pin "FRAME:for:acc#24" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:acc#24.itm(0)} -pin "FRAME:for:acc#24" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(1)} -pin "FRAME:for:acc#24" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(2)} -pin "FRAME:for:acc#24" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(3)} -pin "FRAME:for:acc#24" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(4)} -pin "FRAME:for:acc#24" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(5)} -pin "FRAME:for:acc#24" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(6)} -pin "FRAME:for:acc#24" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(7)} -pin "FRAME:for:acc#24" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(8)} -pin "FRAME:for:acc#24" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(9)} -pin "FRAME:for:acc#24" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(10)} -pin "FRAME:for:acc#24" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(11)} -pin "FRAME:for:acc#24" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(12)} -pin "FRAME:for:acc#24" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load inst "reg(FRAME:for:acc#24.itm#1)" "reg(13,1,1,-1,0)" "INTERFACE" -attr xrf 33881 -attr oid 1045 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:for:acc#24.itm#1)}
+load net {FRAME:for:acc#24.itm(0)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(1)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(2)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(3)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(4)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(5)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(6)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(7)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(8)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(9)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(10)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(11)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {FRAME:for:acc#24.itm(12)} -pin "reg(FRAME:for:acc#24.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_13}
+load net {GND} -pin "reg(FRAME:for:acc#24.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_13}
+load net {clk} -pin "reg(FRAME:for:acc#24.itm#1)" {clk} -attr xrf 33882 -attr oid 1046 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:for:acc#24.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:for:acc#24.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:for:acc#24.itm#1(0)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(1)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(2)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(3)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(4)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(5)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(6)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(7)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(8)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(9)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(10)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(11)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(12)} -pin "reg(FRAME:for:acc#24.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load inst "ACC1:acc#189" "add(3,1,2,1,4)" "INTERFACE" -attr xrf 33883 -attr oid 1047 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {PWR} -pin "ACC1:acc#189" {A(0)} -attr @path {/sobel/sobel:core/conc#649.itm}
+load net {acc.psp#1.sva(3)} -pin "ACC1:acc#189" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#649.itm}
+load net {PWR} -pin "ACC1:acc#189" {A(2)} -attr @path {/sobel/sobel:core/conc#649.itm}
+load net {acc.psp#1.sva(4)} -pin "ACC1:acc#189" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#552.itm}
+load net {ACC1:acc#107.psp#1.sva(3)} -pin "ACC1:acc#189" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#552.itm}
+load net {ACC1:acc#189.itm(0)} -pin "ACC1:acc#189" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
+load net {ACC1:acc#189.itm(1)} -pin "ACC1:acc#189" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
+load net {ACC1:acc#189.itm(2)} -pin "ACC1:acc#189" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
+load net {ACC1:acc#189.itm(3)} -pin "ACC1:acc#189" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
+load inst "ACC1:acc#198" "add(3,-1,2,1,3)" "INTERFACE" -attr xrf 33884 -attr oid 1048 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {ACC1:acc#189.itm(1)} -pin "ACC1:acc#198" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#54.itm}
+load net {ACC1:acc#189.itm(2)} -pin "ACC1:acc#198" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#54.itm}
+load net {ACC1:acc#189.itm(3)} -pin "ACC1:acc#198" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#54.itm}
+load net {ACC1:acc#116.psp.sva(1)} -pin "ACC1:acc#198" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp.sva)#2.itm}
+load net {ACC1:acc#116.psp.sva(2)} -pin "ACC1:acc#198" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp.sva)#2.itm}
+load net {ACC1:acc#198.itm(0)} -pin "ACC1:acc#198" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load net {ACC1:acc#198.itm(1)} -pin "ACC1:acc#198" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load net {ACC1:acc#198.itm(2)} -pin "ACC1:acc#198" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load inst "ACC1:acc#190" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 33885 -attr oid 1049 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#190" {A(0)} -attr @path {/sobel/sobel:core/conc#650.itm}
+load net {acc.psp#1.sva(1)} -pin "ACC1:acc#190" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#650.itm}
+load net {acc.psp#1.sva(3)} -pin "ACC1:acc#190" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#650.itm}
+load net {ACC1:acc#107.psp#1.sva(1)} -pin "ACC1:acc#190" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#554.itm}
+load net {acc.psp#1.sva(2)} -pin "ACC1:acc#190" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#554.itm}
+load net {ACC1:acc#107.psp#1.sva(3)} -pin "ACC1:acc#190" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#554.itm}
+load net {ACC1:acc#190.itm(0)} -pin "ACC1:acc#190" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
+load net {ACC1:acc#190.itm(1)} -pin "ACC1:acc#190" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
+load net {ACC1:acc#190.itm(2)} -pin "ACC1:acc#190" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
+load net {ACC1:acc#190.itm(3)} -pin "ACC1:acc#190" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
+load net {ACC1:acc#190.itm(4)} -pin "ACC1:acc#190" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
+load inst "ACC1:acc#203" "add(3,1,4,-1,4)" "INTERFACE" -attr xrf 33886 -attr oid 1050 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#198.itm(0)} -pin "ACC1:acc#203" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load net {ACC1:acc#198.itm(1)} -pin "ACC1:acc#203" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load net {ACC1:acc#198.itm(2)} -pin "ACC1:acc#203" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
+load net {ACC1:acc#190.itm(1)} -pin "ACC1:acc#203" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
+load net {ACC1:acc#190.itm(2)} -pin "ACC1:acc#203" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
+load net {ACC1:acc#190.itm(3)} -pin "ACC1:acc#203" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
+load net {ACC1:acc#190.itm(4)} -pin "ACC1:acc#203" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
+load net {ACC1:acc#203.itm(0)} -pin "ACC1:acc#203" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#203.itm(1)} -pin "ACC1:acc#203" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#203.itm(2)} -pin "ACC1:acc#203" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#203.itm(3)} -pin "ACC1:acc#203" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load inst "ACC1:acc#202" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 33887 -attr oid 1051 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#202" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#260.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#202" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#260.itm}
+load net {acc.psp#1.sva(4)} -pin "ACC1:acc#202" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#260.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#202" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:conc#260.itm}
+load net {ACC1:acc#197.cse(0)} -pin "ACC1:acc#202" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#197.cse(1)} -pin "ACC1:acc#202" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#197.cse(2)} -pin "ACC1:acc#202" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#202.itm(0)} -pin "ACC1:acc#202" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(1)} -pin "ACC1:acc#202" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(2)} -pin "ACC1:acc#202" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(3)} -pin "ACC1:acc#202" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(4)} -pin "ACC1:acc#202" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load inst "ACC1:acc#206" "add(4,1,5,0,6)" "INTERFACE" -attr xrf 33888 -attr oid 1052 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#203.itm(0)} -pin "ACC1:acc#206" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#203.itm(1)} -pin "ACC1:acc#206" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#203.itm(2)} -pin "ACC1:acc#206" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#203.itm(3)} -pin "ACC1:acc#206" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
+load net {ACC1:acc#202.itm(0)} -pin "ACC1:acc#206" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(1)} -pin "ACC1:acc#206" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(2)} -pin "ACC1:acc#206" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(3)} -pin "ACC1:acc#206" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#202.itm(4)} -pin "ACC1:acc#206" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
+load net {ACC1:acc#206.itm(0)} -pin "ACC1:acc#206" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(1)} -pin "ACC1:acc#206" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(2)} -pin "ACC1:acc#206" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(3)} -pin "ACC1:acc#206" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(4)} -pin "ACC1:acc#206" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(5)} -pin "ACC1:acc#206" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load inst "ACC1:acc#209" "add(6,1,7,0,8)" "INTERFACE" -attr xrf 33889 -attr oid 1053 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#206.itm(0)} -pin "ACC1:acc#209" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(1)} -pin "ACC1:acc#209" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(2)} -pin "ACC1:acc#209" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(3)} -pin "ACC1:acc#209" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(4)} -pin "ACC1:acc#209" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {ACC1:acc#206.itm(5)} -pin "ACC1:acc#209" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#206.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#209" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {GND} -pin "ACC1:acc#209" {B(1)} -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#209" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {GND} -pin "ACC1:acc#209" {B(3)} -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#209" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {GND} -pin "ACC1:acc#209" {B(5)} -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#209" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#651.itm}
+load net {ACC1:acc#209.itm(0)} -pin "ACC1:acc#209" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(1)} -pin "ACC1:acc#209" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(2)} -pin "ACC1:acc#209" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(3)} -pin "ACC1:acc#209" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(4)} -pin "ACC1:acc#209" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(5)} -pin "ACC1:acc#209" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(6)} -pin "ACC1:acc#209" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(7)} -pin "ACC1:acc#209" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load inst "ACC1-3:not#28" "not(1)" "INTERFACE" -attr xrf 33890 -attr oid 1054 -attr @path {/sobel/sobel:core/ACC1-3:not#28} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#170.itm(2)} -pin "ACC1-3:not#28" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#3.sva)#2.itm}
+load net {ACC1-3:not#28.itm} -pin "ACC1-3:not#28" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#28.itm}
+load inst "ACC1-3:and#1" "and(3,1)" "INTERFACE" -attr xrf 33891 -attr oid 1055 -attr @path {/sobel/sobel:core/ACC1-3:and#1} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:and#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#38.itm}
+load net {ACC1-3:not#28.itm} -pin "ACC1-3:and#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#28.itm}
+load net {ACC1:acc#170.itm(1)} -pin "ACC1-3:and#1" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#3.sva)#1.itm}
+load net {ACC1-3:and#1.itm} -pin "ACC1-3:and#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:and#1.itm}
+load inst "ACC1:acc#195" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33892 -attr oid 1056 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#195" {A(0)} -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#195" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#195" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#60.itm}
+load net {ACC1-3:and#1.itm} -pin "ACC1:acc#195" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#751.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#195" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#751.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#195" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#751.itm}
+load net {ACC1:acc#195.itm(0)} -pin "ACC1:acc#195" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
+load net {ACC1:acc#195.itm(1)} -pin "ACC1:acc#195" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
+load net {ACC1:acc#195.itm(2)} -pin "ACC1:acc#195" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
+load net {ACC1:acc#195.itm(3)} -pin "ACC1:acc#195" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
+load inst "ACC1:acc#201" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33893 -attr oid 1057 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#197.cse(0)} -pin "ACC1:acc#201" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#197.cse(1)} -pin "ACC1:acc#201" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#197.cse(2)} -pin "ACC1:acc#201" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#195.itm(1)} -pin "ACC1:acc#201" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#60.itm}
+load net {ACC1:acc#195.itm(2)} -pin "ACC1:acc#201" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#60.itm}
+load net {ACC1:acc#195.itm(3)} -pin "ACC1:acc#201" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#60.itm}
+load net {ACC1:acc#201.itm(0)} -pin "ACC1:acc#201" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#201.itm(1)} -pin "ACC1:acc#201" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#201.itm(2)} -pin "ACC1:acc#201" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#201.itm(3)} -pin "ACC1:acc#201" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load inst "ACC1:acc#205" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 33894 -attr oid 1058 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc.psp#1.sva(6)} -pin "ACC1:acc#205" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#652.itm}
+load net {GND} -pin "ACC1:acc#205" {A(1)} -attr @path {/sobel/sobel:core/conc#652.itm}
+load net {acc.psp#1.sva(6)} -pin "ACC1:acc#205" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#652.itm}
+load net {GND} -pin "ACC1:acc#205" {A(3)} -attr @path {/sobel/sobel:core/conc#652.itm}
+load net {acc.psp#1.sva(6)} -pin "ACC1:acc#205" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#652.itm}
+load net {ACC1:acc#201.itm(0)} -pin "ACC1:acc#205" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#201.itm(1)} -pin "ACC1:acc#205" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#201.itm(2)} -pin "ACC1:acc#205" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#201.itm(3)} -pin "ACC1:acc#205" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
+load net {ACC1:acc#205.itm(0)} -pin "ACC1:acc#205" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(1)} -pin "ACC1:acc#205" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(2)} -pin "ACC1:acc#205" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(3)} -pin "ACC1:acc#205" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(4)} -pin "ACC1:acc#205" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(5)} -pin "ACC1:acc#205" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load inst "ACC1:acc#208" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 33895 -attr oid 1059 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#208" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#538.itm}
+load net {ACC1:acc#205.itm(0)} -pin "ACC1:acc#208" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(1)} -pin "ACC1:acc#208" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(2)} -pin "ACC1:acc#208" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(3)} -pin "ACC1:acc#208" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(4)} -pin "ACC1:acc#208" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#205.itm(5)} -pin "ACC1:acc#208" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
+load net {ACC1:acc#208.itm(0)} -pin "ACC1:acc#208" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(1)} -pin "ACC1:acc#208" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(2)} -pin "ACC1:acc#208" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(3)} -pin "ACC1:acc#208" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(4)} -pin "ACC1:acc#208" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(5)} -pin "ACC1:acc#208" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(6)} -pin "ACC1:acc#208" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(7)} -pin "ACC1:acc#208" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load inst "ACC1:acc#211" "add(8,1,8,0,10)" "INTERFACE" -attr xrf 33896 -attr oid 1060 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#209.itm(0)} -pin "ACC1:acc#211" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(1)} -pin "ACC1:acc#211" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(2)} -pin "ACC1:acc#211" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(3)} -pin "ACC1:acc#211" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(4)} -pin "ACC1:acc#211" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(5)} -pin "ACC1:acc#211" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(6)} -pin "ACC1:acc#211" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#209.itm(7)} -pin "ACC1:acc#211" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#209.itm}
+load net {ACC1:acc#208.itm(0)} -pin "ACC1:acc#211" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(1)} -pin "ACC1:acc#211" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(2)} -pin "ACC1:acc#211" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(3)} -pin "ACC1:acc#211" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(4)} -pin "ACC1:acc#211" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(5)} -pin "ACC1:acc#211" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(6)} -pin "ACC1:acc#211" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#208.itm(7)} -pin "ACC1:acc#211" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#208.itm}
+load net {ACC1:acc#211.itm(0)} -pin "ACC1:acc#211" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(1)} -pin "ACC1:acc#211" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(2)} -pin "ACC1:acc#211" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(3)} -pin "ACC1:acc#211" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(4)} -pin "ACC1:acc#211" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(5)} -pin "ACC1:acc#211" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(6)} -pin "ACC1:acc#211" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(7)} -pin "ACC1:acc#211" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(8)} -pin "ACC1:acc#211" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(9)} -pin "ACC1:acc#211" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load inst "ACC1:acc#213" "add(10,1,10,0,11)" "INTERFACE" -attr xrf 33897 -attr oid 1061 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
+load net {ACC1:acc#211.itm(0)} -pin "ACC1:acc#213" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(1)} -pin "ACC1:acc#213" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(2)} -pin "ACC1:acc#213" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(3)} -pin "ACC1:acc#213" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(4)} -pin "ACC1:acc#213" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(5)} -pin "ACC1:acc#213" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(6)} -pin "ACC1:acc#213" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(7)} -pin "ACC1:acc#213" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(8)} -pin "ACC1:acc#213" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {ACC1:acc#211.itm(9)} -pin "ACC1:acc#213" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#213" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#213" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {GND} -pin "ACC1:acc#213" {B(2)} -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#213" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {GND} -pin "ACC1:acc#213" {B(4)} -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#213" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {GND} -pin "ACC1:acc#213" {B(6)} -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#213" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {GND} -pin "ACC1:acc#213" {B(8)} -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#213" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#655.itm}
+load net {ACC1:acc#213.itm(0)} -pin "ACC1:acc#213" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(1)} -pin "ACC1:acc#213" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(2)} -pin "ACC1:acc#213" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(3)} -pin "ACC1:acc#213" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(4)} -pin "ACC1:acc#213" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(5)} -pin "ACC1:acc#213" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(6)} -pin "ACC1:acc#213" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(7)} -pin "ACC1:acc#213" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(8)} -pin "ACC1:acc#213" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(9)} -pin "ACC1:acc#213" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(10)} -pin "ACC1:acc#213" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load inst "ACC1:acc#215" "add(10,0,11,-1,11)" "INTERFACE" -attr xrf 33898 -attr oid 1062 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215} -attr area 12.237292 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,10,0,11)"
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#215" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(1)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(2)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(3)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(4)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(5)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(6)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(7)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {GND} -pin "ACC1:acc#215" {A(8)} -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#215" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#648.itm}
+load net {ACC1:acc#213.itm(0)} -pin "ACC1:acc#215" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(1)} -pin "ACC1:acc#215" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(2)} -pin "ACC1:acc#215" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(3)} -pin "ACC1:acc#215" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(4)} -pin "ACC1:acc#215" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(5)} -pin "ACC1:acc#215" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(6)} -pin "ACC1:acc#215" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(7)} -pin "ACC1:acc#215" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(8)} -pin "ACC1:acc#215" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(9)} -pin "ACC1:acc#215" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#213.itm(10)} -pin "ACC1:acc#215" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
+load net {ACC1:acc#215.itm(0)} -pin "ACC1:acc#215" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(1)} -pin "ACC1:acc#215" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(2)} -pin "ACC1:acc#215" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(3)} -pin "ACC1:acc#215" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(4)} -pin "ACC1:acc#215" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(5)} -pin "ACC1:acc#215" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(6)} -pin "ACC1:acc#215" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(7)} -pin "ACC1:acc#215" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(8)} -pin "ACC1:acc#215" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(9)} -pin "ACC1:acc#215" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(10)} -pin "ACC1:acc#215" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load inst "ACC1-1:not#166" "not(1)" "INTERFACE" -attr xrf 33899 -attr oid 1063 -attr @path {/sobel/sobel:core/ACC1-1:not#166} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:not#166" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#49.itm}
+load net {ACC1-1:not#166.itm} -pin "ACC1-1:not#166" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#166.itm}
+load inst "ACC1-1:nand" "nand(2,1)" "INTERFACE" -attr xrf 33900 -attr oid 1064 -attr @path {/sobel/sobel:core/ACC1-1:nand} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#141.itm(2)} -pin "ACC1-1:nand" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#16.sva)#2.itm}
+load net {ACC1-1:not#166.itm} -pin "ACC1-1:nand" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#166.itm}
+load net {ACC1-1:nand.itm} -pin "ACC1-1:nand" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:nand.itm}
+load inst "ACC1:acc#221" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33901 -attr oid 1065 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#221" {A(0)} -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#221" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#221" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#46.itm}
+load net {ACC1-1:nand.itm} -pin "ACC1:acc#221" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#753.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#221" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#753.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#221" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#753.itm}
+load net {ACC1:acc#221.itm(0)} -pin "ACC1:acc#221" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
+load net {ACC1:acc#221.itm(1)} -pin "ACC1:acc#221" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
+load net {ACC1:acc#221.itm(2)} -pin "ACC1:acc#221" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
+load net {ACC1:acc#221.itm(3)} -pin "ACC1:acc#221" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
+load inst "ACC1-1:not#167" "not(1)" "INTERFACE" -attr xrf 33902 -attr oid 1066 -attr @path {/sobel/sobel:core/ACC1-1:not#167} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#140.itm(3)} -pin "ACC1-1:not#167" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#14.sva)#4.itm}
+load net {ACC1-1:not#167.itm} -pin "ACC1-1:not#167" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#167.itm}
+load inst "ACC1:acc#220" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33903 -attr oid 1067 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#220" {A(0)} -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#220" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#220" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#47.itm}
+load net {ACC1-1:not#167.itm} -pin "ACC1:acc#220" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#755.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#220" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#755.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#220" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#755.itm}
+load net {ACC1:acc#220.itm(0)} -pin "ACC1:acc#220" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
+load net {ACC1:acc#220.itm(1)} -pin "ACC1:acc#220" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
+load net {ACC1:acc#220.itm(2)} -pin "ACC1:acc#220" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
+load net {ACC1:acc#220.itm(3)} -pin "ACC1:acc#220" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
+load inst "ACC1:acc#227" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33904 -attr oid 1068 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#221.itm(1)} -pin "ACC1:acc#227" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1:acc#221.itm(2)} -pin "ACC1:acc#227" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1:acc#221.itm(3)} -pin "ACC1:acc#227" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#66.itm}
+load net {ACC1:acc#220.itm(1)} -pin "ACC1:acc#227" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#220.itm(2)} -pin "ACC1:acc#227" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#220.itm(3)} -pin "ACC1:acc#227" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#65.itm}
+load net {ACC1:acc#227.itm(0)} -pin "ACC1:acc#227" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#227.itm(1)} -pin "ACC1:acc#227" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#227.itm(2)} -pin "ACC1:acc#227" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#227.itm(3)} -pin "ACC1:acc#227" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load inst "ACC1:acc#219" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33905 -attr oid 1069 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#219" {A(0)} -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#219" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#219" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#48.itm}
+load net {ACC1:acc#140.itm(2)} -pin "ACC1:acc#219" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#757.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#219" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#757.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#219" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#757.itm}
+load net {ACC1:acc#219.itm(0)} -pin "ACC1:acc#219" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
+load net {ACC1:acc#219.itm(1)} -pin "ACC1:acc#219" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
+load net {ACC1:acc#219.itm(2)} -pin "ACC1:acc#219" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
+load net {ACC1:acc#219.itm(3)} -pin "ACC1:acc#219" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
+load inst "ACC1:acc#218" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33906 -attr oid 1070 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#218" {A(0)} -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#218" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#218" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#49.itm}
+load net {ACC1:acc#107.psp#2.sva(2)} -pin "ACC1:acc#218" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#759.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#218" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#759.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#218" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#759.itm}
+load net {ACC1:acc#218.itm(0)} -pin "ACC1:acc#218" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
+load net {ACC1:acc#218.itm(1)} -pin "ACC1:acc#218" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
+load net {ACC1:acc#218.itm(2)} -pin "ACC1:acc#218" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
+load net {ACC1:acc#218.itm(3)} -pin "ACC1:acc#218" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
+load inst "ACC1:acc#226" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33907 -attr oid 1071 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#219.itm(1)} -pin "ACC1:acc#226" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#219.itm(2)} -pin "ACC1:acc#226" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#219.itm(3)} -pin "ACC1:acc#226" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#64.itm}
+load net {ACC1:acc#218.itm(1)} -pin "ACC1:acc#226" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#63.itm}
+load net {ACC1:acc#218.itm(2)} -pin "ACC1:acc#226" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#63.itm}
+load net {ACC1:acc#218.itm(3)} -pin "ACC1:acc#226" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#63.itm}
+load net {ACC1:acc#226.itm(0)} -pin "ACC1:acc#226" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#226.itm(1)} -pin "ACC1:acc#226" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#226.itm(2)} -pin "ACC1:acc#226" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#226.itm(3)} -pin "ACC1:acc#226" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load inst "ACC1:acc#231" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33908 -attr oid 1072 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#227.itm(0)} -pin "ACC1:acc#231" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#227.itm(1)} -pin "ACC1:acc#231" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#227.itm(2)} -pin "ACC1:acc#231" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#227.itm(3)} -pin "ACC1:acc#231" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
+load net {ACC1:acc#226.itm(0)} -pin "ACC1:acc#231" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#226.itm(1)} -pin "ACC1:acc#231" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#226.itm(2)} -pin "ACC1:acc#231" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#226.itm(3)} -pin "ACC1:acc#231" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
+load net {ACC1:acc#231.itm(0)} -pin "ACC1:acc#231" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(1)} -pin "ACC1:acc#231" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(2)} -pin "ACC1:acc#231" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(3)} -pin "ACC1:acc#231" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(4)} -pin "ACC1:acc#231" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load inst "ACC1:acc#234" "add(6,0,5,0,7)" "INTERFACE" -attr xrf 33909 -attr oid 1073 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#234" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#234" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {GND} -pin "ACC1:acc#234" {A(2)} -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#234" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {GND} -pin "ACC1:acc#234" {A(4)} -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#234" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#658.itm}
+load net {ACC1:acc#231.itm(0)} -pin "ACC1:acc#234" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(1)} -pin "ACC1:acc#234" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(2)} -pin "ACC1:acc#234" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(3)} -pin "ACC1:acc#234" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#231.itm(4)} -pin "ACC1:acc#234" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#231.itm}
+load net {ACC1:acc#234.itm(0)} -pin "ACC1:acc#234" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(1)} -pin "ACC1:acc#234" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(2)} -pin "ACC1:acc#234" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(3)} -pin "ACC1:acc#234" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(4)} -pin "ACC1:acc#234" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(5)} -pin "ACC1:acc#234" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(6)} -pin "ACC1:acc#234" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load inst "ACC1:acc#237" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 33910 -attr oid 1074 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#237" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {acc.psp#2.sva(5)} -pin "ACC1:acc#237" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {GND} -pin "ACC1:acc#237" {A(2)} -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#237" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {GND} -pin "ACC1:acc#237" {A(4)} -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#237" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {GND} -pin "ACC1:acc#237" {A(6)} -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#237" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#657.itm}
+load net {ACC1:acc#234.itm(0)} -pin "ACC1:acc#237" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(1)} -pin "ACC1:acc#237" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(2)} -pin "ACC1:acc#237" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(3)} -pin "ACC1:acc#237" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(4)} -pin "ACC1:acc#237" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(5)} -pin "ACC1:acc#237" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#234.itm(6)} -pin "ACC1:acc#237" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
+load net {ACC1:acc#237.itm(0)} -pin "ACC1:acc#237" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(1)} -pin "ACC1:acc#237" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(2)} -pin "ACC1:acc#237" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(3)} -pin "ACC1:acc#237" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(4)} -pin "ACC1:acc#237" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(5)} -pin "ACC1:acc#237" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(6)} -pin "ACC1:acc#237" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(7)} -pin "ACC1:acc#237" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load inst "ACC1:acc#239" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 33911 -attr oid 1075 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239} -attr area 10.253676 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,0,10)"
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#239" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {GND} -pin "ACC1:acc#239" {A(1)} -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#239" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {GND} -pin "ACC1:acc#239" {A(3)} -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#239" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {GND} -pin "ACC1:acc#239" {A(5)} -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#239" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {GND} -pin "ACC1:acc#239" {A(7)} -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#239" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#656.itm}
+load net {ACC1:acc#237.itm(0)} -pin "ACC1:acc#239" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(1)} -pin "ACC1:acc#239" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(2)} -pin "ACC1:acc#239" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(3)} -pin "ACC1:acc#239" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(4)} -pin "ACC1:acc#239" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(5)} -pin "ACC1:acc#239" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(6)} -pin "ACC1:acc#239" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#237.itm(7)} -pin "ACC1:acc#239" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
+load net {ACC1:acc#239.itm(0)} -pin "ACC1:acc#239" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(1)} -pin "ACC1:acc#239" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(2)} -pin "ACC1:acc#239" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(3)} -pin "ACC1:acc#239" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(4)} -pin "ACC1:acc#239" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(5)} -pin "ACC1:acc#239" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(6)} -pin "ACC1:acc#239" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(7)} -pin "ACC1:acc#239" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(8)} -pin "ACC1:acc#239" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(9)} -pin "ACC1:acc#239" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load inst "ACC1:acc#216" "add(3,1,2,1,4)" "INTERFACE" -attr xrf 33912 -attr oid 1076 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {PWR} -pin "ACC1:acc#216" {A(0)} -attr @path {/sobel/sobel:core/conc#663.itm}
+load net {acc.psp#2.sva(3)} -pin "ACC1:acc#216" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#663.itm}
+load net {PWR} -pin "ACC1:acc#216" {A(2)} -attr @path {/sobel/sobel:core/conc#663.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#216" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#567.itm}
+load net {ACC1:acc#107.psp#2.sva(3)} -pin "ACC1:acc#216" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#567.itm}
+load net {ACC1:acc#216.itm(0)} -pin "ACC1:acc#216" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
+load net {ACC1:acc#216.itm(1)} -pin "ACC1:acc#216" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
+load net {ACC1:acc#216.itm(2)} -pin "ACC1:acc#216" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
+load net {ACC1:acc#216.itm(3)} -pin "ACC1:acc#216" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
+load inst "ACC1:acc#225" "add(3,-1,2,1,3)" "INTERFACE" -attr xrf 33913 -attr oid 1077 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,1,2,1,4)"
+load net {ACC1:acc#216.itm(1)} -pin "ACC1:acc#225" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#61.itm}
+load net {ACC1:acc#216.itm(2)} -pin "ACC1:acc#225" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#61.itm}
+load net {ACC1:acc#216.itm(3)} -pin "ACC1:acc#225" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#61.itm}
+load net {ACC1:acc#116.psp#1.sva(1)} -pin "ACC1:acc#225" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp#1.sva)#2.itm}
+load net {ACC1:acc#116.psp#1.sva(2)} -pin "ACC1:acc#225" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp#1.sva)#2.itm}
+load net {ACC1:acc#225.itm(0)} -pin "ACC1:acc#225" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load net {ACC1:acc#225.itm(1)} -pin "ACC1:acc#225" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load net {ACC1:acc#225.itm(2)} -pin "ACC1:acc#225" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load inst "ACC1:acc#217" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 33914 -attr oid 1078 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#217" {A(0)} -attr @path {/sobel/sobel:core/conc#664.itm}
+load net {acc.psp#2.sva(1)} -pin "ACC1:acc#217" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#664.itm}
+load net {acc.psp#2.sva(3)} -pin "ACC1:acc#217" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#664.itm}
+load net {ACC1:acc#107.psp#2.sva(1)} -pin "ACC1:acc#217" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#569.itm}
+load net {acc.psp#2.sva(2)} -pin "ACC1:acc#217" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#569.itm}
+load net {ACC1:acc#107.psp#2.sva(3)} -pin "ACC1:acc#217" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#569.itm}
+load net {ACC1:acc#217.itm(0)} -pin "ACC1:acc#217" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
+load net {ACC1:acc#217.itm(1)} -pin "ACC1:acc#217" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
+load net {ACC1:acc#217.itm(2)} -pin "ACC1:acc#217" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
+load net {ACC1:acc#217.itm(3)} -pin "ACC1:acc#217" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
+load net {ACC1:acc#217.itm(4)} -pin "ACC1:acc#217" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
+load inst "ACC1:acc#230" "add(3,1,4,-1,4)" "INTERFACE" -attr xrf 33915 -attr oid 1079 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {ACC1:acc#225.itm(0)} -pin "ACC1:acc#230" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load net {ACC1:acc#225.itm(1)} -pin "ACC1:acc#230" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load net {ACC1:acc#225.itm(2)} -pin "ACC1:acc#230" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
+load net {ACC1:acc#217.itm(1)} -pin "ACC1:acc#230" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#62.itm}
+load net {ACC1:acc#217.itm(2)} -pin "ACC1:acc#230" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#62.itm}
+load net {ACC1:acc#217.itm(3)} -pin "ACC1:acc#230" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#62.itm}
+load net {ACC1:acc#217.itm(4)} -pin "ACC1:acc#230" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#62.itm}
+load net {ACC1:acc#230.itm(0)} -pin "ACC1:acc#230" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#230.itm(1)} -pin "ACC1:acc#230" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#230.itm(2)} -pin "ACC1:acc#230" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#230.itm(3)} -pin "ACC1:acc#230" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load inst "ACC1:acc#229" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 33916 -attr oid 1080 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#229" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#260.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#229" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#260.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#229" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#260.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#229" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:conc#260.itm}
+load net {ACC1:acc#224.cse(0)} -pin "ACC1:acc#229" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#224.cse(1)} -pin "ACC1:acc#229" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#224.cse(2)} -pin "ACC1:acc#229" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#229.itm(0)} -pin "ACC1:acc#229" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(1)} -pin "ACC1:acc#229" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(2)} -pin "ACC1:acc#229" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(3)} -pin "ACC1:acc#229" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(4)} -pin "ACC1:acc#229" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load inst "ACC1:acc#233" "add(4,1,5,0,6)" "INTERFACE" -attr xrf 33917 -attr oid 1081 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {ACC1:acc#230.itm(0)} -pin "ACC1:acc#233" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#230.itm(1)} -pin "ACC1:acc#233" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#230.itm(2)} -pin "ACC1:acc#233" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#230.itm(3)} -pin "ACC1:acc#233" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.itm}
+load net {ACC1:acc#229.itm(0)} -pin "ACC1:acc#233" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(1)} -pin "ACC1:acc#233" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(2)} -pin "ACC1:acc#233" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(3)} -pin "ACC1:acc#233" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#229.itm(4)} -pin "ACC1:acc#233" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
+load net {ACC1:acc#233.itm(0)} -pin "ACC1:acc#233" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(1)} -pin "ACC1:acc#233" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(2)} -pin "ACC1:acc#233" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(3)} -pin "ACC1:acc#233" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(4)} -pin "ACC1:acc#233" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(5)} -pin "ACC1:acc#233" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load inst "ACC1:acc#236" "add(6,1,7,0,8)" "INTERFACE" -attr xrf 33918 -attr oid 1082 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {ACC1:acc#233.itm(0)} -pin "ACC1:acc#236" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(1)} -pin "ACC1:acc#236" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(2)} -pin "ACC1:acc#236" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(3)} -pin "ACC1:acc#236" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(4)} -pin "ACC1:acc#236" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {ACC1:acc#233.itm(5)} -pin "ACC1:acc#236" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#236" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {GND} -pin "ACC1:acc#236" {B(1)} -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#236" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {GND} -pin "ACC1:acc#236" {B(3)} -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#236" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {GND} -pin "ACC1:acc#236" {B(5)} -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#236" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#665.itm}
+load net {ACC1:acc#236.itm(0)} -pin "ACC1:acc#236" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(1)} -pin "ACC1:acc#236" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(2)} -pin "ACC1:acc#236" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(3)} -pin "ACC1:acc#236" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(4)} -pin "ACC1:acc#236" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(5)} -pin "ACC1:acc#236" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(6)} -pin "ACC1:acc#236" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(7)} -pin "ACC1:acc#236" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load inst "ACC1-1:not#28" "not(1)" "INTERFACE" -attr xrf 33919 -attr oid 1083 -attr @path {/sobel/sobel:core/ACC1-1:not#28} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#141.itm(2)} -pin "ACC1-1:not#28" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#16.sva).itm}
+load net {ACC1-1:not#28.itm} -pin "ACC1-1:not#28" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#28.itm}
+load inst "ACC1-1:and#1" "and(3,1)" "INTERFACE" -attr xrf 33920 -attr oid 1084 -attr @path {/sobel/sobel:core/ACC1-1:and#1} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:and#1" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#37.itm}
+load net {ACC1-1:not#28.itm} -pin "ACC1-1:and#1" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#28.itm}
+load net {ACC1:acc#141.itm(1)} -pin "ACC1-1:and#1" {A2(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#16.sva)#1.itm}
+load net {ACC1-1:and#1.itm} -pin "ACC1-1:and#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:and#1.itm}
+load inst "ACC1:acc#222" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33921 -attr oid 1085 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#222" {A(0)} -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#222" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {acc.psp#2.sva(7)} -pin "ACC1:acc#222" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#61.itm}
+load net {ACC1-1:and#1.itm} -pin "ACC1:acc#222" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#761.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#222" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#761.itm}
+load net {acc.psp#2.sva(9)} -pin "ACC1:acc#222" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#761.itm}
+load net {ACC1:acc#222.itm(0)} -pin "ACC1:acc#222" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
+load net {ACC1:acc#222.itm(1)} -pin "ACC1:acc#222" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
+load net {ACC1:acc#222.itm(2)} -pin "ACC1:acc#222" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
+load net {ACC1:acc#222.itm(3)} -pin "ACC1:acc#222" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
+load inst "ACC1:acc#228" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33922 -attr oid 1086 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#224.cse(0)} -pin "ACC1:acc#228" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#224.cse(1)} -pin "ACC1:acc#228" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#224.cse(2)} -pin "ACC1:acc#228" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#222.itm(1)} -pin "ACC1:acc#228" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#67.itm}
+load net {ACC1:acc#222.itm(2)} -pin "ACC1:acc#228" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#67.itm}
+load net {ACC1:acc#222.itm(3)} -pin "ACC1:acc#228" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#67.itm}
+load net {ACC1:acc#228.itm(0)} -pin "ACC1:acc#228" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#228.itm(1)} -pin "ACC1:acc#228" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#228.itm(2)} -pin "ACC1:acc#228" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#228.itm(3)} -pin "ACC1:acc#228" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load inst "ACC1:acc#232" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 33923 -attr oid 1087 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#232" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#666.itm}
+load net {GND} -pin "ACC1:acc#232" {A(1)} -attr @path {/sobel/sobel:core/conc#666.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#232" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#666.itm}
+load net {GND} -pin "ACC1:acc#232" {A(3)} -attr @path {/sobel/sobel:core/conc#666.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#232" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#666.itm}
+load net {ACC1:acc#228.itm(0)} -pin "ACC1:acc#232" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#228.itm(1)} -pin "ACC1:acc#232" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#228.itm(2)} -pin "ACC1:acc#232" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#228.itm(3)} -pin "ACC1:acc#232" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
+load net {ACC1:acc#232.itm(0)} -pin "ACC1:acc#232" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(1)} -pin "ACC1:acc#232" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(2)} -pin "ACC1:acc#232" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(3)} -pin "ACC1:acc#232" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(4)} -pin "ACC1:acc#232" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(5)} -pin "ACC1:acc#232" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load inst "ACC1:acc#235" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 33924 -attr oid 1088 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#235" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#538.itm}
+load net {ACC1:acc#232.itm(0)} -pin "ACC1:acc#235" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(1)} -pin "ACC1:acc#235" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(2)} -pin "ACC1:acc#235" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(3)} -pin "ACC1:acc#235" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(4)} -pin "ACC1:acc#235" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#232.itm(5)} -pin "ACC1:acc#235" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#232.itm}
+load net {ACC1:acc#235.itm(0)} -pin "ACC1:acc#235" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(1)} -pin "ACC1:acc#235" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(2)} -pin "ACC1:acc#235" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(3)} -pin "ACC1:acc#235" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(4)} -pin "ACC1:acc#235" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(5)} -pin "ACC1:acc#235" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(6)} -pin "ACC1:acc#235" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(7)} -pin "ACC1:acc#235" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load inst "ACC1:acc#238" "add(8,1,8,0,10)" "INTERFACE" -attr xrf 33925 -attr oid 1089 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {ACC1:acc#236.itm(0)} -pin "ACC1:acc#238" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(1)} -pin "ACC1:acc#238" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(2)} -pin "ACC1:acc#238" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(3)} -pin "ACC1:acc#238" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(4)} -pin "ACC1:acc#238" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(5)} -pin "ACC1:acc#238" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(6)} -pin "ACC1:acc#238" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#236.itm(7)} -pin "ACC1:acc#238" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
+load net {ACC1:acc#235.itm(0)} -pin "ACC1:acc#238" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(1)} -pin "ACC1:acc#238" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(2)} -pin "ACC1:acc#238" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(3)} -pin "ACC1:acc#238" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(4)} -pin "ACC1:acc#238" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(5)} -pin "ACC1:acc#238" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(6)} -pin "ACC1:acc#238" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#235.itm(7)} -pin "ACC1:acc#238" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
+load net {ACC1:acc#238.itm(0)} -pin "ACC1:acc#238" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(1)} -pin "ACC1:acc#238" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(2)} -pin "ACC1:acc#238" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(3)} -pin "ACC1:acc#238" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(4)} -pin "ACC1:acc#238" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(5)} -pin "ACC1:acc#238" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(6)} -pin "ACC1:acc#238" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(7)} -pin "ACC1:acc#238" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(8)} -pin "ACC1:acc#238" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(9)} -pin "ACC1:acc#238" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load inst "ACC1:acc#241" "add(10,0,10,1,11)" "INTERFACE" -attr xrf 33926 -attr oid 1090 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
+load net {ACC1:acc#239.itm(0)} -pin "ACC1:acc#241" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(1)} -pin "ACC1:acc#241" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(2)} -pin "ACC1:acc#241" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(3)} -pin "ACC1:acc#241" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(4)} -pin "ACC1:acc#241" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(5)} -pin "ACC1:acc#241" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(6)} -pin "ACC1:acc#241" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(7)} -pin "ACC1:acc#241" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(8)} -pin "ACC1:acc#241" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#239.itm(9)} -pin "ACC1:acc#241" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
+load net {ACC1:acc#238.itm(0)} -pin "ACC1:acc#241" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(1)} -pin "ACC1:acc#241" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(2)} -pin "ACC1:acc#241" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(3)} -pin "ACC1:acc#241" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(4)} -pin "ACC1:acc#241" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(5)} -pin "ACC1:acc#241" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(6)} -pin "ACC1:acc#241" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(7)} -pin "ACC1:acc#241" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(8)} -pin "ACC1:acc#241" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#238.itm(9)} -pin "ACC1:acc#241" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
+load net {ACC1:acc#241.itm(0)} -pin "ACC1:acc#241" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(1)} -pin "ACC1:acc#241" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(2)} -pin "ACC1:acc#241" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(3)} -pin "ACC1:acc#241" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(4)} -pin "ACC1:acc#241" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(5)} -pin "ACC1:acc#241" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(6)} -pin "ACC1:acc#241" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(7)} -pin "ACC1:acc#241" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(8)} -pin "ACC1:acc#241" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(9)} -pin "ACC1:acc#241" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(10)} -pin "ACC1:acc#241" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load inst "ACC1:acc#344" "add(1,0,2,0,3)" "INTERFACE" -attr xrf 33927 -attr oid 1091 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,3)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#344" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#13.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#344" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#857.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#344" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#857.itm}
+load net {ACC1:acc#344.itm(0)} -pin "ACC1:acc#344" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:acc#344.itm(1)} -pin "ACC1:acc#344" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load net {ACC1:acc#344.itm(2)} -pin "ACC1:acc#344" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#344.itm}
+load inst "ACC1-1:acc#122" "add(11,-1,11,-1,11)" "INTERFACE" -attr xrf 33928 -attr oid 1092 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,13)"
+load net {ACC1:acc#241.itm(0)} -pin "ACC1-1:acc#122" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(1)} -pin "ACC1-1:acc#122" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(2)} -pin "ACC1-1:acc#122" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(3)} -pin "ACC1-1:acc#122" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(4)} -pin "ACC1-1:acc#122" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(5)} -pin "ACC1-1:acc#122" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(6)} -pin "ACC1-1:acc#122" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(7)} -pin "ACC1-1:acc#122" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(8)} -pin "ACC1-1:acc#122" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(9)} -pin "ACC1-1:acc#122" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#241.itm(10)} -pin "ACC1-1:acc#122" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
+load net {ACC1:acc#344.itm(0)} -pin "ACC1-1:acc#122" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {ACC1:acc#344.itm(1)} -pin "ACC1-1:acc#122" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {ACC1:acc#344.itm(2)} -pin "ACC1-1:acc#122" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#122" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {GND} -pin "ACC1-1:acc#122" {B(4)} -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#122" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {GND} -pin "ACC1-1:acc#122" {B(6)} -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#122" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {GND} -pin "ACC1-1:acc#122" {B(8)} -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {GND} -pin "ACC1-1:acc#122" {B(9)} -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc#122" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#669.itm}
+load net {ACC1-1:acc#122.itm(0)} -pin "ACC1-1:acc#122" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(1)} -pin "ACC1-1:acc#122" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(2)} -pin "ACC1-1:acc#122" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(3)} -pin "ACC1-1:acc#122" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(4)} -pin "ACC1-1:acc#122" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(5)} -pin "ACC1-1:acc#122" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(6)} -pin "ACC1-1:acc#122" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(7)} -pin "ACC1-1:acc#122" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(8)} -pin "ACC1-1:acc#122" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(9)} -pin "ACC1-1:acc#122" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(10)} -pin "ACC1-1:acc#122" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load inst "ACC1-3:not#166" "not(1)" "INTERFACE" -attr xrf 33929 -attr oid 1093 -attr @path {/sobel/sobel:core/ACC1-3:not#166} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:not#166" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#57.itm}
+load net {ACC1-3:not#166.itm} -pin "ACC1-3:not#166" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#166.itm}
+load inst "ACC1-3:nand" "nand(2,1)" "INTERFACE" -attr xrf 33930 -attr oid 1094 -attr @path {/sobel/sobel:core/ACC1-3:nand} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {ACC1:acc#170.itm(2)} -pin "ACC1-3:nand" {A0(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#3.sva).itm}
+load net {ACC1-3:not#166.itm} -pin "ACC1-3:nand" {A1(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#166.itm}
+load net {ACC1-3:nand.itm} -pin "ACC1-3:nand" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:nand.itm}
+load inst "ACC1:acc#194" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33931 -attr oid 1095 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#194" {A(0)} -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#194" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#194" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#50.itm}
+load net {ACC1-3:nand.itm} -pin "ACC1:acc#194" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#764.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#194" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#764.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#194" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#764.itm}
+load net {ACC1:acc#194.itm(0)} -pin "ACC1:acc#194" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
+load net {ACC1:acc#194.itm(1)} -pin "ACC1:acc#194" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
+load net {ACC1:acc#194.itm(2)} -pin "ACC1:acc#194" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
+load net {ACC1:acc#194.itm(3)} -pin "ACC1:acc#194" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
+load inst "ACC1-3:not#167" "not(1)" "INTERFACE" -attr xrf 33932 -attr oid 1096 -attr @path {/sobel/sobel:core/ACC1-3:not#167} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#169.itm(3)} -pin "ACC1-3:not#167" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#2.sva)#4.itm}
+load net {ACC1-3:not#167.itm} -pin "ACC1-3:not#167" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#167.itm}
+load inst "ACC1:acc#193" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33933 -attr oid 1097 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#193" {A(0)} -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#193" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#193" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#51.itm}
+load net {ACC1-3:not#167.itm} -pin "ACC1:acc#193" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#766.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#193" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#766.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#193" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#766.itm}
+load net {ACC1:acc#193.itm(0)} -pin "ACC1:acc#193" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
+load net {ACC1:acc#193.itm(1)} -pin "ACC1:acc#193" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
+load net {ACC1:acc#193.itm(2)} -pin "ACC1:acc#193" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
+load net {ACC1:acc#193.itm(3)} -pin "ACC1:acc#193" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
+load inst "ACC1:acc#200" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33934 -attr oid 1098 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#194.itm(1)} -pin "ACC1:acc#200" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#59.itm}
+load net {ACC1:acc#194.itm(2)} -pin "ACC1:acc#200" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#59.itm}
+load net {ACC1:acc#194.itm(3)} -pin "ACC1:acc#200" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#59.itm}
+load net {ACC1:acc#193.itm(1)} -pin "ACC1:acc#200" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:acc#193.itm(2)} -pin "ACC1:acc#200" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:acc#193.itm(3)} -pin "ACC1:acc#200" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#58.itm}
+load net {ACC1:acc#200.itm(0)} -pin "ACC1:acc#200" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#200.itm(1)} -pin "ACC1:acc#200" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#200.itm(2)} -pin "ACC1:acc#200" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#200.itm(3)} -pin "ACC1:acc#200" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load inst "ACC1:acc#192" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33935 -attr oid 1099 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#192" {A(0)} -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#192" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#192" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#52.itm}
+load net {ACC1:acc#169.itm(2)} -pin "ACC1:acc#192" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#768.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#192" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#768.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#192" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#768.itm}
+load net {ACC1:acc#192.itm(0)} -pin "ACC1:acc#192" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
+load net {ACC1:acc#192.itm(1)} -pin "ACC1:acc#192" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
+load net {ACC1:acc#192.itm(2)} -pin "ACC1:acc#192" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
+load net {ACC1:acc#192.itm(3)} -pin "ACC1:acc#192" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
+load inst "ACC1:acc#191" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33936 -attr oid 1100 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#191" {A(0)} -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#191" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#191" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#53.itm}
+load net {ACC1:acc#107.psp#1.sva(2)} -pin "ACC1:acc#191" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#770.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#191" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#770.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#191" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#770.itm}
+load net {ACC1:acc#191.itm(0)} -pin "ACC1:acc#191" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
+load net {ACC1:acc#191.itm(1)} -pin "ACC1:acc#191" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
+load net {ACC1:acc#191.itm(2)} -pin "ACC1:acc#191" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
+load net {ACC1:acc#191.itm(3)} -pin "ACC1:acc#191" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
+load inst "ACC1:acc#199" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 33937 -attr oid 1101 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc#192.itm(1)} -pin "ACC1:acc#199" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#57.itm}
+load net {ACC1:acc#192.itm(2)} -pin "ACC1:acc#199" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#57.itm}
+load net {ACC1:acc#192.itm(3)} -pin "ACC1:acc#199" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#57.itm}
+load net {ACC1:acc#191.itm(1)} -pin "ACC1:acc#199" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#56.itm}
+load net {ACC1:acc#191.itm(2)} -pin "ACC1:acc#199" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#56.itm}
+load net {ACC1:acc#191.itm(3)} -pin "ACC1:acc#199" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#56.itm}
+load net {ACC1:acc#199.itm(0)} -pin "ACC1:acc#199" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#199.itm(1)} -pin "ACC1:acc#199" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#199.itm(2)} -pin "ACC1:acc#199" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#199.itm(3)} -pin "ACC1:acc#199" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load inst "ACC1:acc#204" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 33938 -attr oid 1102 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {ACC1:acc#200.itm(0)} -pin "ACC1:acc#204" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#200.itm(1)} -pin "ACC1:acc#204" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#200.itm(2)} -pin "ACC1:acc#204" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#200.itm(3)} -pin "ACC1:acc#204" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
+load net {ACC1:acc#199.itm(0)} -pin "ACC1:acc#204" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#199.itm(1)} -pin "ACC1:acc#204" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#199.itm(2)} -pin "ACC1:acc#204" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#199.itm(3)} -pin "ACC1:acc#204" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
+load net {ACC1:acc#204.itm(0)} -pin "ACC1:acc#204" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(1)} -pin "ACC1:acc#204" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(2)} -pin "ACC1:acc#204" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(3)} -pin "ACC1:acc#204" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(4)} -pin "ACC1:acc#204" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load inst "ACC1:acc#207" "add(6,0,5,0,7)" "INTERFACE" -attr xrf 33939 -attr oid 1103 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#207" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#207" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {GND} -pin "ACC1:acc#207" {A(2)} -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {acc.psp#1.sva(5)} -pin "ACC1:acc#207" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {GND} -pin "ACC1:acc#207" {A(4)} -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {acc.psp#1.sva(7)} -pin "ACC1:acc#207" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#672.itm}
+load net {ACC1:acc#204.itm(0)} -pin "ACC1:acc#207" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(1)} -pin "ACC1:acc#207" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(2)} -pin "ACC1:acc#207" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(3)} -pin "ACC1:acc#207" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#204.itm(4)} -pin "ACC1:acc#207" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
+load net {ACC1:acc#207.itm(0)} -pin "ACC1:acc#207" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(1)} -pin "ACC1:acc#207" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(2)} -pin "ACC1:acc#207" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(3)} -pin "ACC1:acc#207" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(4)} -pin "ACC1:acc#207" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(5)} -pin "ACC1:acc#207" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(6)} -pin "ACC1:acc#207" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load inst "ACC1:acc#210" "add(8,-1,7,0,8)" "INTERFACE" -attr xrf 33940 -attr oid 1104 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {acc.psp#1.sva(5)} -pin "ACC1:acc#210" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {acc.psp#1.sva(5)} -pin "ACC1:acc#210" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {GND} -pin "ACC1:acc#210" {A(2)} -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#210" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {GND} -pin "ACC1:acc#210" {A(4)} -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#210" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {GND} -pin "ACC1:acc#210" {A(6)} -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {acc.psp#1.sva(9)} -pin "ACC1:acc#210" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#671.itm}
+load net {ACC1:acc#207.itm(0)} -pin "ACC1:acc#210" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(1)} -pin "ACC1:acc#210" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(2)} -pin "ACC1:acc#210" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(3)} -pin "ACC1:acc#210" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(4)} -pin "ACC1:acc#210" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(5)} -pin "ACC1:acc#210" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#207.itm(6)} -pin "ACC1:acc#210" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#207.itm}
+load net {ACC1:acc#210.itm(0)} -pin "ACC1:acc#210" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(1)} -pin "ACC1:acc#210" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(2)} -pin "ACC1:acc#210" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(3)} -pin "ACC1:acc#210" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(4)} -pin "ACC1:acc#210" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(5)} -pin "ACC1:acc#210" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(6)} -pin "ACC1:acc#210" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(7)} -pin "ACC1:acc#210" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load inst "ACC1:acc#212" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 33941 -attr oid 1105 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212} -attr area 10.253676 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,0,10)"
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#212" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {GND} -pin "ACC1:acc#212" {A(1)} -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#212" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {GND} -pin "ACC1:acc#212" {A(3)} -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#212" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {GND} -pin "ACC1:acc#212" {A(5)} -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#212" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {GND} -pin "ACC1:acc#212" {A(7)} -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#212" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#670.itm}
+load net {ACC1:acc#210.itm(0)} -pin "ACC1:acc#212" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(1)} -pin "ACC1:acc#212" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(2)} -pin "ACC1:acc#212" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(3)} -pin "ACC1:acc#212" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(4)} -pin "ACC1:acc#212" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(5)} -pin "ACC1:acc#212" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(6)} -pin "ACC1:acc#212" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#210.itm(7)} -pin "ACC1:acc#212" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#210.itm}
+load net {ACC1:acc#212.itm(0)} -pin "ACC1:acc#212" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(1)} -pin "ACC1:acc#212" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(2)} -pin "ACC1:acc#212" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(3)} -pin "ACC1:acc#212" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(4)} -pin "ACC1:acc#212" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(5)} -pin "ACC1:acc#212" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(6)} -pin "ACC1:acc#212" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(7)} -pin "ACC1:acc#212" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(8)} -pin "ACC1:acc#212" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(9)} -pin "ACC1:acc#212" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load inst "ACC1:acc#214" "add(11,1,10,0,12)" "INTERFACE" -attr xrf 33942 -attr oid 1106 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,13)"
+load net {ACC1-1:acc#122.itm(0)} -pin "ACC1:acc#214" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(1)} -pin "ACC1:acc#214" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(2)} -pin "ACC1:acc#214" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(3)} -pin "ACC1:acc#214" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(4)} -pin "ACC1:acc#214" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(5)} -pin "ACC1:acc#214" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(6)} -pin "ACC1:acc#214" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(7)} -pin "ACC1:acc#214" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(8)} -pin "ACC1:acc#214" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(9)} -pin "ACC1:acc#214" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1-1:acc#122.itm(10)} -pin "ACC1:acc#214" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#122.itm}
+load net {ACC1:acc#212.itm(0)} -pin "ACC1:acc#214" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(1)} -pin "ACC1:acc#214" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(2)} -pin "ACC1:acc#214" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(3)} -pin "ACC1:acc#214" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(4)} -pin "ACC1:acc#214" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(5)} -pin "ACC1:acc#214" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(6)} -pin "ACC1:acc#214" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(7)} -pin "ACC1:acc#214" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(8)} -pin "ACC1:acc#214" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#212.itm(9)} -pin "ACC1:acc#214" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
+load net {ACC1:acc#214.itm(0)} -pin "ACC1:acc#214" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(1)} -pin "ACC1:acc#214" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(2)} -pin "ACC1:acc#214" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(3)} -pin "ACC1:acc#214" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(4)} -pin "ACC1:acc#214" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(5)} -pin "ACC1:acc#214" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(6)} -pin "ACC1:acc#214" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(7)} -pin "ACC1:acc#214" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(8)} -pin "ACC1:acc#214" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(9)} -pin "ACC1:acc#214" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(10)} -pin "ACC1:acc#214" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(11)} -pin "ACC1:acc#214" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load inst "ACC1-3:acc#122" "add(11,1,12,-1,12)" "INTERFACE" -attr xrf 33943 -attr oid 1107 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {ACC1:acc#215.itm(0)} -pin "ACC1-3:acc#122" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(1)} -pin "ACC1-3:acc#122" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(2)} -pin "ACC1-3:acc#122" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(3)} -pin "ACC1-3:acc#122" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(4)} -pin "ACC1-3:acc#122" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(5)} -pin "ACC1-3:acc#122" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(6)} -pin "ACC1-3:acc#122" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(7)} -pin "ACC1-3:acc#122" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(8)} -pin "ACC1-3:acc#122" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(9)} -pin "ACC1-3:acc#122" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#215.itm(10)} -pin "ACC1-3:acc#122" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
+load net {ACC1:acc#214.itm(0)} -pin "ACC1-3:acc#122" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(1)} -pin "ACC1-3:acc#122" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(2)} -pin "ACC1-3:acc#122" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(3)} -pin "ACC1-3:acc#122" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(4)} -pin "ACC1-3:acc#122" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(5)} -pin "ACC1-3:acc#122" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(6)} -pin "ACC1-3:acc#122" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(7)} -pin "ACC1-3:acc#122" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(8)} -pin "ACC1-3:acc#122" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(9)} -pin "ACC1-3:acc#122" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(10)} -pin "ACC1-3:acc#122" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1:acc#214.itm(11)} -pin "ACC1-3:acc#122" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
+load net {ACC1-3:acc#122.itm(0)} -pin "ACC1-3:acc#122" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(1)} -pin "ACC1-3:acc#122" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(2)} -pin "ACC1-3:acc#122" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(3)} -pin "ACC1-3:acc#122" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(4)} -pin "ACC1-3:acc#122" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(5)} -pin "ACC1-3:acc#122" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(6)} -pin "ACC1-3:acc#122" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(7)} -pin "ACC1-3:acc#122" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(8)} -pin "ACC1-3:acc#122" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(9)} -pin "ACC1-3:acc#122" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(10)} -pin "ACC1-3:acc#122" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(11)} -pin "ACC1-3:acc#122" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load inst "reg(FRAME:for:slc(in(0).sva).itm#1)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 33944 -attr oid 1108 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:for:slc(in(0).sva).itm#1)}
+load net {ACC1-3:acc#122.itm(0)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(1)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(2)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(3)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(4)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(5)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(6)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(7)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(8)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(9)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(10)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {ACC1-3:acc#122.itm(11)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#122.itm}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
+load net {clk} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {clk} -attr xrf 33945 -attr oid 1109 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:for:slc(in(0).sva).itm#1(0)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(1)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(2)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(3)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(4)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(5)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(6)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(7)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(8)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(9)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(10)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -pin "reg(FRAME:for:slc(in(0).sva).itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:slc(in(0).sva).itm#1}
+load inst "reg(i#6.sva#1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33946 -attr oid 1110 -attr vt d -attr @path {/sobel/sobel:core/reg(i#6.sva#1)}
+load net {i#6.sva#2(0)} -pin "reg(i#6.sva#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load net {i#6.sva#2(1)} -pin "reg(i#6.sva#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load net {GND} -pin "reg(i#6.sva#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(i#6.sva#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(i#6.sva#1)" {clk} -attr xrf 33947 -attr oid 1111 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(i#6.sva#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(i#6.sva#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {i#6.sva#1(0)} -pin "reg(i#6.sva#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.sva#1(1)} -pin "reg(i#6.sva#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load inst "FRAME:for:not" "not(1)" "INTERFACE" -attr xrf 33948 -attr oid 1112 -attr @path {/sobel/sobel:core/FRAME:for:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:not" {A(0)} -attr @path {/sobel/sobel:core/FRAME:for:slc#1.itm}
+load net {FRAME:for:not.itm} -pin "FRAME:for:not" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not.itm}
+load inst "FRAME:and" "and(2,1)" "INTERFACE" -attr xrf 33949 -attr oid 1113 -attr @path {/sobel/sobel:core/FRAME:and} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {FRAME:for:not.itm} -pin "FRAME:and" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:not.itm}
+load net {exit:FRAME.lpi#1.dfm#1:mx0} -pin "FRAME:and" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#1:mx0}
+load net {FRAME:and.itm} -pin "FRAME:and" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:and.itm}
+load inst "reg(exit:FRAME#1.sva)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 33950 -attr oid 1114 -attr @path {/sobel/sobel:core/reg(exit:FRAME#1.sva)}
+load net {FRAME:and.itm} -pin "reg(exit:FRAME#1.sva)" {D(0)} -attr @path {/sobel/sobel:core/FRAME:and.itm}
+load net {PWR} -pin "reg(exit:FRAME#1.sva)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#10_Not}
+load net {clk} -pin "reg(exit:FRAME#1.sva)" {clk} -attr xrf 33951 -attr oid 1115 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME#1.sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME#1.sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME#1.sva} -pin "reg(exit:FRAME#1.sva)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load inst "reg(main.stage_0#2)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 33952 -attr oid 1116 -attr @path {/sobel/sobel:core/reg(main.stage_0#2)}
+load net {PWR} -pin "reg(main.stage_0#2)" {D(0)} -attr @path {/sobel/sobel:core/Cn1_1#2}
+load net {GND} -pin "reg(main.stage_0#2)" {DRa(0)} -attr @path {/sobel/sobel:core/Cn2_2#4}
+load net {clk} -pin "reg(main.stage_0#2)" {clk} -attr xrf 33953 -attr oid 1117 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(main.stage_0#2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(main.stage_0#2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {main.stage_0#2} -pin "reg(main.stage_0#2)" {Z(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load inst "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" "reg(3,1,1,-1,0)" "INTERFACE" -attr xrf 33954 -attr oid 1118 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(0)} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(1)} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(2)} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load net {GND} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_3#1}
+load net {GND} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_3#1}
+load net {GND} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_3#1}
+load net {clk} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {clk} -attr xrf 33955 -attr oid 1119 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(0)} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(1)} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(2)} -pin "reg(ACC1:acc#110.psp#1.lpi#1.dfm.sg1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load inst "reg(ACC1:acc#125.psp.lpi#1.dfm)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 33956 -attr oid 1120 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#125.psp.lpi#1.dfm)}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(0)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(1)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(2)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(3)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(5)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(7)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(9)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
+load net {clk} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {clk} -attr xrf 33957 -attr oid 1121 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#125.psp.lpi#1.dfm(0)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(1)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(2)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(3)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(4)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(5)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(6)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(7)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(8)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(9)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(10)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(11)} -pin "reg(ACC1:acc#125.psp.lpi#1.dfm)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load inst "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33958 -attr oid 1122 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(0)} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(1)} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0}
+load net {GND} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {clk} -attr xrf 33959 -attr oid 1123 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1(0)} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1(1)} -pin "reg(ACC1:acc#118.psp.lpi#1.dfm.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1}
+load inst "reg(regs.regs(2).lpi#1.dfm.sg2)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 33960 -attr oid 1124 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(2).lpi#1.dfm.sg2)}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(0)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(1)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(2)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(3)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(4)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(5)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(6)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(7)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(8)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(9)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(10)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(11)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(12)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(13)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(14)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(15)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(16)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(17)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(18)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(19)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(20)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(21)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(22)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(23)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(24)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(25)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(26)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(27)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(28)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(29)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
+load net {clk} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {clk} -attr xrf 33961 -attr oid 1125 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(2).lpi#1.dfm.sg2(0)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(1)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(2)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(3)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(4)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(5)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(6)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(7)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(8)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(9)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(10)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(11)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(12)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(13)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(14)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(15)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(16)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(17)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(18)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(19)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(20)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(21)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(22)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(23)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(24)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(25)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(26)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(27)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(28)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(29)} -pin "reg(regs.regs(2).lpi#1.dfm.sg2)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load inst "reg(regs.regs(2).lpi#1.dfm#1)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 33962 -attr oid 1126 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(2).lpi#1.dfm#1)}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(0)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(1)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(2)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(3)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(4)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(5)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(6)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(7)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(8)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(9)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(10)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(11)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(12)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(13)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(14)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(15)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(16)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(17)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(18)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(19)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(20)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(21)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(22)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(23)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(24)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(25)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(26)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(27)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(28)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(29)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
+load net {clk} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {clk} -attr xrf 33963 -attr oid 1127 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(2).lpi#1.dfm#1(0)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(1)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(2)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(3)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(4)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(5)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(6)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(7)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(8)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(9)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(10)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(11)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(12)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(13)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(14)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(15)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(16)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(17)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(18)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(19)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(20)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(21)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(22)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(23)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(24)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(25)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(26)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(27)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(28)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(29)} -pin "reg(regs.regs(2).lpi#1.dfm#1)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load inst "reg(acc.imod#7.lpi#1.dfm)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33964 -attr oid 1128 -attr vt d -attr @path {/sobel/sobel:core/reg(acc.imod#7.lpi#1.dfm)}
+load net {acc.imod#7.lpi#1.dfm:mx0(0)} -pin "reg(acc.imod#7.lpi#1.dfm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm:mx0}
+load net {acc.imod#7.lpi#1.dfm:mx0(1)} -pin "reg(acc.imod#7.lpi#1.dfm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm:mx0}
+load net {GND} -pin "reg(acc.imod#7.lpi#1.dfm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(acc.imod#7.lpi#1.dfm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(acc.imod#7.lpi#1.dfm)" {clk} -attr xrf 33965 -attr oid 1129 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(acc.imod#7.lpi#1.dfm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(acc.imod#7.lpi#1.dfm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {acc.imod#7.lpi#1.dfm(0)} -pin "reg(acc.imod#7.lpi#1.dfm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm}
+load net {acc.imod#7.lpi#1.dfm(1)} -pin "reg(acc.imod#7.lpi#1.dfm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm}
+load inst "reg(acc.imod#6.lpi#1.dfm.sg1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33966 -attr oid 1130 -attr vt d -attr @path {/sobel/sobel:core/reg(acc.imod#6.lpi#1.dfm.sg1)}
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(0)} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1:mx0}
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(1)} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1:mx0}
+load net {GND} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {clk} -attr xrf 33967 -attr oid 1131 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {acc.imod#6.lpi#1.dfm.sg1(0)} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1}
+load net {acc.imod#6.lpi#1.dfm.sg1(1)} -pin "reg(acc.imod#6.lpi#1.dfm.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1}
+load inst "reg(regs.regs(1).sva)" "reg(90,1,1,-1,0)" "INTERFACE" -attr xrf 33968 -attr oid 1132 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(1).sva)}
+load net {regs.regs(1).sva.dfm:mx0(0)} -pin "reg(regs.regs(1).sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(1)} -pin "reg(regs.regs(1).sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(2)} -pin "reg(regs.regs(1).sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(3)} -pin "reg(regs.regs(1).sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(4)} -pin "reg(regs.regs(1).sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(5)} -pin "reg(regs.regs(1).sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(6)} -pin "reg(regs.regs(1).sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(7)} -pin "reg(regs.regs(1).sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(8)} -pin "reg(regs.regs(1).sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(9)} -pin "reg(regs.regs(1).sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(10)} -pin "reg(regs.regs(1).sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(11)} -pin "reg(regs.regs(1).sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(12)} -pin "reg(regs.regs(1).sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(13)} -pin "reg(regs.regs(1).sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(14)} -pin "reg(regs.regs(1).sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(15)} -pin "reg(regs.regs(1).sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(16)} -pin "reg(regs.regs(1).sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(17)} -pin "reg(regs.regs(1).sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(18)} -pin "reg(regs.regs(1).sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(19)} -pin "reg(regs.regs(1).sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(20)} -pin "reg(regs.regs(1).sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(21)} -pin "reg(regs.regs(1).sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(22)} -pin "reg(regs.regs(1).sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(23)} -pin "reg(regs.regs(1).sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(24)} -pin "reg(regs.regs(1).sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(25)} -pin "reg(regs.regs(1).sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(26)} -pin "reg(regs.regs(1).sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(27)} -pin "reg(regs.regs(1).sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(28)} -pin "reg(regs.regs(1).sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(29)} -pin "reg(regs.regs(1).sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(30)} -pin "reg(regs.regs(1).sva)" {D(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(31)} -pin "reg(regs.regs(1).sva)" {D(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(32)} -pin "reg(regs.regs(1).sva)" {D(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(33)} -pin "reg(regs.regs(1).sva)" {D(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(34)} -pin "reg(regs.regs(1).sva)" {D(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(35)} -pin "reg(regs.regs(1).sva)" {D(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(36)} -pin "reg(regs.regs(1).sva)" {D(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(37)} -pin "reg(regs.regs(1).sva)" {D(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(38)} -pin "reg(regs.regs(1).sva)" {D(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(39)} -pin "reg(regs.regs(1).sva)" {D(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(40)} -pin "reg(regs.regs(1).sva)" {D(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(41)} -pin "reg(regs.regs(1).sva)" {D(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(42)} -pin "reg(regs.regs(1).sva)" {D(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(43)} -pin "reg(regs.regs(1).sva)" {D(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(44)} -pin "reg(regs.regs(1).sva)" {D(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(45)} -pin "reg(regs.regs(1).sva)" {D(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(46)} -pin "reg(regs.regs(1).sva)" {D(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(47)} -pin "reg(regs.regs(1).sva)" {D(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(48)} -pin "reg(regs.regs(1).sva)" {D(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(49)} -pin "reg(regs.regs(1).sva)" {D(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(50)} -pin "reg(regs.regs(1).sva)" {D(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(51)} -pin "reg(regs.regs(1).sva)" {D(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(52)} -pin "reg(regs.regs(1).sva)" {D(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(53)} -pin "reg(regs.regs(1).sva)" {D(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(54)} -pin "reg(regs.regs(1).sva)" {D(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(55)} -pin "reg(regs.regs(1).sva)" {D(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(56)} -pin "reg(regs.regs(1).sva)" {D(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(57)} -pin "reg(regs.regs(1).sva)" {D(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(58)} -pin "reg(regs.regs(1).sva)" {D(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(59)} -pin "reg(regs.regs(1).sva)" {D(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(60)} -pin "reg(regs.regs(1).sva)" {D(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(61)} -pin "reg(regs.regs(1).sva)" {D(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(62)} -pin "reg(regs.regs(1).sva)" {D(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(63)} -pin "reg(regs.regs(1).sva)" {D(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(64)} -pin "reg(regs.regs(1).sva)" {D(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(65)} -pin "reg(regs.regs(1).sva)" {D(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(66)} -pin "reg(regs.regs(1).sva)" {D(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(67)} -pin "reg(regs.regs(1).sva)" {D(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(68)} -pin "reg(regs.regs(1).sva)" {D(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(69)} -pin "reg(regs.regs(1).sva)" {D(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(70)} -pin "reg(regs.regs(1).sva)" {D(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(71)} -pin "reg(regs.regs(1).sva)" {D(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(72)} -pin "reg(regs.regs(1).sva)" {D(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(73)} -pin "reg(regs.regs(1).sva)" {D(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(74)} -pin "reg(regs.regs(1).sva)" {D(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(75)} -pin "reg(regs.regs(1).sva)" {D(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(76)} -pin "reg(regs.regs(1).sva)" {D(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(77)} -pin "reg(regs.regs(1).sva)" {D(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(78)} -pin "reg(regs.regs(1).sva)" {D(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(79)} -pin "reg(regs.regs(1).sva)" {D(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(80)} -pin "reg(regs.regs(1).sva)" {D(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(81)} -pin "reg(regs.regs(1).sva)" {D(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(82)} -pin "reg(regs.regs(1).sva)" {D(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(83)} -pin "reg(regs.regs(1).sva)" {D(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(84)} -pin "reg(regs.regs(1).sva)" {D(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(85)} -pin "reg(regs.regs(1).sva)" {D(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(86)} -pin "reg(regs.regs(1).sva)" {D(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(87)} -pin "reg(regs.regs(1).sva)" {D(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(88)} -pin "reg(regs.regs(1).sva)" {D(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(89)} -pin "reg(regs.regs(1).sva)" {D(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(10)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(11)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(12)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(13)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(14)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(15)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(16)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(17)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(18)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(19)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(20)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(21)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(22)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(23)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(24)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(25)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(26)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(27)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(28)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(29)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(30)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(31)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(32)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(33)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(34)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(35)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(36)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(37)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(38)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(39)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(40)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(41)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(42)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(43)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(44)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(45)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(46)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(47)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(48)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(49)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(50)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(51)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(52)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(53)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(54)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(55)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(56)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(57)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(58)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(59)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(60)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(61)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(62)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(63)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(64)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(65)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(66)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(67)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(68)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(69)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(70)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(71)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(72)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(73)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(74)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(75)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(76)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(77)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(78)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(79)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(80)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(81)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(82)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(83)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(84)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(85)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(86)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(87)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(88)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(89)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {clk} -pin "reg(regs.regs(1).sva)" {clk} -attr xrf 33969 -attr oid 1133 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(1).sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(1).sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(1).sva(0)} -pin "reg(regs.regs(1).sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(1)} -pin "reg(regs.regs(1).sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(2)} -pin "reg(regs.regs(1).sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(3)} -pin "reg(regs.regs(1).sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(4)} -pin "reg(regs.regs(1).sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(5)} -pin "reg(regs.regs(1).sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(6)} -pin "reg(regs.regs(1).sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(7)} -pin "reg(regs.regs(1).sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(8)} -pin "reg(regs.regs(1).sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(9)} -pin "reg(regs.regs(1).sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(10)} -pin "reg(regs.regs(1).sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(11)} -pin "reg(regs.regs(1).sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(12)} -pin "reg(regs.regs(1).sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(13)} -pin "reg(regs.regs(1).sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(14)} -pin "reg(regs.regs(1).sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(15)} -pin "reg(regs.regs(1).sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(16)} -pin "reg(regs.regs(1).sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(17)} -pin "reg(regs.regs(1).sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(18)} -pin "reg(regs.regs(1).sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(19)} -pin "reg(regs.regs(1).sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(20)} -pin "reg(regs.regs(1).sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(21)} -pin "reg(regs.regs(1).sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(22)} -pin "reg(regs.regs(1).sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(23)} -pin "reg(regs.regs(1).sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(24)} -pin "reg(regs.regs(1).sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(25)} -pin "reg(regs.regs(1).sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(26)} -pin "reg(regs.regs(1).sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(27)} -pin "reg(regs.regs(1).sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(28)} -pin "reg(regs.regs(1).sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(29)} -pin "reg(regs.regs(1).sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(30)} -pin "reg(regs.regs(1).sva)" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(31)} -pin "reg(regs.regs(1).sva)" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(32)} -pin "reg(regs.regs(1).sva)" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(33)} -pin "reg(regs.regs(1).sva)" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(34)} -pin "reg(regs.regs(1).sva)" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(35)} -pin "reg(regs.regs(1).sva)" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(36)} -pin "reg(regs.regs(1).sva)" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(37)} -pin "reg(regs.regs(1).sva)" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(38)} -pin "reg(regs.regs(1).sva)" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(39)} -pin "reg(regs.regs(1).sva)" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(40)} -pin "reg(regs.regs(1).sva)" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(41)} -pin "reg(regs.regs(1).sva)" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(42)} -pin "reg(regs.regs(1).sva)" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(43)} -pin "reg(regs.regs(1).sva)" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(44)} -pin "reg(regs.regs(1).sva)" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(45)} -pin "reg(regs.regs(1).sva)" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(46)} -pin "reg(regs.regs(1).sva)" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(47)} -pin "reg(regs.regs(1).sva)" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(48)} -pin "reg(regs.regs(1).sva)" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(49)} -pin "reg(regs.regs(1).sva)" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(50)} -pin "reg(regs.regs(1).sva)" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(51)} -pin "reg(regs.regs(1).sva)" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(52)} -pin "reg(regs.regs(1).sva)" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(53)} -pin "reg(regs.regs(1).sva)" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(54)} -pin "reg(regs.regs(1).sva)" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(55)} -pin "reg(regs.regs(1).sva)" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(56)} -pin "reg(regs.regs(1).sva)" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(57)} -pin "reg(regs.regs(1).sva)" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(58)} -pin "reg(regs.regs(1).sva)" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(59)} -pin "reg(regs.regs(1).sva)" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(60)} -pin "reg(regs.regs(1).sva)" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(61)} -pin "reg(regs.regs(1).sva)" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(62)} -pin "reg(regs.regs(1).sva)" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(63)} -pin "reg(regs.regs(1).sva)" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(64)} -pin "reg(regs.regs(1).sva)" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(65)} -pin "reg(regs.regs(1).sva)" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(66)} -pin "reg(regs.regs(1).sva)" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(67)} -pin "reg(regs.regs(1).sva)" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(68)} -pin "reg(regs.regs(1).sva)" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(69)} -pin "reg(regs.regs(1).sva)" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(70)} -pin "reg(regs.regs(1).sva)" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(71)} -pin "reg(regs.regs(1).sva)" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(72)} -pin "reg(regs.regs(1).sva)" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(73)} -pin "reg(regs.regs(1).sva)" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(74)} -pin "reg(regs.regs(1).sva)" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(75)} -pin "reg(regs.regs(1).sva)" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(76)} -pin "reg(regs.regs(1).sva)" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(77)} -pin "reg(regs.regs(1).sva)" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(78)} -pin "reg(regs.regs(1).sva)" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(79)} -pin "reg(regs.regs(1).sva)" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(80)} -pin "reg(regs.regs(1).sva)" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(81)} -pin "reg(regs.regs(1).sva)" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(82)} -pin "reg(regs.regs(1).sva)" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(83)} -pin "reg(regs.regs(1).sva)" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(84)} -pin "reg(regs.regs(1).sva)" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(85)} -pin "reg(regs.regs(1).sva)" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(86)} -pin "reg(regs.regs(1).sva)" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(87)} -pin "reg(regs.regs(1).sva)" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(88)} -pin "reg(regs.regs(1).sva)" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(89)} -pin "reg(regs.regs(1).sva)" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load inst "reg(regs.regs(0).sva)" "reg(90,1,1,-1,0)" "INTERFACE" -attr xrf 33970 -attr oid 1134 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva)}
+load net {regs.regs(0).sva.dfm:mx0(0)} -pin "reg(regs.regs(0).sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(1)} -pin "reg(regs.regs(0).sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(2)} -pin "reg(regs.regs(0).sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(3)} -pin "reg(regs.regs(0).sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(4)} -pin "reg(regs.regs(0).sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(5)} -pin "reg(regs.regs(0).sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(6)} -pin "reg(regs.regs(0).sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(7)} -pin "reg(regs.regs(0).sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(8)} -pin "reg(regs.regs(0).sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(9)} -pin "reg(regs.regs(0).sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(10)} -pin "reg(regs.regs(0).sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(11)} -pin "reg(regs.regs(0).sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(12)} -pin "reg(regs.regs(0).sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(13)} -pin "reg(regs.regs(0).sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(14)} -pin "reg(regs.regs(0).sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(15)} -pin "reg(regs.regs(0).sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(16)} -pin "reg(regs.regs(0).sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(17)} -pin "reg(regs.regs(0).sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(18)} -pin "reg(regs.regs(0).sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(19)} -pin "reg(regs.regs(0).sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(20)} -pin "reg(regs.regs(0).sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(21)} -pin "reg(regs.regs(0).sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(22)} -pin "reg(regs.regs(0).sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(23)} -pin "reg(regs.regs(0).sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(24)} -pin "reg(regs.regs(0).sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(25)} -pin "reg(regs.regs(0).sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(26)} -pin "reg(regs.regs(0).sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(27)} -pin "reg(regs.regs(0).sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(28)} -pin "reg(regs.regs(0).sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(29)} -pin "reg(regs.regs(0).sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(30)} -pin "reg(regs.regs(0).sva)" {D(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(31)} -pin "reg(regs.regs(0).sva)" {D(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(32)} -pin "reg(regs.regs(0).sva)" {D(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(33)} -pin "reg(regs.regs(0).sva)" {D(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(34)} -pin "reg(regs.regs(0).sva)" {D(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(35)} -pin "reg(regs.regs(0).sva)" {D(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(36)} -pin "reg(regs.regs(0).sva)" {D(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(37)} -pin "reg(regs.regs(0).sva)" {D(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(38)} -pin "reg(regs.regs(0).sva)" {D(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(39)} -pin "reg(regs.regs(0).sva)" {D(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(40)} -pin "reg(regs.regs(0).sva)" {D(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(41)} -pin "reg(regs.regs(0).sva)" {D(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(42)} -pin "reg(regs.regs(0).sva)" {D(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(43)} -pin "reg(regs.regs(0).sva)" {D(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(44)} -pin "reg(regs.regs(0).sva)" {D(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(45)} -pin "reg(regs.regs(0).sva)" {D(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(46)} -pin "reg(regs.regs(0).sva)" {D(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(47)} -pin "reg(regs.regs(0).sva)" {D(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(48)} -pin "reg(regs.regs(0).sva)" {D(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(49)} -pin "reg(regs.regs(0).sva)" {D(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(50)} -pin "reg(regs.regs(0).sva)" {D(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(51)} -pin "reg(regs.regs(0).sva)" {D(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(52)} -pin "reg(regs.regs(0).sva)" {D(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(53)} -pin "reg(regs.regs(0).sva)" {D(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(54)} -pin "reg(regs.regs(0).sva)" {D(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(55)} -pin "reg(regs.regs(0).sva)" {D(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(56)} -pin "reg(regs.regs(0).sva)" {D(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(57)} -pin "reg(regs.regs(0).sva)" {D(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(58)} -pin "reg(regs.regs(0).sva)" {D(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(59)} -pin "reg(regs.regs(0).sva)" {D(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(60)} -pin "reg(regs.regs(0).sva)" {D(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(61)} -pin "reg(regs.regs(0).sva)" {D(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(62)} -pin "reg(regs.regs(0).sva)" {D(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(63)} -pin "reg(regs.regs(0).sva)" {D(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(64)} -pin "reg(regs.regs(0).sva)" {D(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(65)} -pin "reg(regs.regs(0).sva)" {D(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(66)} -pin "reg(regs.regs(0).sva)" {D(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(67)} -pin "reg(regs.regs(0).sva)" {D(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(68)} -pin "reg(regs.regs(0).sva)" {D(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(69)} -pin "reg(regs.regs(0).sva)" {D(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(70)} -pin "reg(regs.regs(0).sva)" {D(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(71)} -pin "reg(regs.regs(0).sva)" {D(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(72)} -pin "reg(regs.regs(0).sva)" {D(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(73)} -pin "reg(regs.regs(0).sva)" {D(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(74)} -pin "reg(regs.regs(0).sva)" {D(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(75)} -pin "reg(regs.regs(0).sva)" {D(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(76)} -pin "reg(regs.regs(0).sva)" {D(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(77)} -pin "reg(regs.regs(0).sva)" {D(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(78)} -pin "reg(regs.regs(0).sva)" {D(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(79)} -pin "reg(regs.regs(0).sva)" {D(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(80)} -pin "reg(regs.regs(0).sva)" {D(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(81)} -pin "reg(regs.regs(0).sva)" {D(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(82)} -pin "reg(regs.regs(0).sva)" {D(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(83)} -pin "reg(regs.regs(0).sva)" {D(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(84)} -pin "reg(regs.regs(0).sva)" {D(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(85)} -pin "reg(regs.regs(0).sva)" {D(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(86)} -pin "reg(regs.regs(0).sva)" {D(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(87)} -pin "reg(regs.regs(0).sva)" {D(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(88)} -pin "reg(regs.regs(0).sva)" {D(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(89)} -pin "reg(regs.regs(0).sva)" {D(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(10)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(11)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(12)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(13)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(14)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(15)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(16)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(17)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(18)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(19)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(20)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(21)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(22)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(23)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(24)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(25)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(26)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(27)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(28)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(29)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(30)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(31)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(32)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(33)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(34)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(35)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(36)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(37)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(38)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(39)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(40)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(41)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(42)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(43)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(44)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(45)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(46)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(47)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(48)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(49)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(50)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(51)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(52)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(53)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(54)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(55)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(56)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(57)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(58)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(59)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(60)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(61)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(62)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(63)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(64)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(65)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(66)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(67)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(68)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(69)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(70)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(71)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(72)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(73)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(74)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(75)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(76)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(77)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(78)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(79)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(80)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(81)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(82)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(83)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(84)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(85)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(86)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(87)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(88)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(89)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {clk} -pin "reg(regs.regs(0).sva)" {clk} -attr xrf 33971 -attr oid 1135 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(0).sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(0).sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(0).sva(0)} -pin "reg(regs.regs(0).sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(1)} -pin "reg(regs.regs(0).sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(2)} -pin "reg(regs.regs(0).sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(3)} -pin "reg(regs.regs(0).sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(4)} -pin "reg(regs.regs(0).sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(5)} -pin "reg(regs.regs(0).sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(6)} -pin "reg(regs.regs(0).sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(7)} -pin "reg(regs.regs(0).sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(8)} -pin "reg(regs.regs(0).sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(9)} -pin "reg(regs.regs(0).sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(10)} -pin "reg(regs.regs(0).sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(11)} -pin "reg(regs.regs(0).sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(12)} -pin "reg(regs.regs(0).sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(13)} -pin "reg(regs.regs(0).sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(14)} -pin "reg(regs.regs(0).sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(15)} -pin "reg(regs.regs(0).sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(16)} -pin "reg(regs.regs(0).sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(17)} -pin "reg(regs.regs(0).sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(18)} -pin "reg(regs.regs(0).sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(19)} -pin "reg(regs.regs(0).sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(20)} -pin "reg(regs.regs(0).sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(21)} -pin "reg(regs.regs(0).sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(22)} -pin "reg(regs.regs(0).sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(23)} -pin "reg(regs.regs(0).sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(24)} -pin "reg(regs.regs(0).sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(25)} -pin "reg(regs.regs(0).sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(26)} -pin "reg(regs.regs(0).sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(27)} -pin "reg(regs.regs(0).sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(28)} -pin "reg(regs.regs(0).sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(29)} -pin "reg(regs.regs(0).sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(30)} -pin "reg(regs.regs(0).sva)" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(31)} -pin "reg(regs.regs(0).sva)" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(32)} -pin "reg(regs.regs(0).sva)" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(33)} -pin "reg(regs.regs(0).sva)" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(34)} -pin "reg(regs.regs(0).sva)" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(35)} -pin "reg(regs.regs(0).sva)" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(36)} -pin "reg(regs.regs(0).sva)" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(37)} -pin "reg(regs.regs(0).sva)" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(38)} -pin "reg(regs.regs(0).sva)" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(39)} -pin "reg(regs.regs(0).sva)" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(40)} -pin "reg(regs.regs(0).sva)" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(41)} -pin "reg(regs.regs(0).sva)" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(42)} -pin "reg(regs.regs(0).sva)" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(43)} -pin "reg(regs.regs(0).sva)" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(44)} -pin "reg(regs.regs(0).sva)" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(45)} -pin "reg(regs.regs(0).sva)" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(46)} -pin "reg(regs.regs(0).sva)" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(47)} -pin "reg(regs.regs(0).sva)" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(48)} -pin "reg(regs.regs(0).sva)" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(49)} -pin "reg(regs.regs(0).sva)" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(50)} -pin "reg(regs.regs(0).sva)" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(51)} -pin "reg(regs.regs(0).sva)" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(52)} -pin "reg(regs.regs(0).sva)" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(53)} -pin "reg(regs.regs(0).sva)" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(54)} -pin "reg(regs.regs(0).sva)" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(55)} -pin "reg(regs.regs(0).sva)" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(56)} -pin "reg(regs.regs(0).sva)" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(57)} -pin "reg(regs.regs(0).sva)" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(58)} -pin "reg(regs.regs(0).sva)" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(59)} -pin "reg(regs.regs(0).sva)" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(60)} -pin "reg(regs.regs(0).sva)" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(61)} -pin "reg(regs.regs(0).sva)" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(62)} -pin "reg(regs.regs(0).sva)" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(63)} -pin "reg(regs.regs(0).sva)" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(64)} -pin "reg(regs.regs(0).sva)" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(65)} -pin "reg(regs.regs(0).sva)" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(66)} -pin "reg(regs.regs(0).sva)" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(67)} -pin "reg(regs.regs(0).sva)" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(68)} -pin "reg(regs.regs(0).sva)" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(69)} -pin "reg(regs.regs(0).sva)" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(70)} -pin "reg(regs.regs(0).sva)" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(71)} -pin "reg(regs.regs(0).sva)" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(72)} -pin "reg(regs.regs(0).sva)" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(73)} -pin "reg(regs.regs(0).sva)" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(74)} -pin "reg(regs.regs(0).sva)" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(75)} -pin "reg(regs.regs(0).sva)" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(76)} -pin "reg(regs.regs(0).sva)" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(77)} -pin "reg(regs.regs(0).sva)" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(78)} -pin "reg(regs.regs(0).sva)" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(79)} -pin "reg(regs.regs(0).sva)" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(80)} -pin "reg(regs.regs(0).sva)" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(81)} -pin "reg(regs.regs(0).sva)" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(82)} -pin "reg(regs.regs(0).sva)" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(83)} -pin "reg(regs.regs(0).sva)" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(84)} -pin "reg(regs.regs(0).sva)" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(85)} -pin "reg(regs.regs(0).sva)" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(86)} -pin "reg(regs.regs(0).sva)" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(87)} -pin "reg(regs.regs(0).sva)" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(88)} -pin "reg(regs.regs(0).sva)" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(89)} -pin "reg(regs.regs(0).sva)" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load inst "reg(exit:FRAME.lpi#1.dfm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 33972 -attr oid 1136 -attr @path {/sobel/sobel:core/reg(exit:FRAME.lpi#1.dfm#1)}
+load net {exit:FRAME.lpi#1.dfm#1:mx0} -pin "reg(exit:FRAME.lpi#1.dfm#1)" {D(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#1:mx0}
+load net {GND} -pin "reg(exit:FRAME.lpi#1.dfm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
+load net {clk} -pin "reg(exit:FRAME.lpi#1.dfm#1)" {clk} -attr xrf 33973 -attr oid 1137 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME.lpi#1.dfm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME.lpi#1.dfm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME.lpi#1.dfm#1} -pin "reg(exit:FRAME.lpi#1.dfm#1)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#1}
+load inst "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 33974 -attr oid 1138 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#125.psp#1.lpi#1.dfm)}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(0)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(1)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(2)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(3)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(5)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(7)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(9)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
+load net {GND} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
+load net {clk} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {clk} -attr xrf 33975 -attr oid 1139 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(0)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(1)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(2)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(3)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(4)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(5)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(6)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(7)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(8)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(9)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(10)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(11)} -pin "reg(ACC1:acc#125.psp#1.lpi#1.dfm)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load inst "reg(acc.imod#18.lpi#1.dfm.sg1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33976 -attr oid 1140 -attr vt d -attr @path {/sobel/sobel:core/reg(acc.imod#18.lpi#1.dfm.sg1)}
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(0)} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1:mx0}
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(1)} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1:mx0}
+load net {GND} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {clk} -attr xrf 33977 -attr oid 1141 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {acc.imod#18.lpi#1.dfm.sg1(0)} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1}
+load net {acc.imod#18.lpi#1.dfm.sg1(1)} -pin "reg(acc.imod#18.lpi#1.dfm.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1}
+load inst "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" "reg(3,1,1,-1,0)" "INTERFACE" -attr xrf 33978 -attr oid 1142 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(0)} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(1)} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(2)} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load net {GND} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_3#1}
+load net {GND} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_3#1}
+load net {GND} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_3#1}
+load net {clk} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {clk} -attr xrf 33979 -attr oid 1143 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(0)} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(1)} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(2)} -pin "reg(ACC1:acc#110.psp#2.lpi#1.dfm.sg1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load inst "reg(acc.imod#20.lpi#1.dfm)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33980 -attr oid 1144 -attr vt d -attr @path {/sobel/sobel:core/reg(acc.imod#20.lpi#1.dfm)}
+load net {acc.imod#20.lpi#1.dfm:mx0(0)} -pin "reg(acc.imod#20.lpi#1.dfm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm:mx0}
+load net {acc.imod#20.lpi#1.dfm:mx0(1)} -pin "reg(acc.imod#20.lpi#1.dfm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm:mx0}
+load net {GND} -pin "reg(acc.imod#20.lpi#1.dfm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(acc.imod#20.lpi#1.dfm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(acc.imod#20.lpi#1.dfm)" {clk} -attr xrf 33981 -attr oid 1145 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(acc.imod#20.lpi#1.dfm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(acc.imod#20.lpi#1.dfm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {acc.imod#20.lpi#1.dfm(0)} -pin "reg(acc.imod#20.lpi#1.dfm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm}
+load net {acc.imod#20.lpi#1.dfm(1)} -pin "reg(acc.imod#20.lpi#1.dfm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm}
+load inst "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 33982 -attr oid 1146 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(0)} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(1)} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0}
+load net {GND} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {GND} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8#14}
+load net {clk} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {clk} -attr xrf 33983 -attr oid 1147 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(0)} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(1)} -pin "reg(ACC1:acc#118.psp#1.lpi#1.dfm.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1}
+load inst "mux#18" "mux(2,19)" "INTERFACE" -attr xrf 33984 -attr oid 1148 -attr vt d -attr @path {/sobel/sobel:core/mux#18} -attr area 17.470037 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(19,1,2)"
+load net {FRAME:p#1.lpi#1.dfm(0)} -pin "mux#18" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(1)} -pin "mux#18" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(2)} -pin "mux#18" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(3)} -pin "mux#18" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(4)} -pin "mux#18" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(5)} -pin "mux#18" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(6)} -pin "mux#18" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(7)} -pin "mux#18" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(8)} -pin "mux#18" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(9)} -pin "mux#18" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(10)} -pin "mux#18" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(11)} -pin "mux#18" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(12)} -pin "mux#18" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(13)} -pin "mux#18" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(14)} -pin "mux#18" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(15)} -pin "mux#18" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(16)} -pin "mux#18" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(17)} -pin "mux#18" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(18)} -pin "mux#18" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.sva#1(0)} -pin "mux#18" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(1)} -pin "mux#18" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(2)} -pin "mux#18" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(3)} -pin "mux#18" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(4)} -pin "mux#18" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(5)} -pin "mux#18" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(6)} -pin "mux#18" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(7)} -pin "mux#18" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(8)} -pin "mux#18" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(9)} -pin "mux#18" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(10)} -pin "mux#18" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(11)} -pin "mux#18" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(12)} -pin "mux#18" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(13)} -pin "mux#18" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(14)} -pin "mux#18" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(15)} -pin "mux#18" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(16)} -pin "mux#18" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(17)} -pin "mux#18" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(18)} -pin "mux#18" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:for:acc.itm(1)} -pin "mux#18" {S(0)} -attr @path {/sobel/sobel:core/FRAME:for:slc#4.itm}
+load net {mux#18.itm(0)} -pin "mux#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(1)} -pin "mux#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(2)} -pin "mux#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(3)} -pin "mux#18" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(4)} -pin "mux#18" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(5)} -pin "mux#18" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(6)} -pin "mux#18" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(7)} -pin "mux#18" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(8)} -pin "mux#18" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(9)} -pin "mux#18" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(10)} -pin "mux#18" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(11)} -pin "mux#18" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(12)} -pin "mux#18" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(13)} -pin "mux#18" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(14)} -pin "mux#18" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(15)} -pin "mux#18" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(16)} -pin "mux#18" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(17)} -pin "mux#18" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(18)} -pin "mux#18" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load inst "reg(FRAME:p#1.lpi#1)" "reg(19,1,1,-1,0)" "INTERFACE" -attr xrf 33985 -attr oid 1149 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:p#1.lpi#1)}
+load net {mux#18.itm(0)} -pin "reg(FRAME:p#1.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(1)} -pin "reg(FRAME:p#1.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(2)} -pin "reg(FRAME:p#1.lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(3)} -pin "reg(FRAME:p#1.lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(4)} -pin "reg(FRAME:p#1.lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(5)} -pin "reg(FRAME:p#1.lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(6)} -pin "reg(FRAME:p#1.lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(7)} -pin "reg(FRAME:p#1.lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(8)} -pin "reg(FRAME:p#1.lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(9)} -pin "reg(FRAME:p#1.lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(10)} -pin "reg(FRAME:p#1.lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(11)} -pin "reg(FRAME:p#1.lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(12)} -pin "reg(FRAME:p#1.lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(13)} -pin "reg(FRAME:p#1.lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(14)} -pin "reg(FRAME:p#1.lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(15)} -pin "reg(FRAME:p#1.lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(16)} -pin "reg(FRAME:p#1.lpi#1)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(17)} -pin "reg(FRAME:p#1.lpi#1)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(18)} -pin "reg(FRAME:p#1.lpi#1)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_19}
+load net {clk} -pin "reg(FRAME:p#1.lpi#1)" {clk} -attr xrf 33986 -attr oid 1150 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:p#1.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:p#1.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:p#1.lpi#1(0)} -pin "reg(FRAME:p#1.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(1)} -pin "reg(FRAME:p#1.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(2)} -pin "reg(FRAME:p#1.lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(3)} -pin "reg(FRAME:p#1.lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(4)} -pin "reg(FRAME:p#1.lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(5)} -pin "reg(FRAME:p#1.lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(6)} -pin "reg(FRAME:p#1.lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(7)} -pin "reg(FRAME:p#1.lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(8)} -pin "reg(FRAME:p#1.lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(9)} -pin "reg(FRAME:p#1.lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(10)} -pin "reg(FRAME:p#1.lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(11)} -pin "reg(FRAME:p#1.lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(12)} -pin "reg(FRAME:p#1.lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(13)} -pin "reg(FRAME:p#1.lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(14)} -pin "reg(FRAME:p#1.lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(15)} -pin "reg(FRAME:p#1.lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(16)} -pin "reg(FRAME:p#1.lpi#1)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(17)} -pin "reg(FRAME:p#1.lpi#1)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(18)} -pin "reg(FRAME:p#1.lpi#1)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load inst "FRAME:mul" "mul(2,0,9,0,11)" "INTERFACE" -attr xrf 33987 -attr oid 1151 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,12,1,13)"
+load net {ACC1:acc.itm(13)} -pin "FRAME:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#12.itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#12.itm}
+load net {PWR} -pin "FRAME:mul" {B(0)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(1)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(2)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(3)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(4)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(5)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(6)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(7)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(8)} -attr @path {/sobel/sobel:core/C455_9}
+load net {FRAME:mul.itm(0)} -pin "FRAME:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(1)} -pin "FRAME:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(2)} -pin "FRAME:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(3)} -pin "FRAME:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(4)} -pin "FRAME:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(5)} -pin "FRAME:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(6)} -pin "FRAME:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(7)} -pin "FRAME:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(8)} -pin "FRAME:mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(9)} -pin "FRAME:mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(10)} -pin "FRAME:mul" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load inst "FRAME:mul#1" "mul(3,0,6,0,9)" "INTERFACE" -attr xrf 33988 -attr oid 1152 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
+load net {ACC1:acc.itm(10)} -pin "FRAME:mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#13.itm}
+load net {ACC1:acc.itm(11)} -pin "FRAME:mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#13.itm}
+load net {ACC1:acc.itm(12)} -pin "FRAME:mul#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#13.itm}
+load net {PWR} -pin "FRAME:mul#1" {B(0)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#1" {B(1)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#1" {B(2)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(3)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(4)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(5)} -attr @path {/sobel/sobel:core/C57_6}
+load net {FRAME:mul#1.itm(0)} -pin "FRAME:mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(1)} -pin "FRAME:mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(2)} -pin "FRAME:mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(3)} -pin "FRAME:mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(4)} -pin "FRAME:mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(5)} -pin "FRAME:mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(6)} -pin "FRAME:mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(7)} -pin "FRAME:mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(8)} -pin "FRAME:mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load inst "FRAME:not#7" "not(1)" "INTERFACE" -attr xrf 33989 -attr oid 1153 -attr @path {/sobel/sobel:core/FRAME:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#12.sva(5)} -pin "FRAME:not#7" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#6.itm}
+load net {FRAME:not#7.itm} -pin "FRAME:not#7" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#7.itm}
+load inst "FRAME:not#5" "not(3)" "INTERFACE" -attr xrf 33990 -attr oid 1154 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {acc.imod#12.sva(3)} -pin "FRAME:not#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#2.itm}
+load net {acc.imod#12.sva(4)} -pin "FRAME:not#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#2.itm}
+load net {acc.imod#12.sva(5)} -pin "FRAME:not#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#2.itm}
+load net {FRAME:not#5.itm(0)} -pin "FRAME:not#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {FRAME:not#5.itm(1)} -pin "FRAME:not#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {FRAME:not#5.itm(2)} -pin "FRAME:not#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load inst "FRAME:not#4" "not(1)" "INTERFACE" -attr xrf 33991 -attr oid 1155 -attr @path {/sobel/sobel:core/FRAME:not#4} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#12.sva(5)} -pin "FRAME:not#4" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#3.itm}
+load net {FRAME:not#4.itm} -pin "FRAME:not#4" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#4.itm}
+load inst "FRAME:acc#16" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 33992 -attr oid 1156 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "FRAME:acc#16" {A(0)} -attr @path {/sobel/sobel:core/conc#678.itm}
+load net {acc.imod#12.sva(0)} -pin "FRAME:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#678.itm}
+load net {acc.imod#12.sva(1)} -pin "FRAME:acc#16" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#678.itm}
+load net {acc.imod#12.sva(2)} -pin "FRAME:acc#16" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#678.itm}
+load net {PWR} -pin "FRAME:acc#16" {A(4)} -attr @path {/sobel/sobel:core/conc#678.itm}
+load net {FRAME:not#4.itm} -pin "FRAME:acc#16" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(0)} -pin "FRAME:acc#16" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(1)} -pin "FRAME:acc#16" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:not#5.itm(2)} -pin "FRAME:acc#16" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#15.itm}
+load net {FRAME:acc#16.itm(0)} -pin "FRAME:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(1)} -pin "FRAME:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(2)} -pin "FRAME:acc#16" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(3)} -pin "FRAME:acc#16" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(4)} -pin "FRAME:acc#16" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load inst "FRAME:not#15" "not(1)" "INTERFACE" -attr xrf 33993 -attr oid 1157 -attr @path {/sobel/sobel:core/FRAME:not#15} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc#16.itm(4)} -pin "FRAME:not#15" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc#3.itm}
+load net {FRAME:not#15.itm} -pin "FRAME:not#15" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#15.itm}
+load inst "FRAME:acc#10" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 33994 -attr oid 1158 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {FRAME:not#15.itm} -pin "FRAME:acc#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#677.itm}
+load net {PWR} -pin "FRAME:acc#10" {A(1)} -attr @path {/sobel/sobel:core/conc#677.itm}
+load net {FRAME:not#7.itm} -pin "FRAME:acc#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#677.itm}
+load net {acc.imod#12.sva(3)} -pin "FRAME:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#4.itm}
+load net {acc.imod#12.sva(4)} -pin "FRAME:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#12.sva)#4.itm}
+load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load inst "FRAME:not#6" "not(3)" "INTERFACE" -attr xrf 33995 -attr oid 1159 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC1:acc.itm(7)} -pin "FRAME:not#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#7.itm}
+load net {ACC1:acc.itm(8)} -pin "FRAME:not#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#7.itm}
+load net {ACC1:acc.itm(9)} -pin "FRAME:not#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#7.itm}
+load net {FRAME:not#6.itm(0)} -pin "FRAME:not#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(1)} -pin "FRAME:not#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(2)} -pin "FRAME:not#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load inst "FRAME:acc#11" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 33996 -attr oid 1160 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#11" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#11" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#11" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#11" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:not#6.itm(0)} -pin "FRAME:acc#11" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(1)} -pin "FRAME:acc#11" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(2)} -pin "FRAME:acc#11" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#11" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#11" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#11" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#11" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#11" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load inst "FRAME:acc#12" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 33997 -attr oid 1161 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#12" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#12" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#12" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#12" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#12" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {acc.imod#12.sva(5)} -pin "FRAME:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#679.itm}
+load net {PWR} -pin "FRAME:acc#12" {B(1)} -attr @path {/sobel/sobel:core/conc#679.itm}
+load net {GND} -pin "FRAME:acc#12" {B(2)} -attr @path {/sobel/sobel:core/conc#679.itm}
+load net {GND} -pin "FRAME:acc#12" {B(3)} -attr @path {/sobel/sobel:core/conc#679.itm}
+load net {PWR} -pin "FRAME:acc#12" {B(4)} -attr @path {/sobel/sobel:core/conc#679.itm}
+load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load inst "FRAME:acc#13" "add(6,0,5,1,8)" "INTERFACE" -attr xrf 33998 -attr oid 1162 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {ACC1:acc.itm(4)} -pin "FRAME:acc#13" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {ACC1:acc.itm(5)} -pin "FRAME:acc#13" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {ACC1:acc.itm(6)} -pin "FRAME:acc#13" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {ACC1:acc.itm(7)} -pin "FRAME:acc#13" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {ACC1:acc.itm(8)} -pin "FRAME:acc#13" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {ACC1:acc.itm(9)} -pin "FRAME:acc#13" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
+load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#13" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#13" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#13" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#13" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#13" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(4)} -pin "FRAME:acc#13" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(5)} -pin "FRAME:acc#13" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(6)} -pin "FRAME:acc#13" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(7)} -pin "FRAME:acc#13" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load inst "FRAME:acc#14" "add(9,0,8,1,10)" "INTERFACE" -attr xrf 33999 -attr oid 1163 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,1,10)"
+load net {FRAME:mul#1.itm(0)} -pin "FRAME:acc#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(1)} -pin "FRAME:acc#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(2)} -pin "FRAME:acc#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(3)} -pin "FRAME:acc#14" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(4)} -pin "FRAME:acc#14" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(5)} -pin "FRAME:acc#14" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(6)} -pin "FRAME:acc#14" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(7)} -pin "FRAME:acc#14" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(8)} -pin "FRAME:acc#14" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#14" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(4)} -pin "FRAME:acc#14" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(5)} -pin "FRAME:acc#14" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(6)} -pin "FRAME:acc#14" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(7)} -pin "FRAME:acc#14" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#14" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(5)} -pin "FRAME:acc#14" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(6)} -pin "FRAME:acc#14" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(7)} -pin "FRAME:acc#14" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(8)} -pin "FRAME:acc#14" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(9)} -pin "FRAME:acc#14" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load inst "FRAME:acc#15" "add(11,0,10,1,12)" "INTERFACE" -attr xrf 34000 -attr oid 1164 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,1,13)"
+load net {FRAME:mul.itm(0)} -pin "FRAME:acc#15" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(1)} -pin "FRAME:acc#15" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(2)} -pin "FRAME:acc#15" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(3)} -pin "FRAME:acc#15" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(4)} -pin "FRAME:acc#15" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(5)} -pin "FRAME:acc#15" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(6)} -pin "FRAME:acc#15" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(7)} -pin "FRAME:acc#15" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(8)} -pin "FRAME:acc#15" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(9)} -pin "FRAME:acc#15" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:mul.itm(10)} -pin "FRAME:acc#15" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.itm}
+load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#15" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#15" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#15" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#15" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#15" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(5)} -pin "FRAME:acc#15" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(6)} -pin "FRAME:acc#15" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(7)} -pin "FRAME:acc#15" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(8)} -pin "FRAME:acc#15" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(9)} -pin "FRAME:acc#15" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#15" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#15" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(5)} -pin "FRAME:acc#15" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(6)} -pin "FRAME:acc#15" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(7)} -pin "FRAME:acc#15" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(8)} -pin "FRAME:acc#15" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(9)} -pin "FRAME:acc#15" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(10)} -pin "FRAME:acc#15" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(11)} -pin "FRAME:acc#15" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load inst "FRAME:acc#2" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 34001 -attr oid 1165 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
+load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(5)} -pin "FRAME:acc#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(6)} -pin "FRAME:acc#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(7)} -pin "FRAME:acc#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(8)} -pin "FRAME:acc#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(9)} -pin "FRAME:acc#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(10)} -pin "FRAME:acc#2" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(11)} -pin "FRAME:acc#2" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {GND} -pin "FRAME:acc#2" {B(1)} -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {GND} -pin "FRAME:acc#2" {B(5)} -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {GND} -pin "FRAME:acc#2" {B(6)} -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {GND} -pin "FRAME:acc#2" {B(7)} -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {ACC1:acc.itm(15)} -pin "FRAME:acc#2" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/exs#62.itm}
+load net {FRAME:acc#2.psp.sva(0)} -pin "FRAME:acc#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(1)} -pin "FRAME:acc#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(2)} -pin "FRAME:acc#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(3)} -pin "FRAME:acc#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(4)} -pin "FRAME:acc#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(5)} -pin "FRAME:acc#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(6)} -pin "FRAME:acc#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(7)} -pin "FRAME:acc#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(8)} -pin "FRAME:acc#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(9)} -pin "FRAME:acc#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(10)} -pin "FRAME:acc#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load net {FRAME:acc#2.psp.sva(11)} -pin "FRAME:acc#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.psp.sva}
+load inst "ACC1:acc#342" "add(16,-1,13,1,16)" "INTERFACE" -attr xrf 34002 -attr oid 1166 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,13,1,16)"
+load net {in(2).sva#3(0)} -pin "ACC1:acc#342" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(1)} -pin "ACC1:acc#342" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(2)} -pin "ACC1:acc#342" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(3)} -pin "ACC1:acc#342" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(4)} -pin "ACC1:acc#342" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(5)} -pin "ACC1:acc#342" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(6)} -pin "ACC1:acc#342" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(7)} -pin "ACC1:acc#342" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(8)} -pin "ACC1:acc#342" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(9)} -pin "ACC1:acc#342" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(10)} -pin "ACC1:acc#342" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(11)} -pin "ACC1:acc#342" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(12)} -pin "ACC1:acc#342" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(13)} -pin "ACC1:acc#342" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(14)} -pin "ACC1:acc#342" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(15)} -pin "ACC1:acc#342" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {ACC1:acc#341.itm#1(0)} -pin "ACC1:acc#342" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(1)} -pin "ACC1:acc#342" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(2)} -pin "ACC1:acc#342" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(3)} -pin "ACC1:acc#342" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(4)} -pin "ACC1:acc#342" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(5)} -pin "ACC1:acc#342" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(6)} -pin "ACC1:acc#342" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(7)} -pin "ACC1:acc#342" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(8)} -pin "ACC1:acc#342" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(9)} -pin "ACC1:acc#342" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(10)} -pin "ACC1:acc#342" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(11)} -pin "ACC1:acc#342" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#341.itm#1(12)} -pin "ACC1:acc#342" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#341.itm#1}
+load net {ACC1:acc#342.itm(0)} -pin "ACC1:acc#342" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(1)} -pin "ACC1:acc#342" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(2)} -pin "ACC1:acc#342" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(3)} -pin "ACC1:acc#342" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(4)} -pin "ACC1:acc#342" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(5)} -pin "ACC1:acc#342" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(6)} -pin "ACC1:acc#342" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(7)} -pin "ACC1:acc#342" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(8)} -pin "ACC1:acc#342" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(9)} -pin "ACC1:acc#342" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(10)} -pin "ACC1:acc#342" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(11)} -pin "ACC1:acc#342" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(12)} -pin "ACC1:acc#342" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(13)} -pin "ACC1:acc#342" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(14)} -pin "ACC1:acc#342" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(15)} -pin "ACC1:acc#342" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load inst "ACC1:acc" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 34003 -attr oid 1167 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,16)"
+load net {ACC1:acc#342.itm(0)} -pin "ACC1:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(1)} -pin "ACC1:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(2)} -pin "ACC1:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(3)} -pin "ACC1:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(4)} -pin "ACC1:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(5)} -pin "ACC1:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(6)} -pin "ACC1:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(7)} -pin "ACC1:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(8)} -pin "ACC1:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(9)} -pin "ACC1:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(10)} -pin "ACC1:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(11)} -pin "ACC1:acc" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(12)} -pin "ACC1:acc" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(13)} -pin "ACC1:acc" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(14)} -pin "ACC1:acc" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {ACC1:acc#342.itm(15)} -pin "ACC1:acc" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#342.itm}
+load net {in(0).sva#3(0)} -pin "ACC1:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(1)} -pin "ACC1:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(2)} -pin "ACC1:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(3)} -pin "ACC1:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(4)} -pin "ACC1:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(5)} -pin "ACC1:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(6)} -pin "ACC1:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(7)} -pin "ACC1:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(8)} -pin "ACC1:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(9)} -pin "ACC1:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(10)} -pin "ACC1:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(11)} -pin "ACC1:acc" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(12)} -pin "ACC1:acc" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(13)} -pin "ACC1:acc" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(14)} -pin "ACC1:acc" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(15)} -pin "ACC1:acc" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {ACC1:acc.itm(0)} -pin "ACC1:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(1)} -pin "ACC1:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(2)} -pin "ACC1:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(3)} -pin "ACC1:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(4)} -pin "ACC1:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(5)} -pin "ACC1:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(6)} -pin "ACC1:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(7)} -pin "ACC1:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(8)} -pin "ACC1:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(9)} -pin "ACC1:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(10)} -pin "ACC1:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(11)} -pin "ACC1:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(12)} -pin "ACC1:acc" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(13)} -pin "ACC1:acc" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(14)} -pin "ACC1:acc" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load net {ACC1:acc.itm(15)} -pin "ACC1:acc" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
+load inst "FRAME:not#2" "not(3)" "INTERFACE" -attr xrf 34004 -attr oid 1168 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC1:acc.itm(10)} -pin "FRAME:not#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#1.itm}
+load net {ACC1:acc.itm(11)} -pin "FRAME:not#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#1.itm}
+load net {ACC1:acc.itm(12)} -pin "FRAME:not#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#1.itm}
+load net {FRAME:not#2.itm(0)} -pin "FRAME:not#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(1)} -pin "FRAME:not#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(2)} -pin "FRAME:not#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load inst "FRAME:acc#6" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 34005 -attr oid 1169 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc.itm(7)} -pin "FRAME:acc#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
+load net {ACC1:acc.itm(8)} -pin "FRAME:acc#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
+load net {ACC1:acc.itm(9)} -pin "FRAME:acc#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
+load net {FRAME:not#2.itm(0)} -pin "FRAME:acc#6" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(1)} -pin "FRAME:acc#6" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(2)} -pin "FRAME:acc#6" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:acc#6.itm(0)} -pin "FRAME:acc#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(1)} -pin "FRAME:acc#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(2)} -pin "FRAME:acc#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(3)} -pin "FRAME:acc#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load inst "FRAME:not#13" "not(1)" "INTERFACE" -attr xrf 34006 -attr oid 1170 -attr @path {/sobel/sobel:core/FRAME:not#13} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc.itm(15)} -pin "FRAME:not#13" {A(0)} -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#29.itm}
+load net {FRAME:not#13.itm} -pin "FRAME:not#13" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#13.itm}
+load inst "FRAME:not#17" "not(1)" "INTERFACE" -attr xrf 34007 -attr oid 1171 -attr @path {/sobel/sobel:core/FRAME:not#17} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc.itm(15)} -pin "FRAME:not#17" {A(0)} -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#10.itm}
+load net {FRAME:not#17.itm} -pin "FRAME:not#17" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load inst "FRAME:acc#5" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 34008 -attr oid 1172 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {FRAME:not#17.itm} -pin "FRAME:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#682.itm}
+load net {PWR} -pin "FRAME:acc#5" {A(1)} -attr @path {/sobel/sobel:core/conc#682.itm}
+load net {FRAME:not#13.itm} -pin "FRAME:acc#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#682.itm}
+load net {ACC1:acc.itm(13)} -pin "FRAME:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva).itm}
+load net {ACC1:acc.itm(14)} -pin "FRAME:acc#5" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva).itm}
+load net {FRAME:acc#5.itm(0)} -pin "FRAME:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(1)} -pin "FRAME:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(2)} -pin "FRAME:acc#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(3)} -pin "FRAME:acc#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load inst "FRAME:acc#8" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 34009 -attr oid 1173 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#6.itm(0)} -pin "FRAME:acc#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(1)} -pin "FRAME:acc#8" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(2)} -pin "FRAME:acc#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#6.itm(3)} -pin "FRAME:acc#8" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6.itm}
+load net {FRAME:acc#5.itm(0)} -pin "FRAME:acc#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(1)} -pin "FRAME:acc#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(2)} -pin "FRAME:acc#8" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#5.itm(3)} -pin "FRAME:acc#8" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.itm}
+load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(4)} -pin "FRAME:acc#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load inst "FRAME:not#1" "not(3)" "INTERFACE" -attr xrf 34010 -attr oid 1174 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC1:acc.itm(4)} -pin "FRAME:not#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
+load net {ACC1:acc.itm(5)} -pin "FRAME:not#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
+load net {ACC1:acc.itm(6)} -pin "FRAME:not#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
+load net {FRAME:not#1.itm(0)} -pin "FRAME:not#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(1)} -pin "FRAME:not#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(2)} -pin "FRAME:not#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load inst "FRAME:acc#7" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 34011 -attr oid 1175 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC1:acc.itm(1)} -pin "FRAME:acc#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
+load net {ACC1:acc.itm(2)} -pin "FRAME:acc#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
+load net {ACC1:acc.itm(3)} -pin "FRAME:acc#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
+load net {FRAME:not#1.itm(0)} -pin "FRAME:acc#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(1)} -pin "FRAME:acc#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(2)} -pin "FRAME:acc#7" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:acc#7.itm(0)} -pin "FRAME:acc#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(1)} -pin "FRAME:acc#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(2)} -pin "FRAME:acc#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(3)} -pin "FRAME:acc#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load inst "FRAME:acc#9" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 34012 -attr oid 1176 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#9" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#9" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#9" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#9" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(4)} -pin "FRAME:acc#9" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#7.itm(0)} -pin "FRAME:acc#9" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(1)} -pin "FRAME:acc#9" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(2)} -pin "FRAME:acc#9" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(3)} -pin "FRAME:acc#9" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#9.itm(0)} -pin "FRAME:acc#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(1)} -pin "FRAME:acc#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(2)} -pin "FRAME:acc#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(3)} -pin "FRAME:acc#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(4)} -pin "FRAME:acc#9" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(5)} -pin "FRAME:acc#9" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load inst "acc#15" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 34013 -attr oid 1177 -attr vt d -attr @path {/sobel/sobel:core/acc#15} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,8)"
+load net {FRAME:acc#9.itm(0)} -pin "acc#15" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(1)} -pin "acc#15" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(2)} -pin "acc#15" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(3)} -pin "acc#15" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(4)} -pin "acc#15" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(5)} -pin "acc#15" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {PWR} -pin "acc#15" {B(0)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#15" {B(1)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#15" {B(2)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#15" {B(3)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#15" {B(4)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#15" {B(5)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {acc.imod#12.sva(0)} -pin "acc#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load net {acc.imod#12.sva(1)} -pin "acc#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load net {acc.imod#12.sva(2)} -pin "acc#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load net {acc.imod#12.sva(3)} -pin "acc#15" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load net {acc.imod#12.sva(4)} -pin "acc#15" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load net {acc.imod#12.sva(5)} -pin "acc#15" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#12.sva}
+load inst "FRAME:for:mux#12" "mux(2,16)" "INTERFACE" -attr xrf 34014 -attr oid 1178 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12} -attr area 14.710768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:slc(in(2).sva).itm#1(0)} -pin "FRAME:for:mux#12" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(1)} -pin "FRAME:for:mux#12" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(2)} -pin "FRAME:for:mux#12" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(3)} -pin "FRAME:for:mux#12" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(4)} -pin "FRAME:for:mux#12" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(5)} -pin "FRAME:for:mux#12" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(6)} -pin "FRAME:for:mux#12" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(7)} -pin "FRAME:for:mux#12" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(8)} -pin "FRAME:for:mux#12" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(9)} -pin "FRAME:for:mux#12" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(10)} -pin "FRAME:for:mux#12" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -pin "FRAME:for:mux#12" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -pin "FRAME:for:mux#12" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -pin "FRAME:for:mux#12" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -pin "FRAME:for:mux#12" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {FRAME:for:slc(in(2).sva).itm#1(11)} -pin "FRAME:for:mux#12" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#21.itm}
+load net {in(2).sva#1(0)} -pin "FRAME:for:mux#12" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(1)} -pin "FRAME:for:mux#12" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(2)} -pin "FRAME:for:mux#12" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(3)} -pin "FRAME:for:mux#12" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(4)} -pin "FRAME:for:mux#12" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(5)} -pin "FRAME:for:mux#12" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(6)} -pin "FRAME:for:mux#12" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(7)} -pin "FRAME:for:mux#12" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(8)} -pin "FRAME:for:mux#12" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(9)} -pin "FRAME:for:mux#12" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(10)} -pin "FRAME:for:mux#12" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(11)} -pin "FRAME:for:mux#12" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(12)} -pin "FRAME:for:mux#12" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(13)} -pin "FRAME:for:mux#12" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(14)} -pin "FRAME:for:mux#12" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {in(2).sva#1(15)} -pin "FRAME:for:mux#12" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#1}
+load net {exit:FRAME:for.lpi#1.dfm#3} -pin "FRAME:for:mux#12" {S(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#3}
+load net {FRAME:for:mux#12.itm(0)} -pin "FRAME:for:mux#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(1)} -pin "FRAME:for:mux#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(2)} -pin "FRAME:for:mux#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(3)} -pin "FRAME:for:mux#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(4)} -pin "FRAME:for:mux#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(5)} -pin "FRAME:for:mux#12" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(6)} -pin "FRAME:for:mux#12" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(7)} -pin "FRAME:for:mux#12" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(8)} -pin "FRAME:for:mux#12" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(9)} -pin "FRAME:for:mux#12" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(10)} -pin "FRAME:for:mux#12" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(11)} -pin "FRAME:for:mux#12" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(12)} -pin "FRAME:for:mux#12" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(13)} -pin "FRAME:for:mux#12" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(14)} -pin "FRAME:for:mux#12" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(15)} -pin "FRAME:for:mux#12" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load inst "FRAME:for:acc#22" "add(12,1,16,-1,16)" "INTERFACE" -attr xrf 34015 -attr oid 1179 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#22} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,13,1,16)"
+load net {FRAME:for:acc#26.itm#1(0)} -pin "FRAME:for:acc#22" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(1)} -pin "FRAME:for:acc#22" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(2)} -pin "FRAME:for:acc#22" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(3)} -pin "FRAME:for:acc#22" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(4)} -pin "FRAME:for:acc#22" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(5)} -pin "FRAME:for:acc#22" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(6)} -pin "FRAME:for:acc#22" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(7)} -pin "FRAME:for:acc#22" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(8)} -pin "FRAME:for:acc#22" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(9)} -pin "FRAME:for:acc#22" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(10)} -pin "FRAME:for:acc#22" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:acc#26.itm#1(11)} -pin "FRAME:for:acc#22" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm#1}
+load net {FRAME:for:mux#12.itm(0)} -pin "FRAME:for:acc#22" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(1)} -pin "FRAME:for:acc#22" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(2)} -pin "FRAME:for:acc#22" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(3)} -pin "FRAME:for:acc#22" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(4)} -pin "FRAME:for:acc#22" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(5)} -pin "FRAME:for:acc#22" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(6)} -pin "FRAME:for:acc#22" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(7)} -pin "FRAME:for:acc#22" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(8)} -pin "FRAME:for:acc#22" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(9)} -pin "FRAME:for:acc#22" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(10)} -pin "FRAME:for:acc#22" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(11)} -pin "FRAME:for:acc#22" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(12)} -pin "FRAME:for:acc#22" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(13)} -pin "FRAME:for:acc#22" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(14)} -pin "FRAME:for:acc#22" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {FRAME:for:mux#12.itm(15)} -pin "FRAME:for:acc#22" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#12.itm}
+load net {in(2).sva#3(0)} -pin "FRAME:for:acc#22" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(1)} -pin "FRAME:for:acc#22" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(2)} -pin "FRAME:for:acc#22" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(3)} -pin "FRAME:for:acc#22" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(4)} -pin "FRAME:for:acc#22" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(5)} -pin "FRAME:for:acc#22" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(6)} -pin "FRAME:for:acc#22" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(7)} -pin "FRAME:for:acc#22" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(8)} -pin "FRAME:for:acc#22" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(9)} -pin "FRAME:for:acc#22" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(10)} -pin "FRAME:for:acc#22" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(11)} -pin "FRAME:for:acc#22" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(12)} -pin "FRAME:for:acc#22" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(13)} -pin "FRAME:for:acc#22" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(14)} -pin "FRAME:for:acc#22" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load net {in(2).sva#3(15)} -pin "FRAME:for:acc#22" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/in(2).sva#3}
+load inst "FRAME:for:mux#11" "mux(2,16)" "INTERFACE" -attr xrf 34016 -attr oid 1180 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11} -attr area 14.710768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:slc(in(0).sva).itm#1(0)} -pin "FRAME:for:mux#11" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(1)} -pin "FRAME:for:mux#11" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(2)} -pin "FRAME:for:mux#11" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(3)} -pin "FRAME:for:mux#11" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(4)} -pin "FRAME:for:mux#11" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(5)} -pin "FRAME:for:mux#11" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(6)} -pin "FRAME:for:mux#11" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(7)} -pin "FRAME:for:mux#11" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(8)} -pin "FRAME:for:mux#11" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(9)} -pin "FRAME:for:mux#11" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(10)} -pin "FRAME:for:mux#11" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -pin "FRAME:for:mux#11" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -pin "FRAME:for:mux#11" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -pin "FRAME:for:mux#11" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -pin "FRAME:for:mux#11" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {FRAME:for:slc(in(0).sva).itm#1(11)} -pin "FRAME:for:mux#11" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#20.itm}
+load net {in(0).sva#1(0)} -pin "FRAME:for:mux#11" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(1)} -pin "FRAME:for:mux#11" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(2)} -pin "FRAME:for:mux#11" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(3)} -pin "FRAME:for:mux#11" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(4)} -pin "FRAME:for:mux#11" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(5)} -pin "FRAME:for:mux#11" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(6)} -pin "FRAME:for:mux#11" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(7)} -pin "FRAME:for:mux#11" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(8)} -pin "FRAME:for:mux#11" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(9)} -pin "FRAME:for:mux#11" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(10)} -pin "FRAME:for:mux#11" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(11)} -pin "FRAME:for:mux#11" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(12)} -pin "FRAME:for:mux#11" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(13)} -pin "FRAME:for:mux#11" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(14)} -pin "FRAME:for:mux#11" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {in(0).sva#1(15)} -pin "FRAME:for:mux#11" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#1}
+load net {exit:FRAME:for.lpi#1.dfm#3} -pin "FRAME:for:mux#11" {S(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#3}
+load net {FRAME:for:mux#11.itm(0)} -pin "FRAME:for:mux#11" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(1)} -pin "FRAME:for:mux#11" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(2)} -pin "FRAME:for:mux#11" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(3)} -pin "FRAME:for:mux#11" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(4)} -pin "FRAME:for:mux#11" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(5)} -pin "FRAME:for:mux#11" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(6)} -pin "FRAME:for:mux#11" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(7)} -pin "FRAME:for:mux#11" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(8)} -pin "FRAME:for:mux#11" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(9)} -pin "FRAME:for:mux#11" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(10)} -pin "FRAME:for:mux#11" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(11)} -pin "FRAME:for:mux#11" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(12)} -pin "FRAME:for:mux#11" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(13)} -pin "FRAME:for:mux#11" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(14)} -pin "FRAME:for:mux#11" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(15)} -pin "FRAME:for:mux#11" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load inst "FRAME:for:acc#20" "add(13,1,16,-1,16)" "INTERFACE" -attr xrf 34017 -attr oid 1181 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#20} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,13,1,16)"
+load net {FRAME:for:acc#24.itm#1(0)} -pin "FRAME:for:acc#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(1)} -pin "FRAME:for:acc#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(2)} -pin "FRAME:for:acc#20" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(3)} -pin "FRAME:for:acc#20" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(4)} -pin "FRAME:for:acc#20" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(5)} -pin "FRAME:for:acc#20" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(6)} -pin "FRAME:for:acc#20" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(7)} -pin "FRAME:for:acc#20" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(8)} -pin "FRAME:for:acc#20" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(9)} -pin "FRAME:for:acc#20" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(10)} -pin "FRAME:for:acc#20" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(11)} -pin "FRAME:for:acc#20" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:acc#24.itm#1(12)} -pin "FRAME:for:acc#20" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#24.itm#1}
+load net {FRAME:for:mux#11.itm(0)} -pin "FRAME:for:acc#20" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(1)} -pin "FRAME:for:acc#20" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(2)} -pin "FRAME:for:acc#20" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(3)} -pin "FRAME:for:acc#20" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(4)} -pin "FRAME:for:acc#20" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(5)} -pin "FRAME:for:acc#20" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(6)} -pin "FRAME:for:acc#20" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(7)} -pin "FRAME:for:acc#20" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(8)} -pin "FRAME:for:acc#20" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(9)} -pin "FRAME:for:acc#20" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(10)} -pin "FRAME:for:acc#20" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(11)} -pin "FRAME:for:acc#20" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(12)} -pin "FRAME:for:acc#20" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(13)} -pin "FRAME:for:acc#20" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(14)} -pin "FRAME:for:acc#20" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {FRAME:for:mux#11.itm(15)} -pin "FRAME:for:acc#20" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mux#11.itm}
+load net {in(0).sva#3(0)} -pin "FRAME:for:acc#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(1)} -pin "FRAME:for:acc#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(2)} -pin "FRAME:for:acc#20" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(3)} -pin "FRAME:for:acc#20" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(4)} -pin "FRAME:for:acc#20" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(5)} -pin "FRAME:for:acc#20" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(6)} -pin "FRAME:for:acc#20" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(7)} -pin "FRAME:for:acc#20" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(8)} -pin "FRAME:for:acc#20" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(9)} -pin "FRAME:for:acc#20" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(10)} -pin "FRAME:for:acc#20" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(11)} -pin "FRAME:for:acc#20" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(12)} -pin "FRAME:for:acc#20" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(13)} -pin "FRAME:for:acc#20" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(14)} -pin "FRAME:for:acc#20" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load net {in(0).sva#3(15)} -pin "FRAME:for:acc#20" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/in(0).sva#3}
+load inst "FRAME:for:acc" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 34018 -attr oid 1182 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,2)"
+load net {i#6.sva#2(0)} -pin "FRAME:for:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load net {i#6.sva#2(1)} -pin "FRAME:for:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load net {PWR} -pin "FRAME:for:acc" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {FRAME:for:acc.itm(0)} -pin "FRAME:for:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc.itm}
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc.itm}
+load inst "FRAME:for:or" "or(2,1)" "INTERFACE" -attr xrf 34019 -attr oid 1183 -attr @path {/sobel/sobel:core/FRAME:for:or} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {exit:FRAME:for.sva#1.st#1} -pin "FRAME:for:or" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.sva#1.st#1}
+load net {exit:FRAME#1.sva} -pin "FRAME:for:or" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {exit:FRAME:for.lpi#1.dfm#4} -pin "FRAME:for:or" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#4}
+load inst "FRAME:for:acc#16" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 34020 -attr oid 1184 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#16} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:acc#16" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {PWR} -pin "FRAME:for:acc#16" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {i#6.sva#2(0)} -pin "FRAME:for:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load net {i#6.sva#2(1)} -pin "FRAME:for:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#2}
+load inst "not#27" "not(1)" "INTERFACE" -attr xrf 34021 -attr oid 1185 -attr @path {/sobel/sobel:core/not#27} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {exit:FRAME:for.lpi#1.dfm#4} -pin "not#27" {A(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#4}
+load net {not#27.itm} -pin "not#27" {Z(0)} -attr @path {/sobel/sobel:core/not#27.itm}
+load inst "FRAME:for:and#1" "and(2,2)" "INTERFACE" -attr xrf 34022 -attr oid 1186 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#1} -attr area 1.459665 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(2,2)"
+load net {i#6.sva#1(0)} -pin "FRAME:for:and#1" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.sva#1(1)} -pin "FRAME:for:and#1" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {not#27.itm} -pin "FRAME:for:and#1" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#19.itm}
+load net {not#27.itm} -pin "FRAME:for:and#1" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#19.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:and#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:and#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load inst "mux#3" "mux(2,3)" "INTERFACE" -attr xrf 34023 -attr oid 1187 -attr vt d -attr @path {/sobel/sobel:core/mux#3} -attr area 2.759269 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(3,1,2)"
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(0)} -pin "mux#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(1)} -pin "mux#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1(2)} -pin "mux#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#176.itm(2)} -pin "mux#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.sva).itm}
+load net {ACC1:acc#176.itm(3)} -pin "mux#3" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.sva).itm}
+load net {ACC1:acc#176.itm(4)} -pin "mux#3" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.sva).itm}
+load net {and.cse} -pin "mux#3" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(0)} -pin "mux#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(1)} -pin "mux#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0(2)} -pin "mux#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#1.lpi#1.dfm.sg1:mx0}
+load inst "mux#4" "mux(2,12)" "INTERFACE" -attr xrf 34024 -attr oid 1188 -attr vt d -attr @path {/sobel/sobel:core/mux#4} -attr area 11.034076 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(12,1,2)"
+load net {ACC1:acc#125.psp.lpi#1.dfm(0)} -pin "mux#4" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(1)} -pin "mux#4" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(2)} -pin "mux#4" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(3)} -pin "mux#4" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(4)} -pin "mux#4" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(5)} -pin "mux#4" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(6)} -pin "mux#4" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(7)} -pin "mux#4" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(8)} -pin "mux#4" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(9)} -pin "mux#4" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(10)} -pin "mux#4" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.lpi#1.dfm(11)} -pin "mux#4" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm}
+load net {ACC1:acc#125.psp.sva(0)} -pin "mux#4" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(1)} -pin "mux#4" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(2)} -pin "mux#4" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(3)} -pin "mux#4" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(4)} -pin "mux#4" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(5)} -pin "mux#4" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(6)} -pin "mux#4" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(7)} -pin "mux#4" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(8)} -pin "mux#4" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(9)} -pin "mux#4" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(10)} -pin "mux#4" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(11)} -pin "mux#4" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {and.cse} -pin "mux#4" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(0)} -pin "mux#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(1)} -pin "mux#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(2)} -pin "mux#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(3)} -pin "mux#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(4)} -pin "mux#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(5)} -pin "mux#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(6)} -pin "mux#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(7)} -pin "mux#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(8)} -pin "mux#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(9)} -pin "mux#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(10)} -pin "mux#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp.lpi#1.dfm:mx0(11)} -pin "mux#4" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.lpi#1.dfm:mx0}
+load inst "mux#5" "mux(2,2)" "INTERFACE" -attr xrf 34025 -attr oid 1189 -attr vt d -attr @path {/sobel/sobel:core/mux#5} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1(0)} -pin "mux#5" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1(1)} -pin "mux#5" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp.sva(1)} -pin "mux#5" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp.sva).itm}
+load net {ACC1:acc#118.psp.sva(2)} -pin "mux#5" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp.sva).itm}
+load net {and.cse} -pin "mux#5" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(0)} -pin "mux#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0(1)} -pin "mux#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.lpi#1.dfm.sg1:mx0}
+load inst "mux#6" "mux(2,30)" "INTERFACE" -attr xrf 34026 -attr oid 1190 -attr vt d -attr @path {/sobel/sobel:core/mux#6} -attr area 27.583690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(30,1,2)"
+load net {regs.regs(2).lpi#1.dfm.sg2(0)} -pin "mux#6" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(1)} -pin "mux#6" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(2)} -pin "mux#6" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(3)} -pin "mux#6" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(4)} -pin "mux#6" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(5)} -pin "mux#6" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(6)} -pin "mux#6" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(7)} -pin "mux#6" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(8)} -pin "mux#6" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(9)} -pin "mux#6" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(10)} -pin "mux#6" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(11)} -pin "mux#6" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(12)} -pin "mux#6" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(13)} -pin "mux#6" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(14)} -pin "mux#6" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(15)} -pin "mux#6" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(16)} -pin "mux#6" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(17)} -pin "mux#6" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(18)} -pin "mux#6" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(19)} -pin "mux#6" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(20)} -pin "mux#6" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(21)} -pin "mux#6" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(22)} -pin "mux#6" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(23)} -pin "mux#6" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(24)} -pin "mux#6" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(25)} -pin "mux#6" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(26)} -pin "mux#6" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(27)} -pin "mux#6" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(28)} -pin "mux#6" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(2).lpi#1.dfm.sg2(29)} -pin "mux#6" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2}
+load net {regs.regs(1).sva(60)} -pin "mux#6" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(61)} -pin "mux#6" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(62)} -pin "mux#6" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(63)} -pin "mux#6" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(64)} -pin "mux#6" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(65)} -pin "mux#6" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(66)} -pin "mux#6" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(67)} -pin "mux#6" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(68)} -pin "mux#6" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(69)} -pin "mux#6" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(70)} -pin "mux#6" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(71)} -pin "mux#6" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(72)} -pin "mux#6" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(73)} -pin "mux#6" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(74)} -pin "mux#6" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(75)} -pin "mux#6" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(76)} -pin "mux#6" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(77)} -pin "mux#6" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(78)} -pin "mux#6" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(79)} -pin "mux#6" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(80)} -pin "mux#6" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(81)} -pin "mux#6" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(82)} -pin "mux#6" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(83)} -pin "mux#6" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(84)} -pin "mux#6" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(85)} -pin "mux#6" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(86)} -pin "mux#6" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(87)} -pin "mux#6" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(88)} -pin "mux#6" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(89)} -pin "mux#6" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {and.cse} -pin "mux#6" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(0)} -pin "mux#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(1)} -pin "mux#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(2)} -pin "mux#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(3)} -pin "mux#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(4)} -pin "mux#6" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(5)} -pin "mux#6" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(6)} -pin "mux#6" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(7)} -pin "mux#6" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(8)} -pin "mux#6" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(9)} -pin "mux#6" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(10)} -pin "mux#6" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(11)} -pin "mux#6" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(12)} -pin "mux#6" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(13)} -pin "mux#6" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(14)} -pin "mux#6" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(15)} -pin "mux#6" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(16)} -pin "mux#6" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(17)} -pin "mux#6" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(18)} -pin "mux#6" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(19)} -pin "mux#6" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(20)} -pin "mux#6" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(21)} -pin "mux#6" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(22)} -pin "mux#6" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(23)} -pin "mux#6" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(24)} -pin "mux#6" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(25)} -pin "mux#6" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(26)} -pin "mux#6" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(27)} -pin "mux#6" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(28)} -pin "mux#6" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load net {regs.regs(2).lpi#1.dfm.sg2:mx0(29)} -pin "mux#6" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm.sg2:mx0}
+load inst "mux#7" "mux(2,30)" "INTERFACE" -attr xrf 34027 -attr oid 1191 -attr vt d -attr @path {/sobel/sobel:core/mux#7} -attr area 27.583690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(30,1,2)"
+load net {regs.regs(2).lpi#1.dfm#1(0)} -pin "mux#7" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(1)} -pin "mux#7" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(2)} -pin "mux#7" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(3)} -pin "mux#7" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(4)} -pin "mux#7" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(5)} -pin "mux#7" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(6)} -pin "mux#7" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(7)} -pin "mux#7" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(8)} -pin "mux#7" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(9)} -pin "mux#7" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(10)} -pin "mux#7" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(11)} -pin "mux#7" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(12)} -pin "mux#7" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(13)} -pin "mux#7" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(14)} -pin "mux#7" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(15)} -pin "mux#7" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(16)} -pin "mux#7" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(17)} -pin "mux#7" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(18)} -pin "mux#7" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(19)} -pin "mux#7" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(20)} -pin "mux#7" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(21)} -pin "mux#7" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(22)} -pin "mux#7" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(23)} -pin "mux#7" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(24)} -pin "mux#7" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(25)} -pin "mux#7" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(26)} -pin "mux#7" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(27)} -pin "mux#7" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(28)} -pin "mux#7" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(2).lpi#1.dfm#1(29)} -pin "mux#7" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1}
+load net {regs.regs(1).sva(0)} -pin "mux#7" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(1)} -pin "mux#7" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(2)} -pin "mux#7" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(3)} -pin "mux#7" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(4)} -pin "mux#7" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(5)} -pin "mux#7" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(6)} -pin "mux#7" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(7)} -pin "mux#7" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(8)} -pin "mux#7" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(9)} -pin "mux#7" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(10)} -pin "mux#7" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(11)} -pin "mux#7" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(12)} -pin "mux#7" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(13)} -pin "mux#7" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(14)} -pin "mux#7" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(15)} -pin "mux#7" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(16)} -pin "mux#7" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(17)} -pin "mux#7" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(18)} -pin "mux#7" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(19)} -pin "mux#7" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(20)} -pin "mux#7" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(21)} -pin "mux#7" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(22)} -pin "mux#7" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(23)} -pin "mux#7" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(24)} -pin "mux#7" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(25)} -pin "mux#7" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(26)} -pin "mux#7" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(27)} -pin "mux#7" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(28)} -pin "mux#7" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(29)} -pin "mux#7" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {and.cse} -pin "mux#7" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(0)} -pin "mux#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(1)} -pin "mux#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(2)} -pin "mux#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(3)} -pin "mux#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(4)} -pin "mux#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(5)} -pin "mux#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(6)} -pin "mux#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(7)} -pin "mux#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(8)} -pin "mux#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(9)} -pin "mux#7" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(10)} -pin "mux#7" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(11)} -pin "mux#7" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(12)} -pin "mux#7" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(13)} -pin "mux#7" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(14)} -pin "mux#7" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(15)} -pin "mux#7" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(16)} -pin "mux#7" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(17)} -pin "mux#7" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(18)} -pin "mux#7" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(19)} -pin "mux#7" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(20)} -pin "mux#7" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(21)} -pin "mux#7" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(22)} -pin "mux#7" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(23)} -pin "mux#7" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(24)} -pin "mux#7" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(25)} -pin "mux#7" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(26)} -pin "mux#7" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(27)} -pin "mux#7" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(28)} -pin "mux#7" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load net {regs.regs(2).lpi#1.dfm#1:mx0(29)} -pin "mux#7" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm#1:mx0}
+load inst "mux#8" "mux(2,90)" "INTERFACE" -attr xrf 34028 -attr oid 1192 -attr vt d -attr @path {/sobel/sobel:core/mux#8} -attr area 82.749070 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(90,1,2)"
+load net {regs.regs(1).sva(0)} -pin "mux#8" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(1)} -pin "mux#8" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(2)} -pin "mux#8" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(3)} -pin "mux#8" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(4)} -pin "mux#8" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(5)} -pin "mux#8" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(6)} -pin "mux#8" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(7)} -pin "mux#8" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(8)} -pin "mux#8" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(9)} -pin "mux#8" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(10)} -pin "mux#8" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(11)} -pin "mux#8" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(12)} -pin "mux#8" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(13)} -pin "mux#8" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(14)} -pin "mux#8" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(15)} -pin "mux#8" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(16)} -pin "mux#8" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(17)} -pin "mux#8" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(18)} -pin "mux#8" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(19)} -pin "mux#8" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(20)} -pin "mux#8" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(21)} -pin "mux#8" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(22)} -pin "mux#8" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(23)} -pin "mux#8" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(24)} -pin "mux#8" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(25)} -pin "mux#8" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(26)} -pin "mux#8" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(27)} -pin "mux#8" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(28)} -pin "mux#8" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(29)} -pin "mux#8" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(30)} -pin "mux#8" {A0(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(31)} -pin "mux#8" {A0(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(32)} -pin "mux#8" {A0(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(33)} -pin "mux#8" {A0(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(34)} -pin "mux#8" {A0(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(35)} -pin "mux#8" {A0(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(36)} -pin "mux#8" {A0(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(37)} -pin "mux#8" {A0(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(38)} -pin "mux#8" {A0(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(39)} -pin "mux#8" {A0(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(40)} -pin "mux#8" {A0(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(41)} -pin "mux#8" {A0(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(42)} -pin "mux#8" {A0(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(43)} -pin "mux#8" {A0(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(44)} -pin "mux#8" {A0(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(45)} -pin "mux#8" {A0(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(46)} -pin "mux#8" {A0(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(47)} -pin "mux#8" {A0(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(48)} -pin "mux#8" {A0(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(49)} -pin "mux#8" {A0(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(50)} -pin "mux#8" {A0(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(51)} -pin "mux#8" {A0(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(52)} -pin "mux#8" {A0(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(53)} -pin "mux#8" {A0(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(54)} -pin "mux#8" {A0(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(55)} -pin "mux#8" {A0(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(56)} -pin "mux#8" {A0(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(57)} -pin "mux#8" {A0(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(58)} -pin "mux#8" {A0(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(59)} -pin "mux#8" {A0(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(60)} -pin "mux#8" {A0(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(61)} -pin "mux#8" {A0(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(62)} -pin "mux#8" {A0(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(63)} -pin "mux#8" {A0(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(64)} -pin "mux#8" {A0(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(65)} -pin "mux#8" {A0(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(66)} -pin "mux#8" {A0(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(67)} -pin "mux#8" {A0(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(68)} -pin "mux#8" {A0(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(69)} -pin "mux#8" {A0(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(70)} -pin "mux#8" {A0(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(71)} -pin "mux#8" {A0(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(72)} -pin "mux#8" {A0(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(73)} -pin "mux#8" {A0(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(74)} -pin "mux#8" {A0(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(75)} -pin "mux#8" {A0(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(76)} -pin "mux#8" {A0(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(77)} -pin "mux#8" {A0(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(78)} -pin "mux#8" {A0(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(79)} -pin "mux#8" {A0(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(80)} -pin "mux#8" {A0(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(81)} -pin "mux#8" {A0(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(82)} -pin "mux#8" {A0(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(83)} -pin "mux#8" {A0(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(84)} -pin "mux#8" {A0(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(85)} -pin "mux#8" {A0(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(86)} -pin "mux#8" {A0(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(87)} -pin "mux#8" {A0(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(88)} -pin "mux#8" {A0(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(89)} -pin "mux#8" {A0(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(0).sva(0)} -pin "mux#8" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(1)} -pin "mux#8" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(2)} -pin "mux#8" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(3)} -pin "mux#8" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(4)} -pin "mux#8" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(5)} -pin "mux#8" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(6)} -pin "mux#8" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(7)} -pin "mux#8" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(8)} -pin "mux#8" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(9)} -pin "mux#8" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(10)} -pin "mux#8" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(11)} -pin "mux#8" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(12)} -pin "mux#8" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(13)} -pin "mux#8" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(14)} -pin "mux#8" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(15)} -pin "mux#8" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(16)} -pin "mux#8" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(17)} -pin "mux#8" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(18)} -pin "mux#8" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(19)} -pin "mux#8" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(20)} -pin "mux#8" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(21)} -pin "mux#8" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(22)} -pin "mux#8" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(23)} -pin "mux#8" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(24)} -pin "mux#8" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(25)} -pin "mux#8" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(26)} -pin "mux#8" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(27)} -pin "mux#8" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(28)} -pin "mux#8" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(29)} -pin "mux#8" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(30)} -pin "mux#8" {A1(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(31)} -pin "mux#8" {A1(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(32)} -pin "mux#8" {A1(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(33)} -pin "mux#8" {A1(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(34)} -pin "mux#8" {A1(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(35)} -pin "mux#8" {A1(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(36)} -pin "mux#8" {A1(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(37)} -pin "mux#8" {A1(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(38)} -pin "mux#8" {A1(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(39)} -pin "mux#8" {A1(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(40)} -pin "mux#8" {A1(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(41)} -pin "mux#8" {A1(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(42)} -pin "mux#8" {A1(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(43)} -pin "mux#8" {A1(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(44)} -pin "mux#8" {A1(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(45)} -pin "mux#8" {A1(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(46)} -pin "mux#8" {A1(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(47)} -pin "mux#8" {A1(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(48)} -pin "mux#8" {A1(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(49)} -pin "mux#8" {A1(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(50)} -pin "mux#8" {A1(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(51)} -pin "mux#8" {A1(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(52)} -pin "mux#8" {A1(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(53)} -pin "mux#8" {A1(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(54)} -pin "mux#8" {A1(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(55)} -pin "mux#8" {A1(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(56)} -pin "mux#8" {A1(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(57)} -pin "mux#8" {A1(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(58)} -pin "mux#8" {A1(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(59)} -pin "mux#8" {A1(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(60)} -pin "mux#8" {A1(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(61)} -pin "mux#8" {A1(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(62)} -pin "mux#8" {A1(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(63)} -pin "mux#8" {A1(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(64)} -pin "mux#8" {A1(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(65)} -pin "mux#8" {A1(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(66)} -pin "mux#8" {A1(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(67)} -pin "mux#8" {A1(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(68)} -pin "mux#8" {A1(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(69)} -pin "mux#8" {A1(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(70)} -pin "mux#8" {A1(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(71)} -pin "mux#8" {A1(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(72)} -pin "mux#8" {A1(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(73)} -pin "mux#8" {A1(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(74)} -pin "mux#8" {A1(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(75)} -pin "mux#8" {A1(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(76)} -pin "mux#8" {A1(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(77)} -pin "mux#8" {A1(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(78)} -pin "mux#8" {A1(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(79)} -pin "mux#8" {A1(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(80)} -pin "mux#8" {A1(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(81)} -pin "mux#8" {A1(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(82)} -pin "mux#8" {A1(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(83)} -pin "mux#8" {A1(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(84)} -pin "mux#8" {A1(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(85)} -pin "mux#8" {A1(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(86)} -pin "mux#8" {A1(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(87)} -pin "mux#8" {A1(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(88)} -pin "mux#8" {A1(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(89)} -pin "mux#8" {A1(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {and.cse} -pin "mux#8" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {regs.regs(1).sva.dfm:mx0(0)} -pin "mux#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(1)} -pin "mux#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(2)} -pin "mux#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(3)} -pin "mux#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(4)} -pin "mux#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(5)} -pin "mux#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(6)} -pin "mux#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(7)} -pin "mux#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(8)} -pin "mux#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(9)} -pin "mux#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(10)} -pin "mux#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(11)} -pin "mux#8" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(12)} -pin "mux#8" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(13)} -pin "mux#8" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(14)} -pin "mux#8" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(15)} -pin "mux#8" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(16)} -pin "mux#8" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(17)} -pin "mux#8" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(18)} -pin "mux#8" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(19)} -pin "mux#8" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(20)} -pin "mux#8" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(21)} -pin "mux#8" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(22)} -pin "mux#8" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(23)} -pin "mux#8" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(24)} -pin "mux#8" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(25)} -pin "mux#8" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(26)} -pin "mux#8" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(27)} -pin "mux#8" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(28)} -pin "mux#8" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(29)} -pin "mux#8" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(30)} -pin "mux#8" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(31)} -pin "mux#8" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(32)} -pin "mux#8" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(33)} -pin "mux#8" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(34)} -pin "mux#8" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(35)} -pin "mux#8" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(36)} -pin "mux#8" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(37)} -pin "mux#8" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(38)} -pin "mux#8" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(39)} -pin "mux#8" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(40)} -pin "mux#8" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(41)} -pin "mux#8" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(42)} -pin "mux#8" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(43)} -pin "mux#8" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(44)} -pin "mux#8" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(45)} -pin "mux#8" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(46)} -pin "mux#8" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(47)} -pin "mux#8" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(48)} -pin "mux#8" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(49)} -pin "mux#8" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(50)} -pin "mux#8" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(51)} -pin "mux#8" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(52)} -pin "mux#8" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(53)} -pin "mux#8" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(54)} -pin "mux#8" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(55)} -pin "mux#8" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(56)} -pin "mux#8" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(57)} -pin "mux#8" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(58)} -pin "mux#8" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(59)} -pin "mux#8" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(60)} -pin "mux#8" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(61)} -pin "mux#8" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(62)} -pin "mux#8" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(63)} -pin "mux#8" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(64)} -pin "mux#8" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(65)} -pin "mux#8" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(66)} -pin "mux#8" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(67)} -pin "mux#8" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(68)} -pin "mux#8" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(69)} -pin "mux#8" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(70)} -pin "mux#8" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(71)} -pin "mux#8" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(72)} -pin "mux#8" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(73)} -pin "mux#8" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(74)} -pin "mux#8" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(75)} -pin "mux#8" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(76)} -pin "mux#8" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(77)} -pin "mux#8" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(78)} -pin "mux#8" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(79)} -pin "mux#8" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(80)} -pin "mux#8" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(81)} -pin "mux#8" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(82)} -pin "mux#8" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(83)} -pin "mux#8" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(84)} -pin "mux#8" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(85)} -pin "mux#8" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(86)} -pin "mux#8" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(87)} -pin "mux#8" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(88)} -pin "mux#8" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(89)} -pin "mux#8" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load inst "mux#9" "mux(2,90)" "INTERFACE" -attr xrf 34029 -attr oid 1193 -attr vt d -attr @path {/sobel/sobel:core/mux#9} -attr area 82.749070 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(90,1,2)"
+load net {regs.regs(0).sva(0)} -pin "mux#9" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(1)} -pin "mux#9" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(2)} -pin "mux#9" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(3)} -pin "mux#9" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(4)} -pin "mux#9" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(5)} -pin "mux#9" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(6)} -pin "mux#9" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(7)} -pin "mux#9" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(8)} -pin "mux#9" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(9)} -pin "mux#9" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(10)} -pin "mux#9" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(11)} -pin "mux#9" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(12)} -pin "mux#9" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(13)} -pin "mux#9" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(14)} -pin "mux#9" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(15)} -pin "mux#9" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(16)} -pin "mux#9" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(17)} -pin "mux#9" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(18)} -pin "mux#9" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(19)} -pin "mux#9" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(20)} -pin "mux#9" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(21)} -pin "mux#9" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(22)} -pin "mux#9" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(23)} -pin "mux#9" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(24)} -pin "mux#9" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(25)} -pin "mux#9" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(26)} -pin "mux#9" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(27)} -pin "mux#9" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(28)} -pin "mux#9" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(29)} -pin "mux#9" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(30)} -pin "mux#9" {A0(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(31)} -pin "mux#9" {A0(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(32)} -pin "mux#9" {A0(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(33)} -pin "mux#9" {A0(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(34)} -pin "mux#9" {A0(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(35)} -pin "mux#9" {A0(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(36)} -pin "mux#9" {A0(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(37)} -pin "mux#9" {A0(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(38)} -pin "mux#9" {A0(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(39)} -pin "mux#9" {A0(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(40)} -pin "mux#9" {A0(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(41)} -pin "mux#9" {A0(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(42)} -pin "mux#9" {A0(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(43)} -pin "mux#9" {A0(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(44)} -pin "mux#9" {A0(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(45)} -pin "mux#9" {A0(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(46)} -pin "mux#9" {A0(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(47)} -pin "mux#9" {A0(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(48)} -pin "mux#9" {A0(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(49)} -pin "mux#9" {A0(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(50)} -pin "mux#9" {A0(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(51)} -pin "mux#9" {A0(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(52)} -pin "mux#9" {A0(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(53)} -pin "mux#9" {A0(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(54)} -pin "mux#9" {A0(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(55)} -pin "mux#9" {A0(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(56)} -pin "mux#9" {A0(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(57)} -pin "mux#9" {A0(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(58)} -pin "mux#9" {A0(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(59)} -pin "mux#9" {A0(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(60)} -pin "mux#9" {A0(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(61)} -pin "mux#9" {A0(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(62)} -pin "mux#9" {A0(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(63)} -pin "mux#9" {A0(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(64)} -pin "mux#9" {A0(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(65)} -pin "mux#9" {A0(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(66)} -pin "mux#9" {A0(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(67)} -pin "mux#9" {A0(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(68)} -pin "mux#9" {A0(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(69)} -pin "mux#9" {A0(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(70)} -pin "mux#9" {A0(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(71)} -pin "mux#9" {A0(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(72)} -pin "mux#9" {A0(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(73)} -pin "mux#9" {A0(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(74)} -pin "mux#9" {A0(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(75)} -pin "mux#9" {A0(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(76)} -pin "mux#9" {A0(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(77)} -pin "mux#9" {A0(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(78)} -pin "mux#9" {A0(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(79)} -pin "mux#9" {A0(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(80)} -pin "mux#9" {A0(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(81)} -pin "mux#9" {A0(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(82)} -pin "mux#9" {A0(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(83)} -pin "mux#9" {A0(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(84)} -pin "mux#9" {A0(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(85)} -pin "mux#9" {A0(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(86)} -pin "mux#9" {A0(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(87)} -pin "mux#9" {A0(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(88)} -pin "mux#9" {A0(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(89)} -pin "mux#9" {A0(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {vin:rsc:mgc_in_wire.d(0)} -pin "mux#9" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(1)} -pin "mux#9" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(2)} -pin "mux#9" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(3)} -pin "mux#9" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(4)} -pin "mux#9" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(5)} -pin "mux#9" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(6)} -pin "mux#9" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(7)} -pin "mux#9" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(8)} -pin "mux#9" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(9)} -pin "mux#9" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(10)} -pin "mux#9" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(11)} -pin "mux#9" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(12)} -pin "mux#9" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(13)} -pin "mux#9" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(14)} -pin "mux#9" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(15)} -pin "mux#9" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(16)} -pin "mux#9" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(17)} -pin "mux#9" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(18)} -pin "mux#9" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(19)} -pin "mux#9" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(20)} -pin "mux#9" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(21)} -pin "mux#9" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(22)} -pin "mux#9" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(23)} -pin "mux#9" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(24)} -pin "mux#9" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(25)} -pin "mux#9" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(26)} -pin "mux#9" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(27)} -pin "mux#9" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(28)} -pin "mux#9" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(29)} -pin "mux#9" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(30)} -pin "mux#9" {A1(30)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(31)} -pin "mux#9" {A1(31)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(32)} -pin "mux#9" {A1(32)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(33)} -pin "mux#9" {A1(33)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(34)} -pin "mux#9" {A1(34)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(35)} -pin "mux#9" {A1(35)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(36)} -pin "mux#9" {A1(36)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(37)} -pin "mux#9" {A1(37)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(38)} -pin "mux#9" {A1(38)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(39)} -pin "mux#9" {A1(39)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(40)} -pin "mux#9" {A1(40)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(41)} -pin "mux#9" {A1(41)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(42)} -pin "mux#9" {A1(42)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(43)} -pin "mux#9" {A1(43)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(44)} -pin "mux#9" {A1(44)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(45)} -pin "mux#9" {A1(45)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(46)} -pin "mux#9" {A1(46)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(47)} -pin "mux#9" {A1(47)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(48)} -pin "mux#9" {A1(48)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(49)} -pin "mux#9" {A1(49)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(50)} -pin "mux#9" {A1(50)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(51)} -pin "mux#9" {A1(51)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(52)} -pin "mux#9" {A1(52)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(53)} -pin "mux#9" {A1(53)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(54)} -pin "mux#9" {A1(54)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(55)} -pin "mux#9" {A1(55)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(56)} -pin "mux#9" {A1(56)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(57)} -pin "mux#9" {A1(57)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(58)} -pin "mux#9" {A1(58)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(59)} -pin "mux#9" {A1(59)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(60)} -pin "mux#9" {A1(60)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(61)} -pin "mux#9" {A1(61)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(62)} -pin "mux#9" {A1(62)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(63)} -pin "mux#9" {A1(63)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(64)} -pin "mux#9" {A1(64)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(65)} -pin "mux#9" {A1(65)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(66)} -pin "mux#9" {A1(66)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(67)} -pin "mux#9" {A1(67)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(68)} -pin "mux#9" {A1(68)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(69)} -pin "mux#9" {A1(69)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(70)} -pin "mux#9" {A1(70)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(71)} -pin "mux#9" {A1(71)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(72)} -pin "mux#9" {A1(72)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(73)} -pin "mux#9" {A1(73)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(74)} -pin "mux#9" {A1(74)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(75)} -pin "mux#9" {A1(75)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(76)} -pin "mux#9" {A1(76)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(77)} -pin "mux#9" {A1(77)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(78)} -pin "mux#9" {A1(78)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(79)} -pin "mux#9" {A1(79)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(80)} -pin "mux#9" {A1(80)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(81)} -pin "mux#9" {A1(81)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(82)} -pin "mux#9" {A1(82)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(83)} -pin "mux#9" {A1(83)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(84)} -pin "mux#9" {A1(84)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(85)} -pin "mux#9" {A1(85)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(86)} -pin "mux#9" {A1(86)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(87)} -pin "mux#9" {A1(87)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(88)} -pin "mux#9" {A1(88)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(89)} -pin "mux#9" {A1(89)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {and.cse} -pin "mux#9" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {regs.regs(0).sva.dfm:mx0(0)} -pin "mux#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(1)} -pin "mux#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(2)} -pin "mux#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(3)} -pin "mux#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(4)} -pin "mux#9" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(5)} -pin "mux#9" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(6)} -pin "mux#9" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(7)} -pin "mux#9" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(8)} -pin "mux#9" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(9)} -pin "mux#9" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(10)} -pin "mux#9" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(11)} -pin "mux#9" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(12)} -pin "mux#9" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(13)} -pin "mux#9" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(14)} -pin "mux#9" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(15)} -pin "mux#9" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(16)} -pin "mux#9" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(17)} -pin "mux#9" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(18)} -pin "mux#9" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(19)} -pin "mux#9" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(20)} -pin "mux#9" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(21)} -pin "mux#9" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(22)} -pin "mux#9" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(23)} -pin "mux#9" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(24)} -pin "mux#9" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(25)} -pin "mux#9" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(26)} -pin "mux#9" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(27)} -pin "mux#9" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(28)} -pin "mux#9" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(29)} -pin "mux#9" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(30)} -pin "mux#9" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(31)} -pin "mux#9" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(32)} -pin "mux#9" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(33)} -pin "mux#9" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(34)} -pin "mux#9" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(35)} -pin "mux#9" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(36)} -pin "mux#9" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(37)} -pin "mux#9" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(38)} -pin "mux#9" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(39)} -pin "mux#9" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(40)} -pin "mux#9" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(41)} -pin "mux#9" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(42)} -pin "mux#9" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(43)} -pin "mux#9" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(44)} -pin "mux#9" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(45)} -pin "mux#9" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(46)} -pin "mux#9" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(47)} -pin "mux#9" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(48)} -pin "mux#9" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(49)} -pin "mux#9" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(50)} -pin "mux#9" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(51)} -pin "mux#9" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(52)} -pin "mux#9" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(53)} -pin "mux#9" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(54)} -pin "mux#9" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(55)} -pin "mux#9" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(56)} -pin "mux#9" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(57)} -pin "mux#9" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(58)} -pin "mux#9" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(59)} -pin "mux#9" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(60)} -pin "mux#9" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(61)} -pin "mux#9" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(62)} -pin "mux#9" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(63)} -pin "mux#9" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(64)} -pin "mux#9" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(65)} -pin "mux#9" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(66)} -pin "mux#9" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(67)} -pin "mux#9" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(68)} -pin "mux#9" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(69)} -pin "mux#9" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(70)} -pin "mux#9" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(71)} -pin "mux#9" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(72)} -pin "mux#9" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(73)} -pin "mux#9" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(74)} -pin "mux#9" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(75)} -pin "mux#9" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(76)} -pin "mux#9" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(77)} -pin "mux#9" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(78)} -pin "mux#9" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(79)} -pin "mux#9" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(80)} -pin "mux#9" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(81)} -pin "mux#9" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(82)} -pin "mux#9" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(83)} -pin "mux#9" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(84)} -pin "mux#9" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(85)} -pin "mux#9" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(86)} -pin "mux#9" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(87)} -pin "mux#9" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(88)} -pin "mux#9" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(89)} -pin "mux#9" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load inst "ACC1-3:not#57" "not(1)" "INTERFACE" -attr xrf 34030 -attr oid 1194 -attr @path {/sobel/sobel:core/ACC1-3:not#57} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#178.itm(2)} -pin "ACC1-3:not#57" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#1.itm}
+load net {ACC1-3:not#57.itm} -pin "ACC1-3:not#57" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#57.itm}
+load inst "ACC1-3:not#58" "not(1)" "INTERFACE" -attr xrf 34031 -attr oid 1195 -attr @path {/sobel/sobel:core/ACC1-3:not#58} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#178.itm(3)} -pin "ACC1-3:not#58" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#2.itm}
+load net {ACC1-3:not#58.itm} -pin "ACC1-3:not#58" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#58.itm}
+load inst "ACC1:acc#179" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 34032 -attr oid 1196 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#179" {A(0)} -attr @path {/sobel/sobel:core/conc#683.itm}
+load net {ACC1:acc#178.itm(1)} -pin "ACC1:acc#179" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#683.itm}
+load net {PWR} -pin "ACC1:acc#179" {A(2)} -attr @path {/sobel/sobel:core/conc#683.itm}
+load net {ACC1-3:not#58.itm} -pin "ACC1:acc#179" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#531.itm}
+load net {ACC1-3:not#57.itm} -pin "ACC1:acc#179" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#531.itm}
+load net {ACC1:acc#179.itm(0)} -pin "ACC1:acc#179" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
+load net {ACC1:acc#179.itm(1)} -pin "ACC1:acc#179" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
+load net {ACC1:acc#179.itm(2)} -pin "ACC1:acc#179" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
+load inst "mux#10" "mux(2,2)" "INTERFACE" -attr xrf 34033 -attr oid 1197 -attr vt d -attr @path {/sobel/sobel:core/mux#10} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {acc.imod#7.lpi#1.dfm(0)} -pin "mux#10" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm}
+load net {acc.imod#7.lpi#1.dfm(1)} -pin "mux#10" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm}
+load net {ACC1:acc#179.itm(1)} -pin "mux#10" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#45.itm}
+load net {ACC1:acc#179.itm(2)} -pin "mux#10" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#45.itm}
+load net {and.cse} -pin "mux#10" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {acc.imod#7.lpi#1.dfm:mx0(0)} -pin "mux#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm:mx0}
+load net {acc.imod#7.lpi#1.dfm:mx0(1)} -pin "mux#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.lpi#1.dfm:mx0}
+load inst "mux#11" "mux(2,2)" "INTERFACE" -attr xrf 34034 -attr oid 1198 -attr vt d -attr @path {/sobel/sobel:core/mux#11} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {acc.imod#6.lpi#1.dfm.sg1(0)} -pin "mux#11" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1}
+load net {acc.imod#6.lpi#1.dfm.sg1(1)} -pin "mux#11" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1}
+load net {ACC1:acc#178.itm(2)} -pin "mux#11" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#3.itm}
+load net {ACC1:acc#178.itm(3)} -pin "mux#11" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#3.itm}
+load net {and.cse} -pin "mux#11" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(0)} -pin "mux#11" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1:mx0}
+load net {acc.imod#6.lpi#1.dfm.sg1:mx0(1)} -pin "mux#11" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#6.lpi#1.dfm.sg1:mx0}
+load inst "ACC1-1:not#147" "not(2)" "INTERFACE" -attr xrf 34035 -attr oid 1199 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#147} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#118.psp#1.sva(1)} -pin "ACC1-1:not#147" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp#1.sva).itm}
+load net {ACC1:acc#118.psp#1.sva(2)} -pin "ACC1-1:not#147" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp#1.sva).itm}
+load net {ACC1-1:not#147.itm(0)} -pin "ACC1-1:not#147" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#147.itm}
+load net {ACC1-1:not#147.itm(1)} -pin "ACC1-1:not#147" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#147.itm}
+load inst "ACC1:acc#150" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 34036 -attr oid 1200 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#150" {A(0)} -attr @path {/sobel/sobel:core/conc#684.itm}
+load net {ACC1-1:not#147.itm(0)} -pin "ACC1:acc#150" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#684.itm}
+load net {ACC1-1:not#147.itm(1)} -pin "ACC1:acc#150" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#684.itm}
+load net {PWR} -pin "ACC1:acc#150" {B(0)} -attr @path {/sobel/sobel:core/conc#685.itm}
+load net {ACC1:acc#118.psp#1.sva(0)} -pin "ACC1:acc#150" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#685.itm}
+load net {ACC1:acc#150.itm(0)} -pin "ACC1:acc#150" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
+load net {ACC1:acc#150.itm(1)} -pin "ACC1:acc#150" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
+load net {ACC1:acc#150.itm(2)} -pin "ACC1:acc#150" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
+load net {ACC1:acc#150.itm(3)} -pin "ACC1:acc#150" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
+load inst "ACC1-1:not#137" "not(1)" "INTERFACE" -attr xrf 34037 -attr oid 1201 -attr @path {/sobel/sobel:core/ACC1-1:not#137} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#148.itm(2)} -pin "ACC1-1:not#137" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.sva)#2.itm}
+load net {ACC1-1:not#137.itm} -pin "ACC1-1:not#137" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#137.itm}
+load inst "ACC1:acc#149" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34038 -attr oid 1202 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#149" {A(0)} -attr @path {/sobel/sobel:core/conc#686.itm}
+load net {ACC1:acc#148.itm(1)} -pin "ACC1:acc#149" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#686.itm}
+load net {ACC1:acc#148.itm(3)} -pin "ACC1:acc#149" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#472.itm}
+load net {ACC1-1:not#137.itm} -pin "ACC1:acc#149" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#472.itm}
+load net {ACC1:acc#149.itm(0)} -pin "ACC1:acc#149" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
+load net {ACC1:acc#149.itm(1)} -pin "ACC1:acc#149" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
+load net {ACC1:acc#149.itm(2)} -pin "ACC1:acc#149" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
+load inst "ACC1-1:not#154" "not(1)" "INTERFACE" -attr xrf 34039 -attr oid 1203 -attr @path {/sobel/sobel:core/ACC1-1:not#154} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#148.itm(4)} -pin "ACC1-1:not#154" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.sva)#4.itm}
+load net {ACC1-1:not#154.itm} -pin "ACC1-1:not#154" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#154.itm}
+load inst "ACC1-1:acc#118" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 34040 -attr oid 1204 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#118} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#149.itm(1)} -pin "ACC1-1:acc#118" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#19.itm}
+load net {ACC1:acc#149.itm(2)} -pin "ACC1-1:acc#118" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#19.itm}
+load net {ACC1-1:not#154.itm} -pin "ACC1-1:acc#118" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#154.itm}
+load net {ACC1:acc#118.psp#1.sva(0)} -pin "ACC1-1:acc#118" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.sva}
+load net {ACC1:acc#118.psp#1.sva(1)} -pin "ACC1-1:acc#118" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.sva}
+load net {ACC1:acc#118.psp#1.sva(2)} -pin "ACC1-1:acc#118" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.sva}
+load inst "ACC1-1:not#115" "not(1)" "INTERFACE" -attr xrf 34041 -attr oid 1205 -attr @path {/sobel/sobel:core/ACC1-1:not#115} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp#1.sva(0)} -pin "ACC1-1:not#115" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva).itm}
+load net {ACC1-1:not#115.itm} -pin "ACC1-1:not#115" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#115.itm}
+load inst "ACC1-1:not#116" "not(1)" "INTERFACE" -attr xrf 34042 -attr oid 1206 -attr @path {/sobel/sobel:core/ACC1-1:not#116} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp#1.sva(2)} -pin "ACC1-1:not#116" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#2.itm}
+load net {ACC1-1:not#116.itm} -pin "ACC1-1:not#116" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#116.itm}
+load inst "ACC1-1:not#118" "not(1)" "INTERFACE" -attr xrf 34043 -attr oid 1207 -attr @path {/sobel/sobel:core/ACC1-1:not#118} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp#1.sva(6)} -pin "ACC1-1:not#118" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#3.itm}
+load net {ACC1-1:not#118.itm} -pin "ACC1-1:not#118" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#118.itm}
+load inst "ACC1:acc#145" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34044 -attr oid 1208 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#145" {A(0)} -attr @path {/sobel/sobel:core/conc#689.itm}
+load net {ACC1:acc#125.psp#1.sva(1)} -pin "ACC1:acc#145" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#689.itm}
+load net {ACC1-1:not#118.itm} -pin "ACC1:acc#145" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#464.itm}
+load net {ACC1-1:not#116.itm} -pin "ACC1:acc#145" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#464.itm}
+load net {ACC1:acc#145.itm(0)} -pin "ACC1:acc#145" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
+load net {ACC1:acc#145.itm(1)} -pin "ACC1:acc#145" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
+load net {ACC1:acc#145.itm(2)} -pin "ACC1:acc#145" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
+load inst "ACC1-1:not#119" "not(1)" "INTERFACE" -attr xrf 34045 -attr oid 1209 -attr @path {/sobel/sobel:core/ACC1-1:not#119} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp#1.sva(8)} -pin "ACC1-1:not#119" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#4.itm}
+load net {ACC1-1:not#119.itm} -pin "ACC1-1:not#119" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#119.itm}
+load inst "ACC1:acc#147" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 34046 -attr oid 1210 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#147" {A(0)} -attr @path {/sobel/sobel:core/conc#688.itm}
+load net {ACC1-1:not#115.itm} -pin "ACC1:acc#147" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#688.itm}
+load net {GND} -pin "ACC1:acc#147" {A(2)} -attr @path {/sobel/sobel:core/conc#688.itm}
+load net {PWR} -pin "ACC1:acc#147" {A(3)} -attr @path {/sobel/sobel:core/conc#688.itm}
+load net {ACC1-1:not#119.itm} -pin "ACC1:acc#147" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#468.itm}
+load net {ACC1:acc#145.itm(1)} -pin "ACC1:acc#147" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#468.itm}
+load net {ACC1:acc#145.itm(2)} -pin "ACC1:acc#147" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#468.itm}
+load net {ACC1:acc#147.itm(0)} -pin "ACC1:acc#147" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
+load net {ACC1:acc#147.itm(1)} -pin "ACC1:acc#147" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
+load net {ACC1:acc#147.itm(2)} -pin "ACC1:acc#147" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
+load net {ACC1:acc#147.itm(3)} -pin "ACC1:acc#147" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
+load inst "ACC1-1:not#117" "not(1)" "INTERFACE" -attr xrf 34047 -attr oid 1211 -attr @path {/sobel/sobel:core/ACC1-1:not#117} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp#1.sva(4)} -pin "ACC1-1:not#117" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#6.itm}
+load net {ACC1-1:not#117.itm} -pin "ACC1-1:not#117" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#117.itm}
+load inst "ACC1:acc#144" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34048 -attr oid 1212 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#144" {A(0)} -attr @path {/sobel/sobel:core/conc#691.itm}
+load net {ACC1:acc#125.psp#1.sva(3)} -pin "ACC1:acc#144" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#691.itm}
+load net {ACC1:acc#125.psp#1.sva(5)} -pin "ACC1:acc#144" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#462.itm}
+load net {ACC1-1:not#117.itm} -pin "ACC1:acc#144" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#462.itm}
+load net {ACC1:acc#144.itm(0)} -pin "ACC1:acc#144" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
+load net {ACC1:acc#144.itm(1)} -pin "ACC1:acc#144" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
+load net {ACC1:acc#144.itm(2)} -pin "ACC1:acc#144" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
+load inst "ACC1-1:not#120" "not(2)" "INTERFACE" -attr xrf 34049 -attr oid 1213 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#120} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#125.psp#1.sva(10)} -pin "ACC1-1:not#120" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#8.itm}
+load net {ACC1:acc#125.psp#1.sva(11)} -pin "ACC1-1:not#120" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp#1.sva)#8.itm}
+load net {ACC1-1:not#120.itm(0)} -pin "ACC1-1:not#120" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#120.itm}
+load net {ACC1-1:not#120.itm(1)} -pin "ACC1-1:not#120" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#120.itm}
+load inst "ACC1:acc#146" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 34050 -attr oid 1214 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#146" {A(0)} -attr @path {/sobel/sobel:core/conc#690.itm}
+load net {ACC1:acc#144.itm(1)} -pin "ACC1:acc#146" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#690.itm}
+load net {ACC1:acc#144.itm(2)} -pin "ACC1:acc#146" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#690.itm}
+load net {ACC1:acc#125.psp#1.sva(7)} -pin "ACC1:acc#146" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#466.itm}
+load net {ACC1-1:not#120.itm(0)} -pin "ACC1:acc#146" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#466.itm}
+load net {ACC1-1:not#120.itm(1)} -pin "ACC1:acc#146" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#466.itm}
+load net {ACC1:acc#146.itm(0)} -pin "ACC1:acc#146" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
+load net {ACC1:acc#146.itm(1)} -pin "ACC1:acc#146" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
+load net {ACC1:acc#146.itm(2)} -pin "ACC1:acc#146" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
+load net {ACC1:acc#146.itm(3)} -pin "ACC1:acc#146" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
+load net {ACC1:acc#146.itm(4)} -pin "ACC1:acc#146" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
+load inst "ACC1:acc#148" "add(4,1,5,-1,5)" "INTERFACE" -attr xrf 34051 -attr oid 1215 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "ACC1:acc#148" {A(0)} -attr @path {/sobel/sobel:core/conc#687.itm}
+load net {ACC1:acc#147.itm(1)} -pin "ACC1:acc#148" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#687.itm}
+load net {ACC1:acc#147.itm(2)} -pin "ACC1:acc#148" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#687.itm}
+load net {ACC1:acc#147.itm(3)} -pin "ACC1:acc#148" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#687.itm}
+load net {ACC1:acc#125.psp#1.sva(9)} -pin "ACC1:acc#148" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#470.itm}
+load net {ACC1:acc#146.itm(1)} -pin "ACC1:acc#148" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#470.itm}
+load net {ACC1:acc#146.itm(2)} -pin "ACC1:acc#148" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#470.itm}
+load net {ACC1:acc#146.itm(3)} -pin "ACC1:acc#148" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#470.itm}
+load net {ACC1:acc#146.itm(4)} -pin "ACC1:acc#148" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#470.itm}
+load net {ACC1:acc#148.itm(0)} -pin "ACC1:acc#148" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
+load net {ACC1:acc#148.itm(1)} -pin "ACC1:acc#148" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
+load net {ACC1:acc#148.itm(2)} -pin "ACC1:acc#148" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
+load net {ACC1:acc#148.itm(3)} -pin "ACC1:acc#148" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
+load net {ACC1:acc#148.itm(4)} -pin "ACC1:acc#148" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
+load inst "ACC1:not#158" "not(10)" "INTERFACE" -attr xrf 34052 -attr oid 1216 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(30)} -pin "ACC1:not#158" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(31)} -pin "ACC1:not#158" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(32)} -pin "ACC1:not#158" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(33)} -pin "ACC1:not#158" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(34)} -pin "ACC1:not#158" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(35)} -pin "ACC1:not#158" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(36)} -pin "ACC1:not#158" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(37)} -pin "ACC1:not#158" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(38)} -pin "ACC1:not#158" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {vin:rsc:mgc_in_wire.d(39)} -pin "ACC1:not#158" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
+load net {ACC1:not#158.itm(0)} -pin "ACC1:not#158" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(1)} -pin "ACC1:not#158" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(2)} -pin "ACC1:not#158" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(3)} -pin "ACC1:not#158" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(4)} -pin "ACC1:not#158" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(5)} -pin "ACC1:not#158" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(6)} -pin "ACC1:not#158" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(7)} -pin "ACC1:not#158" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(8)} -pin "ACC1:not#158" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(9)} -pin "ACC1:not#158" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load inst "ACC1:not#159" "not(10)" "INTERFACE" -attr xrf 34053 -attr oid 1217 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(40)} -pin "ACC1:not#159" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(41)} -pin "ACC1:not#159" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(42)} -pin "ACC1:not#159" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(43)} -pin "ACC1:not#159" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(44)} -pin "ACC1:not#159" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(45)} -pin "ACC1:not#159" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(46)} -pin "ACC1:not#159" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(47)} -pin "ACC1:not#159" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(48)} -pin "ACC1:not#159" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {vin:rsc:mgc_in_wire.d(49)} -pin "ACC1:not#159" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
+load net {ACC1:not#159.itm(0)} -pin "ACC1:not#159" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(1)} -pin "ACC1:not#159" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(2)} -pin "ACC1:not#159" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(3)} -pin "ACC1:not#159" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(4)} -pin "ACC1:not#159" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(5)} -pin "ACC1:not#159" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(6)} -pin "ACC1:not#159" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(7)} -pin "ACC1:not#159" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(8)} -pin "ACC1:not#159" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(9)} -pin "ACC1:not#159" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load inst "ACC1:acc#143" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 34054 -attr oid 1218 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#158.itm(0)} -pin "ACC1:acc#143" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(1)} -pin "ACC1:acc#143" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(2)} -pin "ACC1:acc#143" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(3)} -pin "ACC1:acc#143" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(4)} -pin "ACC1:acc#143" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(5)} -pin "ACC1:acc#143" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(6)} -pin "ACC1:acc#143" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(7)} -pin "ACC1:acc#143" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(8)} -pin "ACC1:acc#143" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#158.itm(9)} -pin "ACC1:acc#143" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#158.itm}
+load net {ACC1:not#159.itm(0)} -pin "ACC1:acc#143" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(1)} -pin "ACC1:acc#143" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(2)} -pin "ACC1:acc#143" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(3)} -pin "ACC1:acc#143" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(4)} -pin "ACC1:acc#143" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(5)} -pin "ACC1:acc#143" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(6)} -pin "ACC1:acc#143" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(7)} -pin "ACC1:acc#143" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(8)} -pin "ACC1:acc#143" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:not#159.itm(9)} -pin "ACC1:acc#143" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#159.itm}
+load net {ACC1:acc#143.itm(0)} -pin "ACC1:acc#143" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(1)} -pin "ACC1:acc#143" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(2)} -pin "ACC1:acc#143" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(3)} -pin "ACC1:acc#143" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(4)} -pin "ACC1:acc#143" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(5)} -pin "ACC1:acc#143" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(6)} -pin "ACC1:acc#143" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(7)} -pin "ACC1:acc#143" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(8)} -pin "ACC1:acc#143" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(9)} -pin "ACC1:acc#143" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(10)} -pin "ACC1:acc#143" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load inst "ACC1:not#160" "not(10)" "INTERFACE" -attr xrf 34055 -attr oid 1219 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(50)} -pin "ACC1:not#160" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(51)} -pin "ACC1:not#160" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(52)} -pin "ACC1:not#160" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(53)} -pin "ACC1:not#160" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(54)} -pin "ACC1:not#160" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(55)} -pin "ACC1:not#160" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(56)} -pin "ACC1:not#160" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(57)} -pin "ACC1:not#160" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(58)} -pin "ACC1:not#160" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {vin:rsc:mgc_in_wire.d(59)} -pin "ACC1:not#160" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
+load net {ACC1:not#160.itm(0)} -pin "ACC1:not#160" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(1)} -pin "ACC1:not#160" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(2)} -pin "ACC1:not#160" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(3)} -pin "ACC1:not#160" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(4)} -pin "ACC1:not#160" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(5)} -pin "ACC1:not#160" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(6)} -pin "ACC1:not#160" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(7)} -pin "ACC1:not#160" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(8)} -pin "ACC1:not#160" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(9)} -pin "ACC1:not#160" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load inst "ACC1:acc#142" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 34056 -attr oid 1220 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#160.itm(0)} -pin "ACC1:acc#142" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(1)} -pin "ACC1:acc#142" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(2)} -pin "ACC1:acc#142" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(3)} -pin "ACC1:acc#142" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(4)} -pin "ACC1:acc#142" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(5)} -pin "ACC1:acc#142" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(6)} -pin "ACC1:acc#142" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(7)} -pin "ACC1:acc#142" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(8)} -pin "ACC1:acc#142" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {ACC1:not#160.itm(9)} -pin "ACC1:acc#142" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#160.itm}
+load net {PWR} -pin "ACC1:acc#142" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#142" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#142.itm(0)} -pin "ACC1:acc#142" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(1)} -pin "ACC1:acc#142" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(2)} -pin "ACC1:acc#142" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(3)} -pin "ACC1:acc#142" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(4)} -pin "ACC1:acc#142" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(5)} -pin "ACC1:acc#142" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(6)} -pin "ACC1:acc#142" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(7)} -pin "ACC1:acc#142" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(8)} -pin "ACC1:acc#142" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(9)} -pin "ACC1:acc#142" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(10)} -pin "ACC1:acc#142" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load inst "ACC1-1:acc#125" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 34057 -attr oid 1221 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#125} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#143.itm(0)} -pin "ACC1-1:acc#125" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(1)} -pin "ACC1-1:acc#125" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(2)} -pin "ACC1-1:acc#125" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(3)} -pin "ACC1-1:acc#125" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(4)} -pin "ACC1-1:acc#125" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(5)} -pin "ACC1-1:acc#125" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(6)} -pin "ACC1-1:acc#125" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(7)} -pin "ACC1-1:acc#125" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(8)} -pin "ACC1-1:acc#125" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(9)} -pin "ACC1-1:acc#125" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#143.itm(10)} -pin "ACC1-1:acc#125" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
+load net {ACC1:acc#142.itm(0)} -pin "ACC1-1:acc#125" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(1)} -pin "ACC1-1:acc#125" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(2)} -pin "ACC1-1:acc#125" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(3)} -pin "ACC1-1:acc#125" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(4)} -pin "ACC1-1:acc#125" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(5)} -pin "ACC1-1:acc#125" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(6)} -pin "ACC1-1:acc#125" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(7)} -pin "ACC1-1:acc#125" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(8)} -pin "ACC1-1:acc#125" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(9)} -pin "ACC1-1:acc#125" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#142.itm(10)} -pin "ACC1-1:acc#125" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
+load net {ACC1:acc#125.psp#1.sva(0)} -pin "ACC1-1:acc#125" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(1)} -pin "ACC1-1:acc#125" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(2)} -pin "ACC1-1:acc#125" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(3)} -pin "ACC1-1:acc#125" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(4)} -pin "ACC1-1:acc#125" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(5)} -pin "ACC1-1:acc#125" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(6)} -pin "ACC1-1:acc#125" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(7)} -pin "ACC1-1:acc#125" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(8)} -pin "ACC1-1:acc#125" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(9)} -pin "ACC1-1:acc#125" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(10)} -pin "ACC1-1:acc#125" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(11)} -pin "ACC1-1:acc#125" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load inst "ACC1-3:not#115" "not(1)" "INTERFACE" -attr xrf 34058 -attr oid 1222 -attr @path {/sobel/sobel:core/ACC1-3:not#115} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp.sva(0)} -pin "ACC1-3:not#115" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva).itm}
+load net {ACC1-3:not#115.itm} -pin "ACC1-3:not#115" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#115.itm}
+load inst "ACC1-3:not#116" "not(1)" "INTERFACE" -attr xrf 34059 -attr oid 1223 -attr @path {/sobel/sobel:core/ACC1-3:not#116} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp.sva(2)} -pin "ACC1-3:not#116" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#2.itm}
+load net {ACC1-3:not#116.itm} -pin "ACC1-3:not#116" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#116.itm}
+load inst "ACC1-3:not#118" "not(1)" "INTERFACE" -attr xrf 34060 -attr oid 1224 -attr @path {/sobel/sobel:core/ACC1-3:not#118} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp.sva(6)} -pin "ACC1-3:not#118" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#3.itm}
+load net {ACC1-3:not#118.itm} -pin "ACC1-3:not#118" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#118.itm}
+load inst "ACC1:acc#173" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34061 -attr oid 1225 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#173" {A(0)} -attr @path {/sobel/sobel:core/conc#694.itm}
+load net {ACC1:acc#125.psp.sva(1)} -pin "ACC1:acc#173" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#694.itm}
+load net {ACC1-3:not#118.itm} -pin "ACC1:acc#173" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#518.itm}
+load net {ACC1-3:not#116.itm} -pin "ACC1:acc#173" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#518.itm}
+load net {ACC1:acc#173.itm(0)} -pin "ACC1:acc#173" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
+load net {ACC1:acc#173.itm(1)} -pin "ACC1:acc#173" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
+load net {ACC1:acc#173.itm(2)} -pin "ACC1:acc#173" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
+load inst "ACC1-3:not#119" "not(1)" "INTERFACE" -attr xrf 34062 -attr oid 1226 -attr @path {/sobel/sobel:core/ACC1-3:not#119} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp.sva(8)} -pin "ACC1-3:not#119" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#4.itm}
+load net {ACC1-3:not#119.itm} -pin "ACC1-3:not#119" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#119.itm}
+load inst "ACC1:acc#175" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 34063 -attr oid 1227 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {PWR} -pin "ACC1:acc#175" {A(0)} -attr @path {/sobel/sobel:core/conc#693.itm}
+load net {ACC1-3:not#115.itm} -pin "ACC1:acc#175" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#693.itm}
+load net {GND} -pin "ACC1:acc#175" {A(2)} -attr @path {/sobel/sobel:core/conc#693.itm}
+load net {PWR} -pin "ACC1:acc#175" {A(3)} -attr @path {/sobel/sobel:core/conc#693.itm}
+load net {ACC1-3:not#119.itm} -pin "ACC1:acc#175" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#522.itm}
+load net {ACC1:acc#173.itm(1)} -pin "ACC1:acc#175" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#522.itm}
+load net {ACC1:acc#173.itm(2)} -pin "ACC1:acc#175" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#522.itm}
+load net {ACC1:acc#175.itm(0)} -pin "ACC1:acc#175" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
+load net {ACC1:acc#175.itm(1)} -pin "ACC1:acc#175" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
+load net {ACC1:acc#175.itm(2)} -pin "ACC1:acc#175" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
+load net {ACC1:acc#175.itm(3)} -pin "ACC1:acc#175" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
+load inst "ACC1-3:not#117" "not(1)" "INTERFACE" -attr xrf 34064 -attr oid 1228 -attr @path {/sobel/sobel:core/ACC1-3:not#117} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#125.psp.sva(4)} -pin "ACC1-3:not#117" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#6.itm}
+load net {ACC1-3:not#117.itm} -pin "ACC1-3:not#117" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#117.itm}
+load inst "ACC1:acc#172" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34065 -attr oid 1229 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#172" {A(0)} -attr @path {/sobel/sobel:core/conc#696.itm}
+load net {ACC1:acc#125.psp.sva(3)} -pin "ACC1:acc#172" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#696.itm}
+load net {ACC1:acc#125.psp.sva(5)} -pin "ACC1:acc#172" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#516.itm}
+load net {ACC1-3:not#117.itm} -pin "ACC1:acc#172" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#516.itm}
+load net {ACC1:acc#172.itm(0)} -pin "ACC1:acc#172" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
+load net {ACC1:acc#172.itm(1)} -pin "ACC1:acc#172" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
+load net {ACC1:acc#172.itm(2)} -pin "ACC1:acc#172" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
+load inst "ACC1-3:not#120" "not(2)" "INTERFACE" -attr xrf 34066 -attr oid 1230 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#120} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#125.psp.sva(10)} -pin "ACC1-3:not#120" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#8.itm}
+load net {ACC1:acc#125.psp.sva(11)} -pin "ACC1-3:not#120" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#125.psp.sva)#8.itm}
+load net {ACC1-3:not#120.itm(0)} -pin "ACC1-3:not#120" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#120.itm}
+load net {ACC1-3:not#120.itm(1)} -pin "ACC1-3:not#120" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#120.itm}
+load inst "ACC1:acc#174" "add(3,0,3,1,5)" "INTERFACE" -attr xrf 34067 -attr oid 1231 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#174" {A(0)} -attr @path {/sobel/sobel:core/conc#695.itm}
+load net {ACC1:acc#172.itm(1)} -pin "ACC1:acc#174" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#695.itm}
+load net {ACC1:acc#172.itm(2)} -pin "ACC1:acc#174" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#695.itm}
+load net {ACC1:acc#125.psp.sva(7)} -pin "ACC1:acc#174" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#520.itm}
+load net {ACC1-3:not#120.itm(0)} -pin "ACC1:acc#174" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#520.itm}
+load net {ACC1-3:not#120.itm(1)} -pin "ACC1:acc#174" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#520.itm}
+load net {ACC1:acc#174.itm(0)} -pin "ACC1:acc#174" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
+load net {ACC1:acc#174.itm(1)} -pin "ACC1:acc#174" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
+load net {ACC1:acc#174.itm(2)} -pin "ACC1:acc#174" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
+load net {ACC1:acc#174.itm(3)} -pin "ACC1:acc#174" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
+load net {ACC1:acc#174.itm(4)} -pin "ACC1:acc#174" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
+load inst "ACC1:acc#176" "add(4,1,5,-1,5)" "INTERFACE" -attr xrf 34068 -attr oid 1232 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,7)"
+load net {PWR} -pin "ACC1:acc#176" {A(0)} -attr @path {/sobel/sobel:core/conc#692.itm}
+load net {ACC1:acc#175.itm(1)} -pin "ACC1:acc#176" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#692.itm}
+load net {ACC1:acc#175.itm(2)} -pin "ACC1:acc#176" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#692.itm}
+load net {ACC1:acc#175.itm(3)} -pin "ACC1:acc#176" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#692.itm}
+load net {ACC1:acc#125.psp.sva(9)} -pin "ACC1:acc#176" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#524.itm}
+load net {ACC1:acc#174.itm(1)} -pin "ACC1:acc#176" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#524.itm}
+load net {ACC1:acc#174.itm(2)} -pin "ACC1:acc#176" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#524.itm}
+load net {ACC1:acc#174.itm(3)} -pin "ACC1:acc#176" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#524.itm}
+load net {ACC1:acc#174.itm(4)} -pin "ACC1:acc#176" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#524.itm}
+load net {ACC1:acc#176.itm(0)} -pin "ACC1:acc#176" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
+load net {ACC1:acc#176.itm(1)} -pin "ACC1:acc#176" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
+load net {ACC1:acc#176.itm(2)} -pin "ACC1:acc#176" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
+load net {ACC1:acc#176.itm(3)} -pin "ACC1:acc#176" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
+load net {ACC1:acc#176.itm(4)} -pin "ACC1:acc#176" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
+load inst "ACC1:acc#171" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 34069 -attr oid 1233 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.regs(1).sva(40)} -pin "ACC1:acc#171" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(41)} -pin "ACC1:acc#171" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(42)} -pin "ACC1:acc#171" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(43)} -pin "ACC1:acc#171" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(44)} -pin "ACC1:acc#171" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(45)} -pin "ACC1:acc#171" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(46)} -pin "ACC1:acc#171" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(47)} -pin "ACC1:acc#171" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(48)} -pin "ACC1:acc#171" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(49)} -pin "ACC1:acc#171" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(30)} -pin "ACC1:acc#171" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(31)} -pin "ACC1:acc#171" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(32)} -pin "ACC1:acc#171" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(33)} -pin "ACC1:acc#171" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(34)} -pin "ACC1:acc#171" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(35)} -pin "ACC1:acc#171" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(36)} -pin "ACC1:acc#171" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(37)} -pin "ACC1:acc#171" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(38)} -pin "ACC1:acc#171" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {regs.regs(1).sva(39)} -pin "ACC1:acc#171" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#6.itm}
+load net {ACC1:acc#171.itm(0)} -pin "ACC1:acc#171" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(1)} -pin "ACC1:acc#171" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(2)} -pin "ACC1:acc#171" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(3)} -pin "ACC1:acc#171" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(4)} -pin "ACC1:acc#171" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(5)} -pin "ACC1:acc#171" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(6)} -pin "ACC1:acc#171" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(7)} -pin "ACC1:acc#171" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(8)} -pin "ACC1:acc#171" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(9)} -pin "ACC1:acc#171" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(10)} -pin "ACC1:acc#171" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load inst "ACC1-3:acc#125" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 34070 -attr oid 1234 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#125} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#171.itm(0)} -pin "ACC1-3:acc#125" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(1)} -pin "ACC1-3:acc#125" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(2)} -pin "ACC1-3:acc#125" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(3)} -pin "ACC1-3:acc#125" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(4)} -pin "ACC1-3:acc#125" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(5)} -pin "ACC1-3:acc#125" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(6)} -pin "ACC1-3:acc#125" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(7)} -pin "ACC1-3:acc#125" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(8)} -pin "ACC1-3:acc#125" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(9)} -pin "ACC1-3:acc#125" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {ACC1:acc#171.itm(10)} -pin "ACC1-3:acc#125" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
+load net {regs.regs(1).sva(50)} -pin "ACC1-3:acc#125" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(51)} -pin "ACC1-3:acc#125" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(52)} -pin "ACC1-3:acc#125" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(53)} -pin "ACC1-3:acc#125" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(54)} -pin "ACC1-3:acc#125" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(55)} -pin "ACC1-3:acc#125" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(56)} -pin "ACC1-3:acc#125" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(57)} -pin "ACC1-3:acc#125" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(58)} -pin "ACC1-3:acc#125" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {regs.regs(1).sva(59)} -pin "ACC1-3:acc#125" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#7.itm}
+load net {ACC1:acc#125.psp.sva(0)} -pin "ACC1-3:acc#125" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(1)} -pin "ACC1-3:acc#125" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(2)} -pin "ACC1-3:acc#125" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(3)} -pin "ACC1-3:acc#125" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(4)} -pin "ACC1-3:acc#125" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(5)} -pin "ACC1-3:acc#125" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(6)} -pin "ACC1-3:acc#125" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(7)} -pin "ACC1-3:acc#125" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(8)} -pin "ACC1-3:acc#125" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(9)} -pin "ACC1-3:acc#125" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(10)} -pin "ACC1-3:acc#125" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load net {ACC1:acc#125.psp.sva(11)} -pin "ACC1-3:acc#125" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp.sva}
+load inst "ACC1-3:not#137" "not(1)" "INTERFACE" -attr xrf 34071 -attr oid 1235 -attr @path {/sobel/sobel:core/ACC1-3:not#137} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#176.itm(2)} -pin "ACC1-3:not#137" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.sva)#2.itm}
+load net {ACC1-3:not#137.itm} -pin "ACC1-3:not#137" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#137.itm}
+load inst "ACC1:acc#177" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34072 -attr oid 1236 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#177" {A(0)} -attr @path {/sobel/sobel:core/conc#697.itm}
+load net {ACC1:acc#176.itm(1)} -pin "ACC1:acc#177" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#697.itm}
+load net {ACC1:acc#176.itm(3)} -pin "ACC1:acc#177" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#526.itm}
+load net {ACC1-3:not#137.itm} -pin "ACC1:acc#177" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#526.itm}
+load net {ACC1:acc#177.itm(0)} -pin "ACC1:acc#177" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
+load net {ACC1:acc#177.itm(1)} -pin "ACC1:acc#177" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
+load net {ACC1:acc#177.itm(2)} -pin "ACC1:acc#177" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
+load inst "ACC1-3:not#154" "not(1)" "INTERFACE" -attr xrf 34073 -attr oid 1237 -attr @path {/sobel/sobel:core/ACC1-3:not#154} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#176.itm(4)} -pin "ACC1-3:not#154" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#1.sva)#4.itm}
+load net {ACC1-3:not#154.itm} -pin "ACC1-3:not#154" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#154.itm}
+load inst "ACC1-3:acc#118" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 34074 -attr oid 1238 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#118} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#177.itm(1)} -pin "ACC1-3:acc#118" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#43.itm}
+load net {ACC1:acc#177.itm(2)} -pin "ACC1-3:acc#118" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#43.itm}
+load net {ACC1-3:not#154.itm} -pin "ACC1-3:acc#118" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#154.itm}
+load net {ACC1:acc#118.psp.sva(0)} -pin "ACC1-3:acc#118" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.sva}
+load net {ACC1:acc#118.psp.sva(1)} -pin "ACC1-3:acc#118" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.sva}
+load net {ACC1:acc#118.psp.sva(2)} -pin "ACC1-3:acc#118" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp.sva}
+load inst "ACC1-3:not#147" "not(2)" "INTERFACE" -attr xrf 34075 -attr oid 1239 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#147} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#118.psp.sva(1)} -pin "ACC1-3:not#147" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp.sva)#2.itm}
+load net {ACC1:acc#118.psp.sva(2)} -pin "ACC1-3:not#147" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp.sva)#2.itm}
+load net {ACC1-3:not#147.itm(0)} -pin "ACC1-3:not#147" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#147.itm}
+load net {ACC1-3:not#147.itm(1)} -pin "ACC1-3:not#147" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#147.itm}
+load inst "ACC1:acc#178" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 34076 -attr oid 1240 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#178" {A(0)} -attr @path {/sobel/sobel:core/conc#698.itm}
+load net {ACC1-3:not#147.itm(0)} -pin "ACC1:acc#178" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#698.itm}
+load net {ACC1-3:not#147.itm(1)} -pin "ACC1:acc#178" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#698.itm}
+load net {PWR} -pin "ACC1:acc#178" {B(0)} -attr @path {/sobel/sobel:core/conc#699.itm}
+load net {ACC1:acc#118.psp.sva(0)} -pin "ACC1:acc#178" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#699.itm}
+load net {ACC1:acc#178.itm(0)} -pin "ACC1:acc#178" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
+load net {ACC1:acc#178.itm(1)} -pin "ACC1:acc#178" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
+load net {ACC1:acc#178.itm(2)} -pin "ACC1:acc#178" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
+load net {ACC1:acc#178.itm(3)} -pin "ACC1:acc#178" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
+load inst "ACC1:acc#180" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 34077 -attr oid 1241 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.regs(1).sva(70)} -pin "ACC1:acc#180" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(71)} -pin "ACC1:acc#180" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(72)} -pin "ACC1:acc#180" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(73)} -pin "ACC1:acc#180" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(74)} -pin "ACC1:acc#180" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(75)} -pin "ACC1:acc#180" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(76)} -pin "ACC1:acc#180" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(77)} -pin "ACC1:acc#180" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(78)} -pin "ACC1:acc#180" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(79)} -pin "ACC1:acc#180" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(60)} -pin "ACC1:acc#180" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(61)} -pin "ACC1:acc#180" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(62)} -pin "ACC1:acc#180" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(63)} -pin "ACC1:acc#180" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(64)} -pin "ACC1:acc#180" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(65)} -pin "ACC1:acc#180" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(66)} -pin "ACC1:acc#180" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(67)} -pin "ACC1:acc#180" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(68)} -pin "ACC1:acc#180" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(69)} -pin "ACC1:acc#180" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {ACC1:acc#180.itm(0)} -pin "ACC1:acc#180" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(1)} -pin "ACC1:acc#180" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(2)} -pin "ACC1:acc#180" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(3)} -pin "ACC1:acc#180" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(4)} -pin "ACC1:acc#180" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(5)} -pin "ACC1:acc#180" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(6)} -pin "ACC1:acc#180" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(7)} -pin "ACC1:acc#180" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(8)} -pin "ACC1:acc#180" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(9)} -pin "ACC1:acc#180" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(10)} -pin "ACC1:acc#180" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load inst "ACC1-3:acc#10" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 34078 -attr oid 1242 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#10} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#180.itm(0)} -pin "ACC1-3:acc#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(1)} -pin "ACC1-3:acc#10" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(2)} -pin "ACC1-3:acc#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(3)} -pin "ACC1-3:acc#10" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(4)} -pin "ACC1-3:acc#10" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(5)} -pin "ACC1-3:acc#10" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(6)} -pin "ACC1-3:acc#10" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(7)} -pin "ACC1-3:acc#10" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(8)} -pin "ACC1-3:acc#10" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(9)} -pin "ACC1-3:acc#10" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {ACC1:acc#180.itm(10)} -pin "ACC1-3:acc#10" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
+load net {regs.regs(1).sva(80)} -pin "ACC1-3:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(81)} -pin "ACC1-3:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(82)} -pin "ACC1-3:acc#10" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(83)} -pin "ACC1-3:acc#10" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(84)} -pin "ACC1-3:acc#10" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(85)} -pin "ACC1-3:acc#10" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(86)} -pin "ACC1-3:acc#10" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(87)} -pin "ACC1-3:acc#10" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(88)} -pin "ACC1-3:acc#10" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(89)} -pin "ACC1-3:acc#10" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {acc#10.psp#1.sva(0)} -pin "ACC1-3:acc#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(1)} -pin "ACC1-3:acc#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(2)} -pin "ACC1-3:acc#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(3)} -pin "ACC1-3:acc#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(4)} -pin "ACC1-3:acc#10" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(5)} -pin "ACC1-3:acc#10" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(6)} -pin "ACC1-3:acc#10" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(7)} -pin "ACC1-3:acc#10" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(8)} -pin "ACC1-3:acc#10" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(9)} -pin "ACC1-3:acc#10" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(10)} -pin "ACC1-3:acc#10" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1-3:acc#10" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc#10.psp#1.sva}
+load inst "ACC1-3:not#156" "not(1)" "INTERFACE" -attr xrf 34079 -attr oid 1243 -attr @path {/sobel/sobel:core/ACC1-3:not#156} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1-3:not#156" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#42.itm}
+load net {ACC1-3:not#156.itm} -pin "ACC1-3:not#156" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#156.itm}
+load inst "ACC1-3:not#124" "not(1)" "INTERFACE" -attr xrf 34080 -attr oid 1244 -attr @path {/sobel/sobel:core/ACC1-3:not#124} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(1)} -pin "ACC1-3:not#124" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#4.itm}
+load net {ACC1-3:not#124.itm} -pin "ACC1-3:not#124" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#124.itm}
+load inst "ACC1:acc#183" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 34081 -attr oid 1245 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#183" {A(0)} -attr @path {/sobel/sobel:core/conc#701.itm}
+load net {ACC1-3:not#156.itm} -pin "ACC1:acc#183" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#701.itm}
+load net {acc#10.psp#1.sva(8)} -pin "ACC1:acc#183" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#538.itm}
+load net {ACC1-3:not#124.itm} -pin "ACC1:acc#183" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#538.itm}
+load net {ACC1:acc#183.itm(0)} -pin "ACC1:acc#183" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
+load net {ACC1:acc#183.itm(1)} -pin "ACC1:acc#183" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
+load net {ACC1:acc#183.itm(2)} -pin "ACC1:acc#183" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
+load net {ACC1:acc#183.itm(3)} -pin "ACC1:acc#183" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
+load inst "ACC1:acc#185" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 34082 -attr oid 1246 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#185" {A(0)} -attr @path {/sobel/sobel:core/conc#700.itm}
+load net {ACC1:acc#183.itm(1)} -pin "ACC1:acc#185" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#700.itm}
+load net {ACC1:acc#183.itm(2)} -pin "ACC1:acc#185" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#700.itm}
+load net {ACC1:acc#183.itm(3)} -pin "ACC1:acc#185" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#700.itm}
+load net {acc#10.psp#1.sva(10)} -pin "ACC1:acc#185" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#702.itm}
+load net {acc#10.psp#1.sva(0)} -pin "ACC1:acc#185" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#702.itm}
+load net {GND} -pin "ACC1:acc#185" {B(2)} -attr @path {/sobel/sobel:core/conc#702.itm}
+load net {PWR} -pin "ACC1:acc#185" {B(3)} -attr @path {/sobel/sobel:core/conc#702.itm}
+load net {ACC1:acc#185.itm(0)} -pin "ACC1:acc#185" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
+load net {ACC1:acc#185.itm(1)} -pin "ACC1:acc#185" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
+load net {ACC1:acc#185.itm(2)} -pin "ACC1:acc#185" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
+load net {ACC1:acc#185.itm(3)} -pin "ACC1:acc#185" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
+load net {ACC1:acc#185.itm(4)} -pin "ACC1:acc#185" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
+load inst "ACC1-3:not#125" "not(1)" "INTERFACE" -attr xrf 34083 -attr oid 1247 -attr @path {/sobel/sobel:core/ACC1-3:not#125} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(3)} -pin "ACC1-3:not#125" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#3.itm}
+load net {ACC1-3:not#125.itm} -pin "ACC1-3:not#125" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#125.itm}
+load inst "ACC1-3:not#127" "not(1)" "INTERFACE" -attr xrf 34084 -attr oid 1248 -attr @path {/sobel/sobel:core/ACC1-3:not#127} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(7)} -pin "ACC1-3:not#127" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#6.itm}
+load net {ACC1-3:not#127.itm} -pin "ACC1-3:not#127" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#127.itm}
+load inst "ACC1:acc#182" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34085 -attr oid 1249 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#182" {A(0)} -attr @path {/sobel/sobel:core/conc#704.itm}
+load net {acc#10.psp#1.sva(2)} -pin "ACC1:acc#182" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#704.itm}
+load net {ACC1-3:not#127.itm} -pin "ACC1:acc#182" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#536.itm}
+load net {ACC1-3:not#125.itm} -pin "ACC1:acc#182" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#536.itm}
+load net {ACC1:acc#182.itm(0)} -pin "ACC1:acc#182" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
+load net {ACC1:acc#182.itm(1)} -pin "ACC1:acc#182" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
+load net {ACC1:acc#182.itm(2)} -pin "ACC1:acc#182" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
+load inst "ACC1-3:not#126" "not(1)" "INTERFACE" -attr xrf 34086 -attr oid 1250 -attr @path {/sobel/sobel:core/ACC1-3:not#126} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(5)} -pin "ACC1-3:not#126" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#12.itm}
+load net {ACC1-3:not#126.itm} -pin "ACC1-3:not#126" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#126.itm}
+load inst "ACC1:acc#181" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34087 -attr oid 1251 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#181" {A(0)} -attr @path {/sobel/sobel:core/conc#705.itm}
+load net {acc#10.psp#1.sva(4)} -pin "ACC1:acc#181" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#705.itm}
+load net {acc#10.psp#1.sva(6)} -pin "ACC1:acc#181" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#534.itm}
+load net {ACC1-3:not#126.itm} -pin "ACC1:acc#181" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#534.itm}
+load net {ACC1:acc#181.itm(0)} -pin "ACC1:acc#181" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
+load net {ACC1:acc#181.itm(1)} -pin "ACC1:acc#181" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
+load net {ACC1:acc#181.itm(2)} -pin "ACC1:acc#181" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
+load inst "ACC1-3:not#128" "not(1)" "INTERFACE" -attr xrf 34088 -attr oid 1252 -attr @path {/sobel/sobel:core/ACC1-3:not#128} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#1.sva(9)} -pin "ACC1-3:not#128" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#1.sva)#23.itm}
+load net {ACC1-3:not#128.itm} -pin "ACC1-3:not#128" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#128.itm}
+load inst "ACC1:acc#184" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 34089 -attr oid 1253 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#184" {A(0)} -attr @path {/sobel/sobel:core/conc#703.itm}
+load net {ACC1:acc#182.itm(1)} -pin "ACC1:acc#184" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#703.itm}
+load net {ACC1:acc#182.itm(2)} -pin "ACC1:acc#184" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#703.itm}
+load net {ACC1-3:not#128.itm} -pin "ACC1:acc#184" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#540.itm}
+load net {ACC1:acc#181.itm(1)} -pin "ACC1:acc#184" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#540.itm}
+load net {ACC1:acc#181.itm(2)} -pin "ACC1:acc#184" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#540.itm}
+load net {ACC1:acc#184.itm(0)} -pin "ACC1:acc#184" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
+load net {ACC1:acc#184.itm(1)} -pin "ACC1:acc#184" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
+load net {ACC1:acc#184.itm(2)} -pin "ACC1:acc#184" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
+load net {ACC1:acc#184.itm(3)} -pin "ACC1:acc#184" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
+load inst "ACC1-3:acc#113" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 34090 -attr oid 1254 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#113} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#185.itm(1)} -pin "ACC1-3:acc#113" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#185.itm(2)} -pin "ACC1-3:acc#113" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#185.itm(3)} -pin "ACC1-3:acc#113" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#185.itm(4)} -pin "ACC1-3:acc#113" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
+load net {ACC1:acc#184.itm(1)} -pin "ACC1-3:acc#113" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#49.itm}
+load net {ACC1:acc#184.itm(2)} -pin "ACC1-3:acc#113" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#49.itm}
+load net {ACC1:acc#184.itm(3)} -pin "ACC1-3:acc#113" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#49.itm}
+load net {ACC1:acc#113.psp#1.sva(0)} -pin "ACC1-3:acc#113" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#1.sva}
+load net {ACC1:acc#113.psp#1.sva(1)} -pin "ACC1-3:acc#113" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#1.sva}
+load net {ACC1:acc#113.psp#1.sva(2)} -pin "ACC1-3:acc#113" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#1.sva}
+load net {ACC1:acc#113.psp#1.sva(3)} -pin "ACC1-3:acc#113" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#1.sva}
+load inst "ACC1-3:not#141" "not(1)" "INTERFACE" -attr xrf 34091 -attr oid 1255 -attr @path {/sobel/sobel:core/ACC1-3:not#141} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#113.psp#1.sva(1)} -pin "ACC1-3:not#141" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#113.psp#1.sva)#4.itm}
+load net {ACC1-3:not#141.itm} -pin "ACC1-3:not#141" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#141.itm}
+load inst "ACC1:acc#186" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34092 -attr oid 1256 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#186" {A(0)} -attr @path {/sobel/sobel:core/conc#706.itm}
+load net {ACC1:acc#113.psp#1.sva(0)} -pin "ACC1:acc#186" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#706.itm}
+load net {ACC1:acc#113.psp#1.sva(2)} -pin "ACC1:acc#186" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#544.itm}
+load net {ACC1-3:not#141.itm} -pin "ACC1:acc#186" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#544.itm}
+load net {ACC1:acc#186.itm(0)} -pin "ACC1:acc#186" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
+load net {ACC1:acc#186.itm(1)} -pin "ACC1:acc#186" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
+load net {ACC1:acc#186.itm(2)} -pin "ACC1:acc#186" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
+load inst "ACC1-3:not#155" "not(1)" "INTERFACE" -attr xrf 34093 -attr oid 1257 -attr @path {/sobel/sobel:core/ACC1-3:not#155} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#113.psp#1.sva(3)} -pin "ACC1-3:not#155" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#113.psp#1.sva).itm}
+load net {ACC1-3:not#155.itm} -pin "ACC1-3:not#155" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#155.itm}
+load inst "ACC1-3:acc#120" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 34094 -attr oid 1258 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#120} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#186.itm(1)} -pin "ACC1-3:acc#120" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
+load net {ACC1:acc#186.itm(2)} -pin "ACC1-3:acc#120" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
+load net {ACC1-3:not#155.itm} -pin "ACC1-3:acc#120" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#155.itm}
+load net {ACC1:acc#120.psp.sva(0)} -pin "ACC1-3:acc#120" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#120.psp.sva}
+load net {ACC1:acc#120.psp.sva(1)} -pin "ACC1-3:acc#120" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#120.psp.sva}
+load net {ACC1:acc#120.psp.sva(2)} -pin "ACC1-3:acc#120" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#120.psp.sva}
+load inst "ACC1:acc#250" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34095 -attr oid 1259 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#250" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#558.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#250" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#558.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#250" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#547.itm}
+load net {acc#10.psp#1.sva(11)} -pin "ACC1:acc#250" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#547.itm}
+load net {ACC1:acc#250.cse(0)} -pin "ACC1:acc#250" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#250.cse(1)} -pin "ACC1:acc#250" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load net {ACC1:acc#250.cse(2)} -pin "ACC1:acc#250" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.cse}
+load inst "ACC1-3:not#89" "not(1)" "INTERFACE" -attr xrf 34096 -attr oid 1260 -attr @path {/sobel/sobel:core/ACC1-3:not#89} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#187.itm(2)} -pin "ACC1-3:not#89" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#10.sva)#3.itm}
+load net {ACC1-3:not#89.itm} -pin "ACC1-3:not#89" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#89.itm}
+load inst "ACC1-3:not#158" "not(1)" "INTERFACE" -attr xrf 34097 -attr oid 1261 -attr @path {/sobel/sobel:core/ACC1-3:not#158} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#187.itm(3)} -pin "ACC1-3:not#158" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#10.sva).itm}
+load net {ACC1-3:not#158.itm} -pin "ACC1-3:not#158" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#158.itm}
+load inst "ACC1:acc#188" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 34098 -attr oid 1262 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#188" {A(0)} -attr @path {/sobel/sobel:core/conc#707.itm}
+load net {ACC1:acc#187.itm(1)} -pin "ACC1:acc#188" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#707.itm}
+load net {PWR} -pin "ACC1:acc#188" {A(2)} -attr @path {/sobel/sobel:core/conc#707.itm}
+load net {ACC1-3:not#158.itm} -pin "ACC1:acc#188" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#549.itm}
+load net {ACC1-3:not#89.itm} -pin "ACC1:acc#188" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#549.itm}
+load net {ACC1:acc#188.itm(0)} -pin "ACC1:acc#188" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
+load net {ACC1:acc#188.itm(1)} -pin "ACC1:acc#188" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
+load net {ACC1:acc#188.itm(2)} -pin "ACC1:acc#188" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
+load inst "ACC1:not#161" "not(10)" "INTERFACE" -attr xrf 34099 -attr oid 1263 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(60)} -pin "ACC1:not#161" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(61)} -pin "ACC1:not#161" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(62)} -pin "ACC1:not#161" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(63)} -pin "ACC1:not#161" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(64)} -pin "ACC1:not#161" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(65)} -pin "ACC1:not#161" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(66)} -pin "ACC1:not#161" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(67)} -pin "ACC1:not#161" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(68)} -pin "ACC1:not#161" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:not#161" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
+load net {ACC1:not#161.itm(0)} -pin "ACC1:not#161" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(1)} -pin "ACC1:not#161" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(2)} -pin "ACC1:not#161" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(3)} -pin "ACC1:not#161" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(4)} -pin "ACC1:not#161" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(5)} -pin "ACC1:not#161" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(6)} -pin "ACC1:not#161" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(7)} -pin "ACC1:not#161" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(8)} -pin "ACC1:not#161" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(9)} -pin "ACC1:not#161" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load inst "ACC1:not#162" "not(10)" "INTERFACE" -attr xrf 34100 -attr oid 1264 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(70)} -pin "ACC1:not#162" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(71)} -pin "ACC1:not#162" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(72)} -pin "ACC1:not#162" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(73)} -pin "ACC1:not#162" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(74)} -pin "ACC1:not#162" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(75)} -pin "ACC1:not#162" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(76)} -pin "ACC1:not#162" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(77)} -pin "ACC1:not#162" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(78)} -pin "ACC1:not#162" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:not#162" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
+load net {ACC1:not#162.itm(0)} -pin "ACC1:not#162" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(1)} -pin "ACC1:not#162" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(2)} -pin "ACC1:not#162" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(3)} -pin "ACC1:not#162" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(4)} -pin "ACC1:not#162" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(5)} -pin "ACC1:not#162" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(6)} -pin "ACC1:not#162" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(7)} -pin "ACC1:not#162" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(8)} -pin "ACC1:not#162" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(9)} -pin "ACC1:not#162" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load inst "ACC1:acc#153" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 34101 -attr oid 1265 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#161.itm(0)} -pin "ACC1:acc#153" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(1)} -pin "ACC1:acc#153" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(2)} -pin "ACC1:acc#153" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(3)} -pin "ACC1:acc#153" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(4)} -pin "ACC1:acc#153" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(5)} -pin "ACC1:acc#153" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(6)} -pin "ACC1:acc#153" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(7)} -pin "ACC1:acc#153" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(8)} -pin "ACC1:acc#153" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#161.itm(9)} -pin "ACC1:acc#153" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:not#161.itm}
+load net {ACC1:not#162.itm(0)} -pin "ACC1:acc#153" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(1)} -pin "ACC1:acc#153" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(2)} -pin "ACC1:acc#153" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(3)} -pin "ACC1:acc#153" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(4)} -pin "ACC1:acc#153" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(5)} -pin "ACC1:acc#153" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(6)} -pin "ACC1:acc#153" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(7)} -pin "ACC1:acc#153" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(8)} -pin "ACC1:acc#153" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:not#162.itm(9)} -pin "ACC1:acc#153" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#162.itm}
+load net {ACC1:acc#153.itm(0)} -pin "ACC1:acc#153" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(1)} -pin "ACC1:acc#153" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(2)} -pin "ACC1:acc#153" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(3)} -pin "ACC1:acc#153" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(4)} -pin "ACC1:acc#153" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(5)} -pin "ACC1:acc#153" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(6)} -pin "ACC1:acc#153" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(7)} -pin "ACC1:acc#153" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(8)} -pin "ACC1:acc#153" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(9)} -pin "ACC1:acc#153" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(10)} -pin "ACC1:acc#153" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load inst "ACC1:not#163" "not(10)" "INTERFACE" -attr xrf 34102 -attr oid 1266 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(80)} -pin "ACC1:not#163" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(81)} -pin "ACC1:not#163" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(82)} -pin "ACC1:not#163" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(83)} -pin "ACC1:not#163" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(84)} -pin "ACC1:not#163" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(85)} -pin "ACC1:not#163" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(86)} -pin "ACC1:not#163" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(87)} -pin "ACC1:not#163" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(88)} -pin "ACC1:not#163" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1:not#163" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
+load net {ACC1:not#163.itm(0)} -pin "ACC1:not#163" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(1)} -pin "ACC1:not#163" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(2)} -pin "ACC1:not#163" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(3)} -pin "ACC1:not#163" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(4)} -pin "ACC1:not#163" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(5)} -pin "ACC1:not#163" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(6)} -pin "ACC1:not#163" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(7)} -pin "ACC1:not#163" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(8)} -pin "ACC1:not#163" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(9)} -pin "ACC1:not#163" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load inst "ACC1:acc#152" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 34103 -attr oid 1267 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#163.itm(0)} -pin "ACC1:acc#152" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(1)} -pin "ACC1:acc#152" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(2)} -pin "ACC1:acc#152" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(3)} -pin "ACC1:acc#152" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(4)} -pin "ACC1:acc#152" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(5)} -pin "ACC1:acc#152" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(6)} -pin "ACC1:acc#152" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(7)} -pin "ACC1:acc#152" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(8)} -pin "ACC1:acc#152" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {ACC1:not#163.itm(9)} -pin "ACC1:acc#152" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#163.itm}
+load net {PWR} -pin "ACC1:acc#152" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#152" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#152.itm(0)} -pin "ACC1:acc#152" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(1)} -pin "ACC1:acc#152" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(2)} -pin "ACC1:acc#152" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(3)} -pin "ACC1:acc#152" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(4)} -pin "ACC1:acc#152" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(5)} -pin "ACC1:acc#152" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(6)} -pin "ACC1:acc#152" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(7)} -pin "ACC1:acc#152" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(8)} -pin "ACC1:acc#152" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(9)} -pin "ACC1:acc#152" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(10)} -pin "ACC1:acc#152" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load inst "ACC1-1:acc#10" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 34104 -attr oid 1268 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#10} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#153.itm(0)} -pin "ACC1-1:acc#10" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(1)} -pin "ACC1-1:acc#10" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(2)} -pin "ACC1-1:acc#10" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(3)} -pin "ACC1-1:acc#10" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(4)} -pin "ACC1-1:acc#10" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(5)} -pin "ACC1-1:acc#10" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(6)} -pin "ACC1-1:acc#10" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(7)} -pin "ACC1-1:acc#10" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(8)} -pin "ACC1-1:acc#10" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(9)} -pin "ACC1-1:acc#10" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#153.itm(10)} -pin "ACC1-1:acc#10" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
+load net {ACC1:acc#152.itm(0)} -pin "ACC1-1:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(1)} -pin "ACC1-1:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(2)} -pin "ACC1-1:acc#10" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(3)} -pin "ACC1-1:acc#10" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(4)} -pin "ACC1-1:acc#10" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(5)} -pin "ACC1-1:acc#10" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(6)} -pin "ACC1-1:acc#10" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(7)} -pin "ACC1-1:acc#10" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(8)} -pin "ACC1-1:acc#10" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(9)} -pin "ACC1-1:acc#10" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {ACC1:acc#152.itm(10)} -pin "ACC1-1:acc#10" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
+load net {acc#10.psp#2.sva(0)} -pin "ACC1-1:acc#10" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(1)} -pin "ACC1-1:acc#10" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(2)} -pin "ACC1-1:acc#10" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(3)} -pin "ACC1-1:acc#10" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(4)} -pin "ACC1-1:acc#10" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(5)} -pin "ACC1-1:acc#10" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(6)} -pin "ACC1-1:acc#10" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(7)} -pin "ACC1-1:acc#10" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(8)} -pin "ACC1-1:acc#10" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(9)} -pin "ACC1-1:acc#10" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(10)} -pin "ACC1-1:acc#10" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:acc#10" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/acc#10.psp#2.sva}
+load inst "ACC1-1:not#89" "not(1)" "INTERFACE" -attr xrf 34105 -attr oid 1269 -attr @path {/sobel/sobel:core/ACC1-1:not#89} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#160.itm(2)} -pin "ACC1-1:not#89" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#22.sva)#3.itm}
+load net {ACC1-1:not#89.itm} -pin "ACC1-1:not#89" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#89.itm}
+load inst "ACC1-1:not#158" "not(1)" "INTERFACE" -attr xrf 34106 -attr oid 1270 -attr @path {/sobel/sobel:core/ACC1-1:not#158} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#160.itm(3)} -pin "ACC1-1:not#158" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#22.sva).itm}
+load net {ACC1-1:not#158.itm} -pin "ACC1-1:not#158" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#158.itm}
+load inst "ACC1:acc#161" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 34107 -attr oid 1271 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#161} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#161" {A(0)} -attr @path {/sobel/sobel:core/conc#708.itm}
+load net {ACC1:acc#160.itm(1)} -pin "ACC1:acc#161" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#708.itm}
+load net {PWR} -pin "ACC1:acc#161" {A(2)} -attr @path {/sobel/sobel:core/conc#708.itm}
+load net {ACC1-1:not#158.itm} -pin "ACC1:acc#161" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#495.itm}
+load net {ACC1-1:not#89.itm} -pin "ACC1:acc#161" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#495.itm}
+load net {ACC1:acc#161.itm(0)} -pin "ACC1:acc#161" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
+load net {ACC1:acc#161.itm(1)} -pin "ACC1:acc#161" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
+load net {ACC1:acc#161.itm(2)} -pin "ACC1:acc#161" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
+load inst "ACC1-1:not#149" "not(2)" "INTERFACE" -attr xrf 34108 -attr oid 1272 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:not#149} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#120.psp#1.sva(1)} -pin "ACC1-1:not#149" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp#1.sva).itm}
+load net {ACC1:acc#120.psp#1.sva(2)} -pin "ACC1-1:not#149" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp#1.sva).itm}
+load net {ACC1-1:not#149.itm(0)} -pin "ACC1-1:not#149" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:not#149.itm}
+load net {ACC1-1:not#149.itm(1)} -pin "ACC1-1:not#149" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:not#149.itm}
+load inst "ACC1:acc#160" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 34109 -attr oid 1273 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#160} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#160" {A(0)} -attr @path {/sobel/sobel:core/conc#709.itm}
+load net {ACC1-1:not#149.itm(0)} -pin "ACC1:acc#160" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#709.itm}
+load net {ACC1-1:not#149.itm(1)} -pin "ACC1:acc#160" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#709.itm}
+load net {PWR} -pin "ACC1:acc#160" {B(0)} -attr @path {/sobel/sobel:core/conc#710.itm}
+load net {ACC1:acc#120.psp#1.sva(0)} -pin "ACC1:acc#160" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#710.itm}
+load net {ACC1:acc#160.itm(0)} -pin "ACC1:acc#160" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
+load net {ACC1:acc#160.itm(1)} -pin "ACC1:acc#160" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
+load net {ACC1:acc#160.itm(2)} -pin "ACC1:acc#160" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
+load net {ACC1:acc#160.itm(3)} -pin "ACC1:acc#160" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
+load inst "ACC1-1:not#156" "not(1)" "INTERFACE" -attr xrf 34110 -attr oid 1274 -attr @path {/sobel/sobel:core/ACC1-1:not#156} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1-1:not#156" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#32.itm}
+load net {ACC1-1:not#156.itm} -pin "ACC1-1:not#156" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#156.itm}
+load inst "ACC1-1:not#124" "not(1)" "INTERFACE" -attr xrf 34111 -attr oid 1275 -attr @path {/sobel/sobel:core/ACC1-1:not#124} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(1)} -pin "ACC1-1:not#124" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#8.itm}
+load net {ACC1-1:not#124.itm} -pin "ACC1-1:not#124" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#124.itm}
+load inst "ACC1:acc#156" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 34112 -attr oid 1276 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#156" {A(0)} -attr @path {/sobel/sobel:core/conc#712.itm}
+load net {ACC1-1:not#156.itm} -pin "ACC1:acc#156" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#712.itm}
+load net {acc#10.psp#2.sva(8)} -pin "ACC1:acc#156" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#484.itm}
+load net {ACC1-1:not#124.itm} -pin "ACC1:acc#156" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#484.itm}
+load net {ACC1:acc#156.itm(0)} -pin "ACC1:acc#156" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
+load net {ACC1:acc#156.itm(1)} -pin "ACC1:acc#156" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
+load net {ACC1:acc#156.itm(2)} -pin "ACC1:acc#156" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
+load net {ACC1:acc#156.itm(3)} -pin "ACC1:acc#156" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
+load inst "ACC1:acc#158" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 34113 -attr oid 1277 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#158" {A(0)} -attr @path {/sobel/sobel:core/conc#711.itm}
+load net {ACC1:acc#156.itm(1)} -pin "ACC1:acc#158" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#711.itm}
+load net {ACC1:acc#156.itm(2)} -pin "ACC1:acc#158" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#711.itm}
+load net {ACC1:acc#156.itm(3)} -pin "ACC1:acc#158" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#711.itm}
+load net {acc#10.psp#2.sva(10)} -pin "ACC1:acc#158" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#713.itm}
+load net {acc#10.psp#2.sva(0)} -pin "ACC1:acc#158" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#713.itm}
+load net {GND} -pin "ACC1:acc#158" {B(2)} -attr @path {/sobel/sobel:core/conc#713.itm}
+load net {PWR} -pin "ACC1:acc#158" {B(3)} -attr @path {/sobel/sobel:core/conc#713.itm}
+load net {ACC1:acc#158.itm(0)} -pin "ACC1:acc#158" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
+load net {ACC1:acc#158.itm(1)} -pin "ACC1:acc#158" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
+load net {ACC1:acc#158.itm(2)} -pin "ACC1:acc#158" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
+load net {ACC1:acc#158.itm(3)} -pin "ACC1:acc#158" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
+load net {ACC1:acc#158.itm(4)} -pin "ACC1:acc#158" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
+load inst "ACC1-1:not#125" "not(1)" "INTERFACE" -attr xrf 34114 -attr oid 1278 -attr @path {/sobel/sobel:core/ACC1-1:not#125} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(3)} -pin "ACC1-1:not#125" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#7.itm}
+load net {ACC1-1:not#125.itm} -pin "ACC1-1:not#125" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#125.itm}
+load inst "ACC1-1:not#127" "not(1)" "INTERFACE" -attr xrf 34115 -attr oid 1279 -attr @path {/sobel/sobel:core/ACC1-1:not#127} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(7)} -pin "ACC1-1:not#127" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#3.itm}
+load net {ACC1-1:not#127.itm} -pin "ACC1-1:not#127" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#127.itm}
+load inst "ACC1:acc#155" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34116 -attr oid 1280 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#155} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#155" {A(0)} -attr @path {/sobel/sobel:core/conc#715.itm}
+load net {acc#10.psp#2.sva(2)} -pin "ACC1:acc#155" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#715.itm}
+load net {ACC1-1:not#127.itm} -pin "ACC1:acc#155" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#482.itm}
+load net {ACC1-1:not#125.itm} -pin "ACC1:acc#155" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#482.itm}
+load net {ACC1:acc#155.itm(0)} -pin "ACC1:acc#155" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
+load net {ACC1:acc#155.itm(1)} -pin "ACC1:acc#155" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
+load net {ACC1:acc#155.itm(2)} -pin "ACC1:acc#155" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
+load inst "ACC1-1:not#126" "not(1)" "INTERFACE" -attr xrf 34117 -attr oid 1281 -attr @path {/sobel/sobel:core/ACC1-1:not#126} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(5)} -pin "ACC1-1:not#126" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#2.itm}
+load net {ACC1-1:not#126.itm} -pin "ACC1-1:not#126" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#126.itm}
+load inst "ACC1:acc#154" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34118 -attr oid 1282 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#154" {A(0)} -attr @path {/sobel/sobel:core/conc#716.itm}
+load net {acc#10.psp#2.sva(4)} -pin "ACC1:acc#154" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#716.itm}
+load net {acc#10.psp#2.sva(6)} -pin "ACC1:acc#154" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#480.itm}
+load net {ACC1-1:not#126.itm} -pin "ACC1:acc#154" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#480.itm}
+load net {ACC1:acc#154.itm(0)} -pin "ACC1:acc#154" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
+load net {ACC1:acc#154.itm(1)} -pin "ACC1:acc#154" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
+load net {ACC1:acc#154.itm(2)} -pin "ACC1:acc#154" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
+load inst "ACC1-1:not#128" "not(1)" "INTERFACE" -attr xrf 34119 -attr oid 1283 -attr @path {/sobel/sobel:core/ACC1-1:not#128} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc#10.psp#2.sva(9)} -pin "ACC1-1:not#128" {A(0)} -attr @path {/sobel/sobel:core/slc(acc#10.psp#2.sva)#59.itm}
+load net {ACC1-1:not#128.itm} -pin "ACC1-1:not#128" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#128.itm}
+load inst "ACC1:acc#157" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 34120 -attr oid 1284 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#157} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#157" {A(0)} -attr @path {/sobel/sobel:core/conc#714.itm}
+load net {ACC1:acc#155.itm(1)} -pin "ACC1:acc#157" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#714.itm}
+load net {ACC1:acc#155.itm(2)} -pin "ACC1:acc#157" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#714.itm}
+load net {ACC1-1:not#128.itm} -pin "ACC1:acc#157" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#486.itm}
+load net {ACC1:acc#154.itm(1)} -pin "ACC1:acc#157" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#486.itm}
+load net {ACC1:acc#154.itm(2)} -pin "ACC1:acc#157" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#486.itm}
+load net {ACC1:acc#157.itm(0)} -pin "ACC1:acc#157" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
+load net {ACC1:acc#157.itm(1)} -pin "ACC1:acc#157" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
+load net {ACC1:acc#157.itm(2)} -pin "ACC1:acc#157" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
+load net {ACC1:acc#157.itm(3)} -pin "ACC1:acc#157" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
+load inst "ACC1-1:acc#113" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 34121 -attr oid 1285 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#113} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#158.itm(1)} -pin "ACC1-1:acc#113" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#158.itm(2)} -pin "ACC1-1:acc#113" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#158.itm(3)} -pin "ACC1-1:acc#113" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#158.itm(4)} -pin "ACC1-1:acc#113" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
+load net {ACC1:acc#157.itm(1)} -pin "ACC1-1:acc#113" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#25.itm}
+load net {ACC1:acc#157.itm(2)} -pin "ACC1-1:acc#113" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#25.itm}
+load net {ACC1:acc#157.itm(3)} -pin "ACC1-1:acc#113" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#25.itm}
+load net {ACC1:acc#113.psp#2.sva(0)} -pin "ACC1-1:acc#113" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#2.sva}
+load net {ACC1:acc#113.psp#2.sva(1)} -pin "ACC1-1:acc#113" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#2.sva}
+load net {ACC1:acc#113.psp#2.sva(2)} -pin "ACC1-1:acc#113" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#2.sva}
+load net {ACC1:acc#113.psp#2.sva(3)} -pin "ACC1-1:acc#113" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#113.psp#2.sva}
+load inst "ACC1-1:not#141" "not(1)" "INTERFACE" -attr xrf 34122 -attr oid 1286 -attr @path {/sobel/sobel:core/ACC1-1:not#141} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#113.psp#2.sva(1)} -pin "ACC1-1:not#141" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#113.psp#2.sva)#4.itm}
+load net {ACC1-1:not#141.itm} -pin "ACC1-1:not#141" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#141.itm}
+load inst "ACC1:acc#159" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34123 -attr oid 1287 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#159} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#159" {A(0)} -attr @path {/sobel/sobel:core/conc#717.itm}
+load net {ACC1:acc#113.psp#2.sva(0)} -pin "ACC1:acc#159" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#717.itm}
+load net {ACC1:acc#113.psp#2.sva(2)} -pin "ACC1:acc#159" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#490.itm}
+load net {ACC1-1:not#141.itm} -pin "ACC1:acc#159" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#490.itm}
+load net {ACC1:acc#159.itm(0)} -pin "ACC1:acc#159" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
+load net {ACC1:acc#159.itm(1)} -pin "ACC1:acc#159" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
+load net {ACC1:acc#159.itm(2)} -pin "ACC1:acc#159" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
+load inst "ACC1-1:not#155" "not(1)" "INTERFACE" -attr xrf 34124 -attr oid 1288 -attr @path {/sobel/sobel:core/ACC1-1:not#155} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#113.psp#2.sva(3)} -pin "ACC1-1:not#155" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#113.psp#2.sva)#1.itm}
+load net {ACC1-1:not#155.itm} -pin "ACC1-1:not#155" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#155.itm}
+load inst "ACC1-1:acc#120" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 34125 -attr oid 1289 -attr vt dc -attr @path {/sobel/sobel:core/ACC1-1:acc#120} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#159.itm(1)} -pin "ACC1-1:acc#120" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#27.itm}
+load net {ACC1:acc#159.itm(2)} -pin "ACC1-1:acc#120" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#27.itm}
+load net {ACC1-1:not#155.itm} -pin "ACC1-1:acc#120" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#155.itm}
+load net {ACC1:acc#120.psp#1.sva(0)} -pin "ACC1-1:acc#120" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#120.psp#1.sva}
+load net {ACC1:acc#120.psp#1.sva(1)} -pin "ACC1-1:acc#120" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#120.psp#1.sva}
+load net {ACC1:acc#120.psp#1.sva(2)} -pin "ACC1-1:acc#120" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#120.psp#1.sva}
+load inst "ACC1:acc#277" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34126 -attr oid 1290 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#277" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#850.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#277" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#850.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#277" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#827.itm}
+load net {acc#10.psp#2.sva(11)} -pin "ACC1:acc#277" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#827.itm}
+load net {ACC1:acc#277.cse(0)} -pin "ACC1:acc#277" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#277.cse(1)} -pin "ACC1:acc#277" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load net {ACC1:acc#277.cse(2)} -pin "ACC1:acc#277" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.cse}
+load inst "ACC1-3:not#149" "not(2)" "INTERFACE" -attr xrf 34127 -attr oid 1291 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#149} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#120.psp.sva(1)} -pin "ACC1-3:not#149" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp.sva).itm}
+load net {ACC1:acc#120.psp.sva(2)} -pin "ACC1-3:not#149" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#120.psp.sva).itm}
+load net {ACC1-3:not#149.itm(0)} -pin "ACC1-3:not#149" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#149.itm}
+load net {ACC1-3:not#149.itm(1)} -pin "ACC1-3:not#149" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#149.itm}
+load inst "ACC1:acc#187" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 34128 -attr oid 1292 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#187" {A(0)} -attr @path {/sobel/sobel:core/conc#718.itm}
+load net {ACC1-3:not#149.itm(0)} -pin "ACC1:acc#187" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#718.itm}
+load net {ACC1-3:not#149.itm(1)} -pin "ACC1:acc#187" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#718.itm}
+load net {PWR} -pin "ACC1:acc#187" {B(0)} -attr @path {/sobel/sobel:core/conc#719.itm}
+load net {ACC1:acc#120.psp.sva(0)} -pin "ACC1:acc#187" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#719.itm}
+load net {ACC1:acc#187.itm(0)} -pin "ACC1:acc#187" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
+load net {ACC1:acc#187.itm(1)} -pin "ACC1:acc#187" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
+load net {ACC1:acc#187.itm(2)} -pin "ACC1:acc#187" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
+load net {ACC1:acc#187.itm(3)} -pin "ACC1:acc#187" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
+load inst "ACC1:acc#162" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 34129 -attr oid 1293 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {regs.regs(1).sva(10)} -pin "ACC1:acc#162" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(11)} -pin "ACC1:acc#162" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(12)} -pin "ACC1:acc#162" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(13)} -pin "ACC1:acc#162" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(14)} -pin "ACC1:acc#162" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(15)} -pin "ACC1:acc#162" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(16)} -pin "ACC1:acc#162" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(17)} -pin "ACC1:acc#162" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(18)} -pin "ACC1:acc#162" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(19)} -pin "ACC1:acc#162" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#8.itm}
+load net {regs.regs(1).sva(0)} -pin "ACC1:acc#162" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(1)} -pin "ACC1:acc#162" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(2)} -pin "ACC1:acc#162" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(3)} -pin "ACC1:acc#162" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(4)} -pin "ACC1:acc#162" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(5)} -pin "ACC1:acc#162" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(6)} -pin "ACC1:acc#162" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(7)} -pin "ACC1:acc#162" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(8)} -pin "ACC1:acc#162" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {regs.regs(1).sva(9)} -pin "ACC1:acc#162" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#9.itm}
+load net {ACC1:acc#162.itm(0)} -pin "ACC1:acc#162" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(1)} -pin "ACC1:acc#162" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(2)} -pin "ACC1:acc#162" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(3)} -pin "ACC1:acc#162" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(4)} -pin "ACC1:acc#162" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(5)} -pin "ACC1:acc#162" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(6)} -pin "ACC1:acc#162" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(7)} -pin "ACC1:acc#162" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(8)} -pin "ACC1:acc#162" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(9)} -pin "ACC1:acc#162" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(10)} -pin "ACC1:acc#162" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load inst "ACC1-3:acc" "add(11,1,10,1,12)" "INTERFACE" -attr xrf 34130 -attr oid 1294 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#162.itm(0)} -pin "ACC1-3:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(1)} -pin "ACC1-3:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(2)} -pin "ACC1-3:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(3)} -pin "ACC1-3:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(4)} -pin "ACC1-3:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(5)} -pin "ACC1-3:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(6)} -pin "ACC1-3:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(7)} -pin "ACC1-3:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(8)} -pin "ACC1-3:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(9)} -pin "ACC1-3:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {ACC1:acc#162.itm(10)} -pin "ACC1-3:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
+load net {regs.regs(1).sva(20)} -pin "ACC1-3:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(21)} -pin "ACC1-3:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(22)} -pin "ACC1-3:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(23)} -pin "ACC1-3:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(24)} -pin "ACC1-3:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(25)} -pin "ACC1-3:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(26)} -pin "ACC1-3:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(27)} -pin "ACC1-3:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(28)} -pin "ACC1-3:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {regs.regs(1).sva(29)} -pin "ACC1-3:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#10.itm}
+load net {acc.psp#1.sva(0)} -pin "ACC1-3:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(1)} -pin "ACC1-3:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(2)} -pin "ACC1-3:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(3)} -pin "ACC1-3:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(4)} -pin "ACC1-3:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(5)} -pin "ACC1-3:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(6)} -pin "ACC1-3:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(7)} -pin "ACC1-3:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(8)} -pin "ACC1-3:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(9)} -pin "ACC1-3:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(10)} -pin "ACC1-3:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#1.sva}
+load inst "ACC1-3:not#160" "not(1)" "INTERFACE" -attr xrf 34131 -attr oid 1295 -attr @path {/sobel/sobel:core/ACC1-3:not#160} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(11)} -pin "ACC1-3:not#160" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#42.itm}
+load net {ACC1-3:not#160.itm} -pin "ACC1-3:not#160" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#160.itm}
+load inst "ACC1-3:not#106" "not(1)" "INTERFACE" -attr xrf 34132 -attr oid 1296 -attr @path {/sobel/sobel:core/ACC1-3:not#106} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(1)} -pin "ACC1-3:not#106" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#4.itm}
+load net {ACC1-3:not#106.itm} -pin "ACC1-3:not#106" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#106.itm}
+load inst "ACC1:acc#165" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 34133 -attr oid 1297 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#165" {A(0)} -attr @path {/sobel/sobel:core/conc#721.itm}
+load net {ACC1-3:not#160.itm} -pin "ACC1:acc#165" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#721.itm}
+load net {acc.psp#1.sva(8)} -pin "ACC1:acc#165" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#502.itm}
+load net {ACC1-3:not#106.itm} -pin "ACC1:acc#165" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#502.itm}
+load net {ACC1:acc#165.itm(0)} -pin "ACC1:acc#165" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
+load net {ACC1:acc#165.itm(1)} -pin "ACC1:acc#165" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
+load net {ACC1:acc#165.itm(2)} -pin "ACC1:acc#165" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
+load net {ACC1:acc#165.itm(3)} -pin "ACC1:acc#165" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
+load inst "ACC1:acc#167" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 34134 -attr oid 1298 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#167" {A(0)} -attr @path {/sobel/sobel:core/conc#720.itm}
+load net {ACC1:acc#165.itm(1)} -pin "ACC1:acc#167" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#720.itm}
+load net {ACC1:acc#165.itm(2)} -pin "ACC1:acc#167" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#720.itm}
+load net {ACC1:acc#165.itm(3)} -pin "ACC1:acc#167" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#720.itm}
+load net {acc.psp#1.sva(10)} -pin "ACC1:acc#167" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#722.itm}
+load net {acc.psp#1.sva(0)} -pin "ACC1:acc#167" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#722.itm}
+load net {GND} -pin "ACC1:acc#167" {B(2)} -attr @path {/sobel/sobel:core/conc#722.itm}
+load net {PWR} -pin "ACC1:acc#167" {B(3)} -attr @path {/sobel/sobel:core/conc#722.itm}
+load net {ACC1:acc#167.itm(0)} -pin "ACC1:acc#167" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
+load net {ACC1:acc#167.itm(1)} -pin "ACC1:acc#167" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
+load net {ACC1:acc#167.itm(2)} -pin "ACC1:acc#167" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
+load net {ACC1:acc#167.itm(3)} -pin "ACC1:acc#167" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
+load net {ACC1:acc#167.itm(4)} -pin "ACC1:acc#167" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
+load inst "ACC1-3:not#107" "not(1)" "INTERFACE" -attr xrf 34135 -attr oid 1299 -attr @path {/sobel/sobel:core/ACC1-3:not#107} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(3)} -pin "ACC1-3:not#107" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#3.itm}
+load net {ACC1-3:not#107.itm} -pin "ACC1-3:not#107" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#107.itm}
+load inst "ACC1-3:not#109" "not(1)" "INTERFACE" -attr xrf 34136 -attr oid 1300 -attr @path {/sobel/sobel:core/ACC1-3:not#109} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(7)} -pin "ACC1-3:not#109" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#6.itm}
+load net {ACC1-3:not#109.itm} -pin "ACC1-3:not#109" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#109.itm}
+load inst "ACC1:acc#164" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34137 -attr oid 1301 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#164" {A(0)} -attr @path {/sobel/sobel:core/conc#724.itm}
+load net {acc.psp#1.sva(2)} -pin "ACC1:acc#164" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#724.itm}
+load net {ACC1-3:not#109.itm} -pin "ACC1:acc#164" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#500.itm}
+load net {ACC1-3:not#107.itm} -pin "ACC1:acc#164" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#500.itm}
+load net {ACC1:acc#164.itm(0)} -pin "ACC1:acc#164" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
+load net {ACC1:acc#164.itm(1)} -pin "ACC1:acc#164" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
+load net {ACC1:acc#164.itm(2)} -pin "ACC1:acc#164" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
+load inst "ACC1-3:not#108" "not(1)" "INTERFACE" -attr xrf 34138 -attr oid 1302 -attr @path {/sobel/sobel:core/ACC1-3:not#108} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(5)} -pin "ACC1-3:not#108" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#12.itm}
+load net {ACC1-3:not#108.itm} -pin "ACC1-3:not#108" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#108.itm}
+load inst "ACC1:acc#163" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34139 -attr oid 1303 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#163" {A(0)} -attr @path {/sobel/sobel:core/conc#725.itm}
+load net {acc.psp#1.sva(4)} -pin "ACC1:acc#163" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#725.itm}
+load net {acc.psp#1.sva(6)} -pin "ACC1:acc#163" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#498.itm}
+load net {ACC1-3:not#108.itm} -pin "ACC1:acc#163" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#498.itm}
+load net {ACC1:acc#163.itm(0)} -pin "ACC1:acc#163" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
+load net {ACC1:acc#163.itm(1)} -pin "ACC1:acc#163" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
+load net {ACC1:acc#163.itm(2)} -pin "ACC1:acc#163" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
+load inst "ACC1-3:not#110" "not(1)" "INTERFACE" -attr xrf 34140 -attr oid 1304 -attr @path {/sobel/sobel:core/ACC1-3:not#110} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#1.sva(9)} -pin "ACC1-3:not#110" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#1.sva)#23.itm}
+load net {ACC1-3:not#110.itm} -pin "ACC1-3:not#110" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#110.itm}
+load inst "ACC1:acc#166" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 34141 -attr oid 1305 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#166" {A(0)} -attr @path {/sobel/sobel:core/conc#723.itm}
+load net {ACC1:acc#164.itm(1)} -pin "ACC1:acc#166" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#723.itm}
+load net {ACC1:acc#164.itm(2)} -pin "ACC1:acc#166" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#723.itm}
+load net {ACC1-3:not#110.itm} -pin "ACC1:acc#166" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#504.itm}
+load net {ACC1:acc#163.itm(1)} -pin "ACC1:acc#166" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#504.itm}
+load net {ACC1:acc#163.itm(2)} -pin "ACC1:acc#166" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#504.itm}
+load net {ACC1:acc#166.itm(0)} -pin "ACC1:acc#166" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
+load net {ACC1:acc#166.itm(1)} -pin "ACC1:acc#166" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
+load net {ACC1:acc#166.itm(2)} -pin "ACC1:acc#166" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
+load net {ACC1:acc#166.itm(3)} -pin "ACC1:acc#166" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
+load inst "ACC1-3:acc#107" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 34142 -attr oid 1306 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#107} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#167.itm(1)} -pin "ACC1-3:acc#107" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#167.itm(2)} -pin "ACC1-3:acc#107" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#167.itm(3)} -pin "ACC1-3:acc#107" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#167.itm(4)} -pin "ACC1-3:acc#107" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
+load net {ACC1:acc#166.itm(1)} -pin "ACC1-3:acc#107" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#166.itm(2)} -pin "ACC1-3:acc#107" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#166.itm(3)} -pin "ACC1-3:acc#107" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
+load net {ACC1:acc#107.psp#1.sva(0)} -pin "ACC1-3:acc#107" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#1.sva}
+load net {ACC1:acc#107.psp#1.sva(1)} -pin "ACC1-3:acc#107" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#1.sva}
+load net {ACC1:acc#107.psp#1.sva(2)} -pin "ACC1-3:acc#107" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#1.sva}
+load net {ACC1:acc#107.psp#1.sva(3)} -pin "ACC1-3:acc#107" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#1.sva}
+load inst "ACC1-3:not#133" "not(1)" "INTERFACE" -attr xrf 34143 -attr oid 1307 -attr @path {/sobel/sobel:core/ACC1-3:not#133} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#107.psp#1.sva(1)} -pin "ACC1-3:not#133" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#107.psp#1.sva)#4.itm}
+load net {ACC1-3:not#133.itm} -pin "ACC1-3:not#133" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#133.itm}
+load inst "ACC1:acc#168" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34144 -attr oid 1308 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#168" {A(0)} -attr @path {/sobel/sobel:core/conc#726.itm}
+load net {ACC1:acc#107.psp#1.sva(0)} -pin "ACC1:acc#168" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#726.itm}
+load net {ACC1:acc#107.psp#1.sva(2)} -pin "ACC1:acc#168" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#508.itm}
+load net {ACC1-3:not#133.itm} -pin "ACC1:acc#168" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#508.itm}
+load net {ACC1:acc#168.itm(0)} -pin "ACC1:acc#168" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
+load net {ACC1:acc#168.itm(1)} -pin "ACC1:acc#168" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
+load net {ACC1:acc#168.itm(2)} -pin "ACC1:acc#168" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
+load inst "ACC1-3:not#153" "not(1)" "INTERFACE" -attr xrf 34145 -attr oid 1309 -attr @path {/sobel/sobel:core/ACC1-3:not#153} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#107.psp#1.sva(3)} -pin "ACC1-3:not#153" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#107.psp#1.sva).itm}
+load net {ACC1-3:not#153.itm} -pin "ACC1-3:not#153" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#153.itm}
+load inst "ACC1-3:acc#116" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 34146 -attr oid 1310 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#116} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#168.itm(1)} -pin "ACC1-3:acc#116" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#35.itm}
+load net {ACC1:acc#168.itm(2)} -pin "ACC1-3:acc#116" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#35.itm}
+load net {ACC1-3:not#153.itm} -pin "ACC1-3:acc#116" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#153.itm}
+load net {ACC1:acc#116.psp.sva(0)} -pin "ACC1-3:acc#116" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp.sva}
+load net {ACC1:acc#116.psp.sva(1)} -pin "ACC1-3:acc#116" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp.sva}
+load net {ACC1:acc#116.psp.sva(2)} -pin "ACC1-3:acc#116" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp.sva}
+load inst "ACC1:acc#197" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34147 -attr oid 1311 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#197" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#562.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#197" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#562.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#197" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#551.itm}
+load net {acc.psp#1.sva(11)} -pin "ACC1:acc#197" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#551.itm}
+load net {ACC1:acc#197.cse(0)} -pin "ACC1:acc#197" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#197.cse(1)} -pin "ACC1:acc#197" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load net {ACC1:acc#197.cse(2)} -pin "ACC1:acc#197" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.cse}
+load inst "ACC1-3:not#25" "not(1)" "INTERFACE" -attr xrf 34148 -attr oid 1312 -attr @path {/sobel/sobel:core/ACC1-3:not#25} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#169.itm(2)} -pin "ACC1-3:not#25" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#2.sva)#3.itm}
+load net {ACC1-3:not#25.itm} -pin "ACC1-3:not#25" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#25.itm}
+load inst "ACC1-3:not#162" "not(1)" "INTERFACE" -attr xrf 34149 -attr oid 1313 -attr @path {/sobel/sobel:core/ACC1-3:not#162} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#169.itm(3)} -pin "ACC1-3:not#162" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#2.sva).itm}
+load net {ACC1-3:not#162.itm} -pin "ACC1-3:not#162" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#162.itm}
+load inst "ACC1:acc#170" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 34150 -attr oid 1314 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#170" {A(0)} -attr @path {/sobel/sobel:core/conc#727.itm}
+load net {ACC1:acc#169.itm(1)} -pin "ACC1:acc#170" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#727.itm}
+load net {PWR} -pin "ACC1:acc#170" {A(2)} -attr @path {/sobel/sobel:core/conc#727.itm}
+load net {ACC1-3:not#162.itm} -pin "ACC1:acc#170" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#513.itm}
+load net {ACC1-3:not#25.itm} -pin "ACC1:acc#170" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#513.itm}
+load net {ACC1:acc#170.itm(0)} -pin "ACC1:acc#170" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
+load net {ACC1:acc#170.itm(1)} -pin "ACC1:acc#170" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
+load net {ACC1:acc#170.itm(2)} -pin "ACC1:acc#170" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
+load inst "ACC1:not" "not(10)" "INTERFACE" -attr xrf 34151 -attr oid 1315 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(0)} -pin "ACC1:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(1)} -pin "ACC1:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(2)} -pin "ACC1:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(3)} -pin "ACC1:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(4)} -pin "ACC1:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(5)} -pin "ACC1:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(6)} -pin "ACC1:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(7)} -pin "ACC1:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(8)} -pin "ACC1:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
+load net {ACC1:not.itm(0)} -pin "ACC1:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(1)} -pin "ACC1:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(2)} -pin "ACC1:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(3)} -pin "ACC1:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(4)} -pin "ACC1:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(5)} -pin "ACC1:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(6)} -pin "ACC1:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(7)} -pin "ACC1:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(8)} -pin "ACC1:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(9)} -pin "ACC1:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load inst "ACC1:not#156" "not(10)" "INTERFACE" -attr xrf 34152 -attr oid 1316 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(10)} -pin "ACC1:not#156" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(11)} -pin "ACC1:not#156" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(12)} -pin "ACC1:not#156" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(13)} -pin "ACC1:not#156" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(14)} -pin "ACC1:not#156" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(15)} -pin "ACC1:not#156" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(16)} -pin "ACC1:not#156" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(17)} -pin "ACC1:not#156" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(18)} -pin "ACC1:not#156" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:not#156" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
+load net {ACC1:not#156.itm(0)} -pin "ACC1:not#156" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(1)} -pin "ACC1:not#156" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(2)} -pin "ACC1:not#156" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(3)} -pin "ACC1:not#156" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(4)} -pin "ACC1:not#156" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(5)} -pin "ACC1:not#156" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(6)} -pin "ACC1:not#156" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(7)} -pin "ACC1:not#156" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(8)} -pin "ACC1:not#156" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(9)} -pin "ACC1:not#156" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load inst "ACC1:acc#133" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 34153 -attr oid 1317 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not.itm(0)} -pin "ACC1:acc#133" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(1)} -pin "ACC1:acc#133" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(2)} -pin "ACC1:acc#133" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(3)} -pin "ACC1:acc#133" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(4)} -pin "ACC1:acc#133" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(5)} -pin "ACC1:acc#133" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(6)} -pin "ACC1:acc#133" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(7)} -pin "ACC1:acc#133" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(8)} -pin "ACC1:acc#133" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not.itm(9)} -pin "ACC1:acc#133" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
+load net {ACC1:not#156.itm(0)} -pin "ACC1:acc#133" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(1)} -pin "ACC1:acc#133" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(2)} -pin "ACC1:acc#133" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(3)} -pin "ACC1:acc#133" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(4)} -pin "ACC1:acc#133" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(5)} -pin "ACC1:acc#133" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(6)} -pin "ACC1:acc#133" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(7)} -pin "ACC1:acc#133" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(8)} -pin "ACC1:acc#133" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:not#156.itm(9)} -pin "ACC1:acc#133" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#156.itm}
+load net {ACC1:acc#133.itm(0)} -pin "ACC1:acc#133" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(1)} -pin "ACC1:acc#133" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(2)} -pin "ACC1:acc#133" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(3)} -pin "ACC1:acc#133" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(4)} -pin "ACC1:acc#133" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(5)} -pin "ACC1:acc#133" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(6)} -pin "ACC1:acc#133" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(7)} -pin "ACC1:acc#133" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(8)} -pin "ACC1:acc#133" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(9)} -pin "ACC1:acc#133" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(10)} -pin "ACC1:acc#133" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load inst "ACC1:not#157" "not(10)" "INTERFACE" -attr xrf 34154 -attr oid 1318 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
+load net {vin:rsc:mgc_in_wire.d(20)} -pin "ACC1:not#157" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(21)} -pin "ACC1:not#157" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(22)} -pin "ACC1:not#157" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(23)} -pin "ACC1:not#157" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(24)} -pin "ACC1:not#157" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(25)} -pin "ACC1:not#157" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(26)} -pin "ACC1:not#157" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(27)} -pin "ACC1:not#157" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(28)} -pin "ACC1:not#157" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1:not#157" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#9).itm}
+load net {ACC1:not#157.itm(0)} -pin "ACC1:not#157" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(1)} -pin "ACC1:not#157" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(2)} -pin "ACC1:not#157" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(3)} -pin "ACC1:not#157" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(4)} -pin "ACC1:not#157" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(5)} -pin "ACC1:not#157" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(6)} -pin "ACC1:not#157" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(7)} -pin "ACC1:not#157" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(8)} -pin "ACC1:not#157" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(9)} -pin "ACC1:not#157" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load inst "ACC1:acc#132" "add(10,1,2,0,11)" "INTERFACE" -attr xrf 34155 -attr oid 1319 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
+load net {ACC1:not#157.itm(0)} -pin "ACC1:acc#132" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(1)} -pin "ACC1:acc#132" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(2)} -pin "ACC1:acc#132" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(3)} -pin "ACC1:acc#132" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(4)} -pin "ACC1:acc#132" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(5)} -pin "ACC1:acc#132" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(6)} -pin "ACC1:acc#132" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(7)} -pin "ACC1:acc#132" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(8)} -pin "ACC1:acc#132" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {ACC1:not#157.itm(9)} -pin "ACC1:acc#132" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#157.itm}
+load net {PWR} -pin "ACC1:acc#132" {B(0)} -attr @path {/sobel/sobel:core/C3_2}
+load net {PWR} -pin "ACC1:acc#132" {B(1)} -attr @path {/sobel/sobel:core/C3_2}
+load net {ACC1:acc#132.itm(0)} -pin "ACC1:acc#132" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(1)} -pin "ACC1:acc#132" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(2)} -pin "ACC1:acc#132" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(3)} -pin "ACC1:acc#132" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(4)} -pin "ACC1:acc#132" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(5)} -pin "ACC1:acc#132" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(6)} -pin "ACC1:acc#132" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(7)} -pin "ACC1:acc#132" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(8)} -pin "ACC1:acc#132" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(9)} -pin "ACC1:acc#132" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(10)} -pin "ACC1:acc#132" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load inst "ACC1-1:acc" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 34156 -attr oid 1320 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
+load net {ACC1:acc#133.itm(0)} -pin "ACC1-1:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(1)} -pin "ACC1-1:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(2)} -pin "ACC1-1:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(3)} -pin "ACC1-1:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(4)} -pin "ACC1-1:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(5)} -pin "ACC1-1:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(6)} -pin "ACC1-1:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(7)} -pin "ACC1-1:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(8)} -pin "ACC1-1:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(9)} -pin "ACC1-1:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#133.itm(10)} -pin "ACC1-1:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
+load net {ACC1:acc#132.itm(0)} -pin "ACC1-1:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(1)} -pin "ACC1-1:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(2)} -pin "ACC1-1:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(3)} -pin "ACC1-1:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(4)} -pin "ACC1-1:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(5)} -pin "ACC1-1:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(6)} -pin "ACC1-1:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(7)} -pin "ACC1-1:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(8)} -pin "ACC1-1:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(9)} -pin "ACC1-1:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {ACC1:acc#132.itm(10)} -pin "ACC1-1:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
+load net {acc.psp#2.sva(0)} -pin "ACC1-1:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(1)} -pin "ACC1-1:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(2)} -pin "ACC1-1:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(3)} -pin "ACC1-1:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(4)} -pin "ACC1-1:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(5)} -pin "ACC1-1:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(6)} -pin "ACC1-1:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(7)} -pin "ACC1-1:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(8)} -pin "ACC1-1:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(9)} -pin "ACC1-1:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(10)} -pin "ACC1-1:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.psp#2.sva}
+load inst "ACC1-1:not#25" "not(1)" "INTERFACE" -attr xrf 34157 -attr oid 1321 -attr @path {/sobel/sobel:core/ACC1-1:not#25} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#140.itm(2)} -pin "ACC1-1:not#25" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#14.sva)#3.itm}
+load net {ACC1-1:not#25.itm} -pin "ACC1-1:not#25" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#25.itm}
+load inst "ACC1-1:not#162" "not(1)" "INTERFACE" -attr xrf 34158 -attr oid 1322 -attr @path {/sobel/sobel:core/ACC1-1:not#162} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#140.itm(3)} -pin "ACC1-1:not#162" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#14.sva).itm}
+load net {ACC1-1:not#162.itm} -pin "ACC1-1:not#162" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#162.itm}
+load inst "ACC1:acc#141" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 34159 -attr oid 1323 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#141" {A(0)} -attr @path {/sobel/sobel:core/conc#728.itm}
+load net {ACC1:acc#140.itm(1)} -pin "ACC1:acc#141" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#728.itm}
+load net {PWR} -pin "ACC1:acc#141" {A(2)} -attr @path {/sobel/sobel:core/conc#728.itm}
+load net {ACC1-1:not#162.itm} -pin "ACC1:acc#141" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#459.itm}
+load net {ACC1-1:not#25.itm} -pin "ACC1:acc#141" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#459.itm}
+load net {ACC1:acc#141.itm(0)} -pin "ACC1:acc#141" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
+load net {ACC1:acc#141.itm(1)} -pin "ACC1:acc#141" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
+load net {ACC1:acc#141.itm(2)} -pin "ACC1:acc#141" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
+load inst "ACC1-1:not#145" "not(2)" "INTERFACE" -attr xrf 34160 -attr oid 1324 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#145} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#116.psp#1.sva(1)} -pin "ACC1-1:not#145" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp#1.sva).itm}
+load net {ACC1:acc#116.psp#1.sva(2)} -pin "ACC1-1:not#145" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp#1.sva).itm}
+load net {ACC1-1:not#145.itm(0)} -pin "ACC1-1:not#145" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#145.itm}
+load net {ACC1-1:not#145.itm(1)} -pin "ACC1-1:not#145" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#145.itm}
+load inst "ACC1:acc#140" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 34161 -attr oid 1325 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#140" {A(0)} -attr @path {/sobel/sobel:core/conc#729.itm}
+load net {ACC1-1:not#145.itm(0)} -pin "ACC1:acc#140" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#729.itm}
+load net {ACC1-1:not#145.itm(1)} -pin "ACC1:acc#140" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#729.itm}
+load net {PWR} -pin "ACC1:acc#140" {B(0)} -attr @path {/sobel/sobel:core/conc#730.itm}
+load net {ACC1:acc#116.psp#1.sva(0)} -pin "ACC1:acc#140" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#730.itm}
+load net {ACC1:acc#140.itm(0)} -pin "ACC1:acc#140" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
+load net {ACC1:acc#140.itm(1)} -pin "ACC1:acc#140" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
+load net {ACC1:acc#140.itm(2)} -pin "ACC1:acc#140" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
+load net {ACC1:acc#140.itm(3)} -pin "ACC1:acc#140" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
+load inst "ACC1-1:not#160" "not(1)" "INTERFACE" -attr xrf 34162 -attr oid 1326 -attr @path {/sobel/sobel:core/ACC1-1:not#160} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(11)} -pin "ACC1-1:not#160" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#32.itm}
+load net {ACC1-1:not#160.itm} -pin "ACC1-1:not#160" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#160.itm}
+load inst "ACC1-1:not#106" "not(1)" "INTERFACE" -attr xrf 34163 -attr oid 1327 -attr @path {/sobel/sobel:core/ACC1-1:not#106} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(1)} -pin "ACC1-1:not#106" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#8.itm}
+load net {ACC1-1:not#106.itm} -pin "ACC1-1:not#106" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#106.itm}
+load inst "ACC1:acc#136" "add(2,1,2,0,4)" "INTERFACE" -attr xrf 34164 -attr oid 1328 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {PWR} -pin "ACC1:acc#136" {A(0)} -attr @path {/sobel/sobel:core/conc#732.itm}
+load net {ACC1-1:not#160.itm} -pin "ACC1:acc#136" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#732.itm}
+load net {acc.psp#2.sva(8)} -pin "ACC1:acc#136" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#448.itm}
+load net {ACC1-1:not#106.itm} -pin "ACC1:acc#136" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#448.itm}
+load net {ACC1:acc#136.itm(0)} -pin "ACC1:acc#136" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
+load net {ACC1:acc#136.itm(1)} -pin "ACC1:acc#136" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
+load net {ACC1:acc#136.itm(2)} -pin "ACC1:acc#136" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
+load net {ACC1:acc#136.itm(3)} -pin "ACC1:acc#136" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
+load inst "ACC1:acc#138" "add(4,1,4,1,5)" "INTERFACE" -attr xrf 34165 -attr oid 1329 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,1,4,1,5)"
+load net {PWR} -pin "ACC1:acc#138" {A(0)} -attr @path {/sobel/sobel:core/conc#731.itm}
+load net {ACC1:acc#136.itm(1)} -pin "ACC1:acc#138" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#731.itm}
+load net {ACC1:acc#136.itm(2)} -pin "ACC1:acc#138" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#731.itm}
+load net {ACC1:acc#136.itm(3)} -pin "ACC1:acc#138" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#731.itm}
+load net {acc.psp#2.sva(10)} -pin "ACC1:acc#138" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#733.itm}
+load net {acc.psp#2.sva(0)} -pin "ACC1:acc#138" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#733.itm}
+load net {GND} -pin "ACC1:acc#138" {B(2)} -attr @path {/sobel/sobel:core/conc#733.itm}
+load net {PWR} -pin "ACC1:acc#138" {B(3)} -attr @path {/sobel/sobel:core/conc#733.itm}
+load net {ACC1:acc#138.itm(0)} -pin "ACC1:acc#138" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
+load net {ACC1:acc#138.itm(1)} -pin "ACC1:acc#138" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
+load net {ACC1:acc#138.itm(2)} -pin "ACC1:acc#138" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
+load net {ACC1:acc#138.itm(3)} -pin "ACC1:acc#138" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
+load net {ACC1:acc#138.itm(4)} -pin "ACC1:acc#138" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
+load inst "ACC1-1:not#107" "not(1)" "INTERFACE" -attr xrf 34166 -attr oid 1330 -attr @path {/sobel/sobel:core/ACC1-1:not#107} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(3)} -pin "ACC1-1:not#107" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#7.itm}
+load net {ACC1-1:not#107.itm} -pin "ACC1-1:not#107" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#107.itm}
+load inst "ACC1-1:not#109" "not(1)" "INTERFACE" -attr xrf 34167 -attr oid 1331 -attr @path {/sobel/sobel:core/ACC1-1:not#109} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(7)} -pin "ACC1-1:not#109" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#3.itm}
+load net {ACC1-1:not#109.itm} -pin "ACC1-1:not#109" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#109.itm}
+load inst "ACC1:acc#135" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34168 -attr oid 1332 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#135" {A(0)} -attr @path {/sobel/sobel:core/conc#735.itm}
+load net {acc.psp#2.sva(2)} -pin "ACC1:acc#135" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#735.itm}
+load net {ACC1-1:not#109.itm} -pin "ACC1:acc#135" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#446.itm}
+load net {ACC1-1:not#107.itm} -pin "ACC1:acc#135" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#446.itm}
+load net {ACC1:acc#135.itm(0)} -pin "ACC1:acc#135" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
+load net {ACC1:acc#135.itm(1)} -pin "ACC1:acc#135" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
+load net {ACC1:acc#135.itm(2)} -pin "ACC1:acc#135" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
+load inst "ACC1-1:not#108" "not(1)" "INTERFACE" -attr xrf 34169 -attr oid 1333 -attr @path {/sobel/sobel:core/ACC1-1:not#108} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(5)} -pin "ACC1-1:not#108" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#2.itm}
+load net {ACC1-1:not#108.itm} -pin "ACC1-1:not#108" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#108.itm}
+load inst "ACC1:acc#134" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34170 -attr oid 1334 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#134" {A(0)} -attr @path {/sobel/sobel:core/conc#736.itm}
+load net {acc.psp#2.sva(4)} -pin "ACC1:acc#134" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#736.itm}
+load net {acc.psp#2.sva(6)} -pin "ACC1:acc#134" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#444.itm}
+load net {ACC1-1:not#108.itm} -pin "ACC1:acc#134" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#444.itm}
+load net {ACC1:acc#134.itm(0)} -pin "ACC1:acc#134" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
+load net {ACC1:acc#134.itm(1)} -pin "ACC1:acc#134" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
+load net {ACC1:acc#134.itm(2)} -pin "ACC1:acc#134" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
+load inst "ACC1-1:not#110" "not(1)" "INTERFACE" -attr xrf 34171 -attr oid 1335 -attr @path {/sobel/sobel:core/ACC1-1:not#110} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.psp#2.sva(9)} -pin "ACC1-1:not#110" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.psp#2.sva)#59.itm}
+load net {ACC1-1:not#110.itm} -pin "ACC1-1:not#110" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#110.itm}
+load inst "ACC1:acc#137" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 34172 -attr oid 1336 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {PWR} -pin "ACC1:acc#137" {A(0)} -attr @path {/sobel/sobel:core/conc#734.itm}
+load net {ACC1:acc#135.itm(1)} -pin "ACC1:acc#137" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#734.itm}
+load net {ACC1:acc#135.itm(2)} -pin "ACC1:acc#137" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#734.itm}
+load net {ACC1-1:not#110.itm} -pin "ACC1:acc#137" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#450.itm}
+load net {ACC1:acc#134.itm(1)} -pin "ACC1:acc#137" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#450.itm}
+load net {ACC1:acc#134.itm(2)} -pin "ACC1:acc#137" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#450.itm}
+load net {ACC1:acc#137.itm(0)} -pin "ACC1:acc#137" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
+load net {ACC1:acc#137.itm(1)} -pin "ACC1:acc#137" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
+load net {ACC1:acc#137.itm(2)} -pin "ACC1:acc#137" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
+load net {ACC1:acc#137.itm(3)} -pin "ACC1:acc#137" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
+load inst "ACC1-1:acc#107" "add(4,-1,3,0,4)" "INTERFACE" -attr xrf 34173 -attr oid 1337 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#107} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
+load net {ACC1:acc#138.itm(1)} -pin "ACC1-1:acc#107" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#10.itm}
+load net {ACC1:acc#138.itm(2)} -pin "ACC1-1:acc#107" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#10.itm}
+load net {ACC1:acc#138.itm(3)} -pin "ACC1-1:acc#107" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#10.itm}
+load net {ACC1:acc#138.itm(4)} -pin "ACC1-1:acc#107" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#10.itm}
+load net {ACC1:acc#137.itm(1)} -pin "ACC1-1:acc#107" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc.itm}
+load net {ACC1:acc#137.itm(2)} -pin "ACC1-1:acc#107" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc.itm}
+load net {ACC1:acc#137.itm(3)} -pin "ACC1-1:acc#107" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc.itm}
+load net {ACC1:acc#107.psp#2.sva(0)} -pin "ACC1-1:acc#107" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#2.sva}
+load net {ACC1:acc#107.psp#2.sva(1)} -pin "ACC1-1:acc#107" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#2.sva}
+load net {ACC1:acc#107.psp#2.sva(2)} -pin "ACC1-1:acc#107" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#2.sva}
+load net {ACC1:acc#107.psp#2.sva(3)} -pin "ACC1-1:acc#107" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#107.psp#2.sva}
+load inst "ACC1-1:not#133" "not(1)" "INTERFACE" -attr xrf 34174 -attr oid 1338 -attr @path {/sobel/sobel:core/ACC1-1:not#133} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#107.psp#2.sva(1)} -pin "ACC1-1:not#133" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#107.psp#2.sva)#4.itm}
+load net {ACC1-1:not#133.itm} -pin "ACC1-1:not#133" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#133.itm}
+load inst "ACC1:acc#139" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34175 -attr oid 1339 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {PWR} -pin "ACC1:acc#139" {A(0)} -attr @path {/sobel/sobel:core/conc#737.itm}
+load net {ACC1:acc#107.psp#2.sva(0)} -pin "ACC1:acc#139" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#737.itm}
+load net {ACC1:acc#107.psp#2.sva(2)} -pin "ACC1:acc#139" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#454.itm}
+load net {ACC1-1:not#133.itm} -pin "ACC1:acc#139" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#454.itm}
+load net {ACC1:acc#139.itm(0)} -pin "ACC1:acc#139" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
+load net {ACC1:acc#139.itm(1)} -pin "ACC1:acc#139" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
+load net {ACC1:acc#139.itm(2)} -pin "ACC1:acc#139" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
+load inst "ACC1-1:not#153" "not(1)" "INTERFACE" -attr xrf 34176 -attr oid 1340 -attr @path {/sobel/sobel:core/ACC1-1:not#153} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#107.psp#2.sva(3)} -pin "ACC1-1:not#153" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:acc#107.psp#2.sva)#1.itm}
+load net {ACC1-1:not#153.itm} -pin "ACC1-1:not#153" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#153.itm}
+load inst "ACC1-1:acc#116" "add(2,0,1,1,3)" "INTERFACE" -attr xrf 34177 -attr oid 1341 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#116} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,1,4)"
+load net {ACC1:acc#139.itm(1)} -pin "ACC1-1:acc#116" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#11.itm}
+load net {ACC1:acc#139.itm(2)} -pin "ACC1-1:acc#116" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#11.itm}
+load net {ACC1-1:not#153.itm} -pin "ACC1-1:acc#116" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:not#153.itm}
+load net {ACC1:acc#116.psp#1.sva(0)} -pin "ACC1-1:acc#116" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp#1.sva}
+load net {ACC1:acc#116.psp#1.sva(1)} -pin "ACC1-1:acc#116" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp#1.sva}
+load net {ACC1:acc#116.psp#1.sva(2)} -pin "ACC1-1:acc#116" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#116.psp#1.sva}
+load inst "ACC1:acc#224" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 34178 -attr oid 1342 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#224" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#858.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#224" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#858.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#224" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#833.itm}
+load net {acc.psp#2.sva(11)} -pin "ACC1:acc#224" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#833.itm}
+load net {ACC1:acc#224.cse(0)} -pin "ACC1:acc#224" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#224.cse(1)} -pin "ACC1:acc#224" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load net {ACC1:acc#224.cse(2)} -pin "ACC1:acc#224" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.cse}
+load inst "ACC1-3:not#145" "not(2)" "INTERFACE" -attr xrf 34179 -attr oid 1343 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#145} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
+load net {ACC1:acc#116.psp.sva(1)} -pin "ACC1-3:not#145" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp.sva).itm}
+load net {ACC1:acc#116.psp.sva(2)} -pin "ACC1-3:not#145" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#116.psp.sva).itm}
+load net {ACC1-3:not#145.itm(0)} -pin "ACC1-3:not#145" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#145.itm}
+load net {ACC1-3:not#145.itm(1)} -pin "ACC1-3:not#145" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:not#145.itm}
+load inst "ACC1:acc#169" "add(3,1,2,0,4)" "INTERFACE" -attr xrf 34180 -attr oid 1344 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#169" {A(0)} -attr @path {/sobel/sobel:core/conc#738.itm}
+load net {ACC1-3:not#145.itm(0)} -pin "ACC1:acc#169" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#738.itm}
+load net {ACC1-3:not#145.itm(1)} -pin "ACC1:acc#169" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#738.itm}
+load net {PWR} -pin "ACC1:acc#169" {B(0)} -attr @path {/sobel/sobel:core/conc#739.itm}
+load net {ACC1:acc#116.psp.sva(0)} -pin "ACC1:acc#169" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#739.itm}
+load net {ACC1:acc#169.itm(0)} -pin "ACC1:acc#169" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
+load net {ACC1:acc#169.itm(1)} -pin "ACC1:acc#169" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
+load net {ACC1:acc#169.itm(2)} -pin "ACC1:acc#169" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
+load net {ACC1:acc#169.itm(3)} -pin "ACC1:acc#169" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
+load inst "not#17" "not(1)" "INTERFACE" -attr xrf 34181 -attr oid 1345 -attr @path {/sobel/sobel:core/not#17} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {exit:FRAME:for.lpi#1.dfm#4} -pin "not#17" {A(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm#4}
+load net {not#17.itm} -pin "not#17" {Z(0)} -attr @path {/sobel/sobel:core/not#17.itm}
+load inst "FRAME:for:and#2" "and(2,1)" "INTERFACE" -attr xrf 34182 -attr oid 1346 -attr @path {/sobel/sobel:core/FRAME:for:and#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {exit:FRAME.lpi#1.dfm#1} -pin "FRAME:for:and#2" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#1}
+load net {not#17.itm} -pin "FRAME:for:and#2" {A1(0)} -attr @path {/sobel/sobel:core/not#17.itm}
+load net {FRAME:for:and#2.itm} -pin "FRAME:for:and#2" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#2.itm}
+load inst "FRAME:acc" "add(7,0,8,-1,8)" "INTERFACE" -attr xrf 34183 -attr oid 1347 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc} -attr area 9.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,1,10)"
+load net {FRAME:p#1.sva#1(12)} -pin "FRAME:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(13)} -pin "FRAME:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(14)} -pin "FRAME:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(15)} -pin "FRAME:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(16)} -pin "FRAME:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(17)} -pin "FRAME:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(18)} -pin "FRAME:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {PWR} -pin "FRAME:acc" {B(0)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {GND} -pin "FRAME:acc" {B(1)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(2)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {GND} -pin "FRAME:acc" {B(3)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(4)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(5)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {GND} -pin "FRAME:acc" {B(6)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(7)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {FRAME:acc.itm(0)} -pin "FRAME:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(1)} -pin "FRAME:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(2)} -pin "FRAME:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(3)} -pin "FRAME:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(4)} -pin "FRAME:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(5)} -pin "FRAME:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(6)} -pin "FRAME:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(7)} -pin "FRAME:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load inst "FRAME:not" "not(1)" "INTERFACE" -attr xrf 34184 -attr oid 1348 -attr @path {/sobel/sobel:core/FRAME:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc.itm(7)} -pin "FRAME:not" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc.itm}
+load net {FRAME:not.itm} -pin "FRAME:not" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not.itm}
+load inst "mux#12" "mux(2,1)" "INTERFACE" -attr xrf 34185 -attr oid 1349 -attr @path {/sobel/sobel:core/mux#12} -attr area 0.920423 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(1,1,2)"
+load net {FRAME:for:and#2.itm} -pin "mux#12" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#2.itm}
+load net {FRAME:not.itm} -pin "mux#12" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:not.itm}
+load net {FRAME:for:acc.itm(1)} -pin "mux#12" {S(0)} -attr @path {/sobel/sobel:core/FRAME:for:slc.itm}
+load net {exit:FRAME.lpi#1.dfm#1:mx0} -pin "mux#12" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#1:mx0}
+load inst "FRAME:acc#4" "add(19,-1,1,0,19)" "INTERFACE" -attr xrf 34186 -attr oid 1350 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4} -attr area 20.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(19,0,2,1,19)"
+load net {FRAME:p#1.lpi#1.dfm(0)} -pin "FRAME:acc#4" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(1)} -pin "FRAME:acc#4" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(2)} -pin "FRAME:acc#4" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(3)} -pin "FRAME:acc#4" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(4)} -pin "FRAME:acc#4" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(5)} -pin "FRAME:acc#4" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(6)} -pin "FRAME:acc#4" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(7)} -pin "FRAME:acc#4" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(8)} -pin "FRAME:acc#4" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(9)} -pin "FRAME:acc#4" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(10)} -pin "FRAME:acc#4" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(11)} -pin "FRAME:acc#4" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(12)} -pin "FRAME:acc#4" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(13)} -pin "FRAME:acc#4" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(14)} -pin "FRAME:acc#4" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(15)} -pin "FRAME:acc#4" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(16)} -pin "FRAME:acc#4" {A(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(17)} -pin "FRAME:acc#4" {A(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(18)} -pin "FRAME:acc#4" {A(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {PWR} -pin "FRAME:acc#4" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {FRAME:p#1.sva#1(0)} -pin "FRAME:acc#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(1)} -pin "FRAME:acc#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(2)} -pin "FRAME:acc#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(3)} -pin "FRAME:acc#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(4)} -pin "FRAME:acc#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(5)} -pin "FRAME:acc#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(6)} -pin "FRAME:acc#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(7)} -pin "FRAME:acc#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(8)} -pin "FRAME:acc#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(9)} -pin "FRAME:acc#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(10)} -pin "FRAME:acc#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(11)} -pin "FRAME:acc#4" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(12)} -pin "FRAME:acc#4" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(13)} -pin "FRAME:acc#4" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(14)} -pin "FRAME:acc#4" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(15)} -pin "FRAME:acc#4" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(16)} -pin "FRAME:acc#4" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(17)} -pin "FRAME:acc#4" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(18)} -pin "FRAME:acc#4" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load inst "FRAME:not#10" "not(1)" "INTERFACE" -attr xrf 34187 -attr oid 1351 -attr @path {/sobel/sobel:core/FRAME:not#10} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {exit:FRAME#1.sva} -pin "FRAME:not#10" {A(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {FRAME:not#10.itm} -pin "FRAME:not#10" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load inst "FRAME:for:and" "and(2,19)" "INTERFACE" -attr xrf 34188 -attr oid 1352 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and} -attr area 13.866816 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(19,2)"
+load net {FRAME:p#1.lpi#1(0)} -pin "FRAME:for:and" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(1)} -pin "FRAME:for:and" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(2)} -pin "FRAME:for:and" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(3)} -pin "FRAME:for:and" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(4)} -pin "FRAME:for:and" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(5)} -pin "FRAME:for:and" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(6)} -pin "FRAME:for:and" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(7)} -pin "FRAME:for:and" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(8)} -pin "FRAME:for:and" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(9)} -pin "FRAME:for:and" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(10)} -pin "FRAME:for:and" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(11)} -pin "FRAME:for:and" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(12)} -pin "FRAME:for:and" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(13)} -pin "FRAME:for:and" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(14)} -pin "FRAME:for:and" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(15)} -pin "FRAME:for:and" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(16)} -pin "FRAME:for:and" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(17)} -pin "FRAME:for:and" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(18)} -pin "FRAME:for:and" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:not#10.itm} -pin "FRAME:for:and" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs.itm}
+load net {FRAME:p#1.lpi#1.dfm(0)} -pin "FRAME:for:and" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(1)} -pin "FRAME:for:and" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(2)} -pin "FRAME:for:and" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(3)} -pin "FRAME:for:and" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(4)} -pin "FRAME:for:and" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(5)} -pin "FRAME:for:and" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(6)} -pin "FRAME:for:and" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(7)} -pin "FRAME:for:and" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(8)} -pin "FRAME:for:and" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(9)} -pin "FRAME:for:and" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(10)} -pin "FRAME:for:and" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(11)} -pin "FRAME:for:and" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(12)} -pin "FRAME:for:and" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(13)} -pin "FRAME:for:and" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(14)} -pin "FRAME:for:and" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(15)} -pin "FRAME:for:and" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(16)} -pin "FRAME:for:and" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(17)} -pin "FRAME:for:and" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(18)} -pin "FRAME:for:and" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load inst "mux#13" "mux(2,12)" "INTERFACE" -attr xrf 34189 -attr oid 1353 -attr vt d -attr @path {/sobel/sobel:core/mux#13} -attr area 11.034076 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(12,1,2)"
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(0)} -pin "mux#13" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(1)} -pin "mux#13" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(2)} -pin "mux#13" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(3)} -pin "mux#13" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(4)} -pin "mux#13" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(5)} -pin "mux#13" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(6)} -pin "mux#13" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(7)} -pin "mux#13" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(8)} -pin "mux#13" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(9)} -pin "mux#13" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(10)} -pin "mux#13" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm(11)} -pin "mux#13" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm}
+load net {ACC1:acc#125.psp#1.sva(0)} -pin "mux#13" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(1)} -pin "mux#13" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(2)} -pin "mux#13" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(3)} -pin "mux#13" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(4)} -pin "mux#13" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(5)} -pin "mux#13" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(6)} -pin "mux#13" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(7)} -pin "mux#13" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(8)} -pin "mux#13" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(9)} -pin "mux#13" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(10)} -pin "mux#13" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {ACC1:acc#125.psp#1.sva(11)} -pin "mux#13" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.sva}
+load net {and.cse} -pin "mux#13" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(0)} -pin "mux#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(1)} -pin "mux#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(2)} -pin "mux#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(3)} -pin "mux#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(4)} -pin "mux#13" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(5)} -pin "mux#13" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(6)} -pin "mux#13" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(7)} -pin "mux#13" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(8)} -pin "mux#13" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(9)} -pin "mux#13" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(10)} -pin "mux#13" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load net {ACC1:acc#125.psp#1.lpi#1.dfm:mx0(11)} -pin "mux#13" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#125.psp#1.lpi#1.dfm:mx0}
+load inst "mux#14" "mux(2,2)" "INTERFACE" -attr xrf 34190 -attr oid 1354 -attr vt d -attr @path {/sobel/sobel:core/mux#14} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {acc.imod#18.lpi#1.dfm.sg1(0)} -pin "mux#14" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1}
+load net {acc.imod#18.lpi#1.dfm.sg1(1)} -pin "mux#14" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1}
+load net {ACC1:acc#150.itm(2)} -pin "mux#14" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva).itm}
+load net {ACC1:acc#150.itm(3)} -pin "mux#14" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva).itm}
+load net {and.cse} -pin "mux#14" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(0)} -pin "mux#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1:mx0}
+load net {acc.imod#18.lpi#1.dfm.sg1:mx0(1)} -pin "mux#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#18.lpi#1.dfm.sg1:mx0}
+load inst "mux#15" "mux(2,3)" "INTERFACE" -attr xrf 34191 -attr oid 1355 -attr vt d -attr @path {/sobel/sobel:core/mux#15} -attr area 2.759269 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(3,1,2)"
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(0)} -pin "mux#15" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(1)} -pin "mux#15" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1(2)} -pin "mux#15" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1}
+load net {ACC1:acc#148.itm(2)} -pin "mux#15" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.sva).itm}
+load net {ACC1:acc#148.itm(3)} -pin "mux#15" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.sva).itm}
+load net {ACC1:acc#148.itm(4)} -pin "mux#15" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#110.psp#2.sva).itm}
+load net {and.cse} -pin "mux#15" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(0)} -pin "mux#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(1)} -pin "mux#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0(2)} -pin "mux#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#110.psp#2.lpi#1.dfm.sg1:mx0}
+load inst "ACC1-1:not#57" "not(1)" "INTERFACE" -attr xrf 34192 -attr oid 1356 -attr @path {/sobel/sobel:core/ACC1-1:not#57} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#150.itm(2)} -pin "ACC1-1:not#57" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva)#2.itm}
+load net {ACC1-1:not#57.itm} -pin "ACC1-1:not#57" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#57.itm}
+load inst "ACC1-1:not#58" "not(1)" "INTERFACE" -attr xrf 34193 -attr oid 1357 -attr @path {/sobel/sobel:core/ACC1-1:not#58} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC1:acc#150.itm(3)} -pin "ACC1-1:not#58" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#18.sva)#3.itm}
+load net {ACC1-1:not#58.itm} -pin "ACC1-1:not#58" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#58.itm}
+load inst "ACC1:acc#151" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 34194 -attr oid 1358 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,5)"
+load net {PWR} -pin "ACC1:acc#151" {A(0)} -attr @path {/sobel/sobel:core/conc#740.itm}
+load net {ACC1:acc#150.itm(1)} -pin "ACC1:acc#151" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#740.itm}
+load net {PWR} -pin "ACC1:acc#151" {A(2)} -attr @path {/sobel/sobel:core/conc#740.itm}
+load net {ACC1-1:not#58.itm} -pin "ACC1:acc#151" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#477.itm}
+load net {ACC1-1:not#57.itm} -pin "ACC1:acc#151" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#477.itm}
+load net {ACC1:acc#151.itm(0)} -pin "ACC1:acc#151" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
+load net {ACC1:acc#151.itm(1)} -pin "ACC1:acc#151" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
+load net {ACC1:acc#151.itm(2)} -pin "ACC1:acc#151" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
+load inst "mux#16" "mux(2,2)" "INTERFACE" -attr xrf 34195 -attr oid 1359 -attr vt d -attr @path {/sobel/sobel:core/mux#16} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {acc.imod#20.lpi#1.dfm(0)} -pin "mux#16" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm}
+load net {acc.imod#20.lpi#1.dfm(1)} -pin "mux#16" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm}
+load net {ACC1:acc#151.itm(1)} -pin "mux#16" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#21.itm}
+load net {ACC1:acc#151.itm(2)} -pin "mux#16" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#21.itm}
+load net {and.cse} -pin "mux#16" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {acc.imod#20.lpi#1.dfm:mx0(0)} -pin "mux#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm:mx0}
+load net {acc.imod#20.lpi#1.dfm:mx0(1)} -pin "mux#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#20.lpi#1.dfm:mx0}
+load inst "mux#17" "mux(2,2)" "INTERFACE" -attr xrf 34196 -attr oid 1360 -attr vt d -attr @path {/sobel/sobel:core/mux#17} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(0)} -pin "mux#17" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1(1)} -pin "mux#17" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1}
+load net {ACC1:acc#118.psp#1.sva(1)} -pin "mux#17" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp#1.sva)#2.itm}
+load net {ACC1:acc#118.psp#1.sva(2)} -pin "mux#17" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#118.psp#1.sva)#2.itm}
+load net {and.cse} -pin "mux#17" {S(0)} -attr @path {/sobel/sobel:core/and.cse}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(0)} -pin "mux#17" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0}
+load net {ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0(1)} -pin "mux#17" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#118.psp#1.lpi#1.dfm.sg1:mx0}
+load inst "FRAME:for:nor" "nor(2,1)" "INTERFACE" -attr xrf 34197 -attr oid 1361 -attr @path {/sobel/sobel:core/FRAME:for:nor} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nor(1,2)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:nor" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#3.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:nor" {A1(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#4.itm}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:nor" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:nor.cse}
+load inst "FRAME:for:not#8" "not(1)" "INTERFACE" -attr xrf 34198 -attr oid 1362 -attr @path {/sobel/sobel:core/FRAME:for:not#8} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:not#8" {A(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#10.itm}
+load net {FRAME:for:not#8.itm} -pin "FRAME:for:not#8" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#8.itm}
+load inst "FRAME:for:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 34199 -attr oid 1363 -attr @path {/sobel/sobel:core/FRAME:for:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {FRAME:for:not#8.itm} -pin "FRAME:for:nand#1" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#8.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#5.itm}
+load net {FRAME:for:nand#1.itm} -pin "FRAME:for:nand#1" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand#1.itm}
+load inst "FRAME:for:or#4" "or(2,1)" "INTERFACE" -attr xrf 34200 -attr oid 1364 -attr @path {/sobel/sobel:core/FRAME:for:or#4} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {FRAME:for:nand#1.itm} -pin "FRAME:for:or#4" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand#1.itm}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:or#4" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:nor.cse}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:or#4" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:or#4.itm}
+load inst "FRAME:for:not#5" "not(1)" "INTERFACE" -attr xrf 34201 -attr oid 1365 -attr @path {/sobel/sobel:core/FRAME:for:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:not#5" {A(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#8.itm}
+load net {FRAME:for:not#5.itm} -pin "FRAME:for:not#5" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#5.itm}
+load inst "FRAME:for:nand" "nand(2,1)" "INTERFACE" -attr xrf 34202 -attr oid 1366 -attr @path {/sobel/sobel:core/FRAME:for:nand} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:nand" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#6.itm}
+load net {FRAME:for:not#5.itm} -pin "FRAME:for:nand" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#5.itm}
+load net {FRAME:for:nand.itm} -pin "FRAME:for:nand" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand.itm}
+load inst "FRAME:for:not#2" "not(1)" "INTERFACE" -attr xrf 34203 -attr oid 1367 -attr @path {/sobel/sobel:core/FRAME:for:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:not#2" {A(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#7.itm}
+load net {FRAME:for:not#2.itm} -pin "FRAME:for:not#2" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#2.itm}
+load inst "FRAME:for:and#3" "and(2,1)" "INTERFACE" -attr xrf 34204 -attr oid 1368 -attr @path {/sobel/sobel:core/FRAME:for:and#3} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:and#3" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#9.itm}
+load net {FRAME:for:not#2.itm} -pin "FRAME:for:and#3" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#2.itm}
+load net {FRAME:for:and#3.itm} -pin "FRAME:for:and#3" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#3.itm}
+load inst "FRAME:for:or#3" "or(3,1)" "INTERFACE" -attr xrf 34205 -attr oid 1369 -attr @path {/sobel/sobel:core/FRAME:for:or#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,3)"
+load net {FRAME:for:nand.itm} -pin "FRAME:for:or#3" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand.itm}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:or#3" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:nor.cse}
+load net {FRAME:for:and#3.itm} -pin "FRAME:for:or#3" {A2(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#3.itm}
+load net {FRAME:for:or#3.itm} -pin "FRAME:for:or#3" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:or#3.itm}
+load inst "nor" "nor(2,1)" "INTERFACE" -attr @path {/sobel/sobel:core/nor} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nor(1,2)"
+load net {exit:FRAME:for.sva#1.st#1} -pin "nor" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.sva#1.st#1}
+load net {exit:FRAME#1.sva} -pin "nor" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {and.cse} -pin "nor" {Z(0)} -attr @path {/sobel/sobel:core/and.cse}
+### END MODULE
+
+module new "sobel" "orig"
+load portBus {vin:rsc.z(89:0)} input 90 {vin:rsc.z(89)} {vin:rsc.z(88)} {vin:rsc.z(87)} {vin:rsc.z(86)} {vin:rsc.z(85)} {vin:rsc.z(84)} {vin:rsc.z(83)} {vin:rsc.z(82)} {vin:rsc.z(81)} {vin:rsc.z(80)} {vin:rsc.z(79)} {vin:rsc.z(78)} {vin:rsc.z(77)} {vin:rsc.z(76)} {vin:rsc.z(75)} {vin:rsc.z(74)} {vin:rsc.z(73)} {vin:rsc.z(72)} {vin:rsc.z(71)} {vin:rsc.z(70)} {vin:rsc.z(69)} {vin:rsc.z(68)} {vin:rsc.z(67)} {vin:rsc.z(66)} {vin:rsc.z(65)} {vin:rsc.z(64)} {vin:rsc.z(63)} {vin:rsc.z(62)} {vin:rsc.z(61)} {vin:rsc.z(60)} {vin:rsc.z(59)} {vin:rsc.z(58)} {vin:rsc.z(57)} {vin:rsc.z(56)} {vin:rsc.z(55)} {vin:rsc.z(54)} {vin:rsc.z(53)} {vin:rsc.z(52)} {vin:rsc.z(51)} {vin:rsc.z(50)} {vin:rsc.z(49)} {vin:rsc.z(48)} {vin:rsc.z(47)} {vin:rsc.z(46)} {vin:rsc.z(45)} {vin:rsc.z(44)} {vin:rsc.z(43)} {vin:rsc.z(42)} {vin:rsc.z(41)} {vin:rsc.z(40)} {vin:rsc.z(39)} {vin:rsc.z(38)} {vin:rsc.z(37)} {vin:rsc.z(36)} {vin:rsc.z(35)} {vin:rsc.z(34)} {vin:rsc.z(33)} {vin:rsc.z(32)} {vin:rsc.z(31)} {vin:rsc.z(30)} {vin:rsc.z(29)} {vin:rsc.z(28)} {vin:rsc.z(27)} {vin:rsc.z(26)} {vin:rsc.z(25)} {vin:rsc.z(24)} {vin:rsc.z(23)} {vin:rsc.z(22)} {vin:rsc.z(21)} {vin:rsc.z(20)} {vin:rsc.z(19)} {vin:rsc.z(18)} {vin:rsc.z(17)} {vin:rsc.z(16)} {vin:rsc.z(15)} {vin:rsc.z(14)} {vin:rsc.z(13)} {vin:rsc.z(12)} {vin:rsc.z(11)} {vin:rsc.z(10)} {vin:rsc.z(9)} {vin:rsc.z(8)} {vin:rsc.z(7)} {vin:rsc.z(6)} {vin:rsc.z(5)} {vin:rsc.z(4)} {vin:rsc.z(3)} {vin:rsc.z(2)} {vin:rsc.z(1)} {vin:rsc.z(0)} -attr xrf 34206 -attr oid 1370 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load portBus {vout:rsc.z(29:0)} output 30 {vout:rsc.z(29)} {vout:rsc.z(28)} {vout:rsc.z(27)} {vout:rsc.z(26)} {vout:rsc.z(25)} {vout:rsc.z(24)} {vout:rsc.z(23)} {vout:rsc.z(22)} {vout:rsc.z(21)} {vout:rsc.z(20)} {vout:rsc.z(19)} {vout:rsc.z(18)} {vout:rsc.z(17)} {vout:rsc.z(16)} {vout:rsc.z(15)} {vout:rsc.z(14)} {vout:rsc.z(13)} {vout:rsc.z(12)} {vout:rsc.z(11)} {vout:rsc.z(10)} {vout:rsc.z(9)} {vout:rsc.z(8)} {vout:rsc.z(7)} {vout:rsc.z(6)} {vout:rsc.z(5)} {vout:rsc.z(4)} {vout:rsc.z(3)} {vout:rsc.z(2)} {vout:rsc.z(1)} {vout:rsc.z(0)} -attr xrf 34207 -attr oid 1371 -attr vt d -attr @path {/sobel/vout:rsc.z}
+load port {clk} input -attr xrf 34208 -attr oid 1372 -attr vt d -attr @path {/sobel/clk}
+load port {en} input -attr xrf 34209 -attr oid 1373 -attr vt d -attr @path {/sobel/en}
+load port {arst_n} input -attr xrf 34210 -attr oid 1374 -attr vt d -attr @path {/sobel/arst_n}
+load symbol "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" GEN boxcolor 0 \
+ portBus {d(89:0)} output 90 {d(89)} {d(88)} {d(87)} {d(86)} {d(85)} {d(84)} {d(83)} {d(82)} {d(81)} {d(80)} {d(79)} {d(78)} {d(77)} {d(76)} {d(75)} {d(74)} {d(73)} {d(72)} {d(71)} {d(70)} {d(69)} {d(68)} {d(67)} {d(66)} {d(65)} {d(64)} {d(63)} {d(62)} {d(61)} {d(60)} {d(59)} {d(58)} {d(57)} {d(56)} {d(55)} {d(54)} {d(53)} {d(52)} {d(51)} {d(50)} {d(49)} {d(48)} {d(47)} {d(46)} {d(45)} {d(44)} {d(43)} {d(42)} {d(41)} {d(40)} {d(39)} {d(38)} {d(37)} {d(36)} {d(35)} {d(34)} {d(33)} {d(32)} {d(31)} {d(30)} {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
+ portBus {z(89:0)} input 90 {z(89)} {z(88)} {z(87)} {z(86)} {z(85)} {z(84)} {z(83)} {z(82)} {z(81)} {z(80)} {z(79)} {z(78)} {z(77)} {z(76)} {z(75)} {z(74)} {z(73)} {z(72)} {z(71)} {z(70)} {z(69)} {z(68)} {z(67)} {z(66)} {z(65)} {z(64)} {z(63)} {z(62)} {z(61)} {z(60)} {z(59)} {z(58)} {z(57)} {z(56)} {z(55)} {z(54)} {z(53)} {z(52)} {z(51)} {z(50)} {z(49)} {z(48)} {z(47)} {z(46)} {z(45)} {z(44)} {z(43)} {z(42)} {z(41)} {z(40)} {z(39)} {z(38)} {z(37)} {z(36)} {z(35)} {z(34)} {z(33)} {z(32)} {z(31)} {z(30)} {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
+
+load symbol "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" GEN boxcolor 0 \
+ portBus {d(29:0)} input 30 {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
+ portBus {z(29:0)} output 30 {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
+
+load symbol "sobel:core" "orig" GEN \
+ port {clk#1} input \
+ port {en#1} input \
+ port {arst_n#1} input \
+ portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} \
+ portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} \
+
+load net {vin:rsc:mgc_in_wire.d#1(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d#1} 90 {vin:rsc:mgc_in_wire.d#1(0)} {vin:rsc:mgc_in_wire.d#1(1)} {vin:rsc:mgc_in_wire.d#1(2)} {vin:rsc:mgc_in_wire.d#1(3)} {vin:rsc:mgc_in_wire.d#1(4)} {vin:rsc:mgc_in_wire.d#1(5)} {vin:rsc:mgc_in_wire.d#1(6)} {vin:rsc:mgc_in_wire.d#1(7)} {vin:rsc:mgc_in_wire.d#1(8)} {vin:rsc:mgc_in_wire.d#1(9)} {vin:rsc:mgc_in_wire.d#1(10)} {vin:rsc:mgc_in_wire.d#1(11)} {vin:rsc:mgc_in_wire.d#1(12)} {vin:rsc:mgc_in_wire.d#1(13)} {vin:rsc:mgc_in_wire.d#1(14)} {vin:rsc:mgc_in_wire.d#1(15)} {vin:rsc:mgc_in_wire.d#1(16)} {vin:rsc:mgc_in_wire.d#1(17)} {vin:rsc:mgc_in_wire.d#1(18)} {vin:rsc:mgc_in_wire.d#1(19)} {vin:rsc:mgc_in_wire.d#1(20)} {vin:rsc:mgc_in_wire.d#1(21)} {vin:rsc:mgc_in_wire.d#1(22)} {vin:rsc:mgc_in_wire.d#1(23)} {vin:rsc:mgc_in_wire.d#1(24)} {vin:rsc:mgc_in_wire.d#1(25)} {vin:rsc:mgc_in_wire.d#1(26)} {vin:rsc:mgc_in_wire.d#1(27)} {vin:rsc:mgc_in_wire.d#1(28)} {vin:rsc:mgc_in_wire.d#1(29)} {vin:rsc:mgc_in_wire.d#1(30)} {vin:rsc:mgc_in_wire.d#1(31)} {vin:rsc:mgc_in_wire.d#1(32)} {vin:rsc:mgc_in_wire.d#1(33)} {vin:rsc:mgc_in_wire.d#1(34)} {vin:rsc:mgc_in_wire.d#1(35)} {vin:rsc:mgc_in_wire.d#1(36)} {vin:rsc:mgc_in_wire.d#1(37)} {vin:rsc:mgc_in_wire.d#1(38)} {vin:rsc:mgc_in_wire.d#1(39)} {vin:rsc:mgc_in_wire.d#1(40)} {vin:rsc:mgc_in_wire.d#1(41)} {vin:rsc:mgc_in_wire.d#1(42)} {vin:rsc:mgc_in_wire.d#1(43)} {vin:rsc:mgc_in_wire.d#1(44)} {vin:rsc:mgc_in_wire.d#1(45)} {vin:rsc:mgc_in_wire.d#1(46)} {vin:rsc:mgc_in_wire.d#1(47)} {vin:rsc:mgc_in_wire.d#1(48)} {vin:rsc:mgc_in_wire.d#1(49)} {vin:rsc:mgc_in_wire.d#1(50)} {vin:rsc:mgc_in_wire.d#1(51)} {vin:rsc:mgc_in_wire.d#1(52)} {vin:rsc:mgc_in_wire.d#1(53)} {vin:rsc:mgc_in_wire.d#1(54)} {vin:rsc:mgc_in_wire.d#1(55)} {vin:rsc:mgc_in_wire.d#1(56)} {vin:rsc:mgc_in_wire.d#1(57)} {vin:rsc:mgc_in_wire.d#1(58)} {vin:rsc:mgc_in_wire.d#1(59)} {vin:rsc:mgc_in_wire.d#1(60)} {vin:rsc:mgc_in_wire.d#1(61)} {vin:rsc:mgc_in_wire.d#1(62)} {vin:rsc:mgc_in_wire.d#1(63)} {vin:rsc:mgc_in_wire.d#1(64)} {vin:rsc:mgc_in_wire.d#1(65)} {vin:rsc:mgc_in_wire.d#1(66)} {vin:rsc:mgc_in_wire.d#1(67)} {vin:rsc:mgc_in_wire.d#1(68)} {vin:rsc:mgc_in_wire.d#1(69)} {vin:rsc:mgc_in_wire.d#1(70)} {vin:rsc:mgc_in_wire.d#1(71)} {vin:rsc:mgc_in_wire.d#1(72)} {vin:rsc:mgc_in_wire.d#1(73)} {vin:rsc:mgc_in_wire.d#1(74)} {vin:rsc:mgc_in_wire.d#1(75)} {vin:rsc:mgc_in_wire.d#1(76)} {vin:rsc:mgc_in_wire.d#1(77)} {vin:rsc:mgc_in_wire.d#1(78)} {vin:rsc:mgc_in_wire.d#1(79)} {vin:rsc:mgc_in_wire.d#1(80)} {vin:rsc:mgc_in_wire.d#1(81)} {vin:rsc:mgc_in_wire.d#1(82)} {vin:rsc:mgc_in_wire.d#1(83)} {vin:rsc:mgc_in_wire.d#1(84)} {vin:rsc:mgc_in_wire.d#1(85)} {vin:rsc:mgc_in_wire.d#1(86)} {vin:rsc:mgc_in_wire.d#1(87)} {vin:rsc:mgc_in_wire.d#1(88)} {vin:rsc:mgc_in_wire.d#1(89)} -attr xrf 34211 -attr oid 1375 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -attr vt d
+load netBundle {vout:rsc:mgc_out_stdreg.d#1} 30 {vout:rsc:mgc_out_stdreg.d#1(0)} {vout:rsc:mgc_out_stdreg.d#1(1)} {vout:rsc:mgc_out_stdreg.d#1(2)} {vout:rsc:mgc_out_stdreg.d#1(3)} {vout:rsc:mgc_out_stdreg.d#1(4)} {vout:rsc:mgc_out_stdreg.d#1(5)} {vout:rsc:mgc_out_stdreg.d#1(6)} {vout:rsc:mgc_out_stdreg.d#1(7)} {vout:rsc:mgc_out_stdreg.d#1(8)} {vout:rsc:mgc_out_stdreg.d#1(9)} {vout:rsc:mgc_out_stdreg.d#1(10)} {vout:rsc:mgc_out_stdreg.d#1(11)} {vout:rsc:mgc_out_stdreg.d#1(12)} {vout:rsc:mgc_out_stdreg.d#1(13)} {vout:rsc:mgc_out_stdreg.d#1(14)} {vout:rsc:mgc_out_stdreg.d#1(15)} {vout:rsc:mgc_out_stdreg.d#1(16)} {vout:rsc:mgc_out_stdreg.d#1(17)} {vout:rsc:mgc_out_stdreg.d#1(18)} {vout:rsc:mgc_out_stdreg.d#1(19)} {vout:rsc:mgc_out_stdreg.d#1(20)} {vout:rsc:mgc_out_stdreg.d#1(21)} {vout:rsc:mgc_out_stdreg.d#1(22)} {vout:rsc:mgc_out_stdreg.d#1(23)} {vout:rsc:mgc_out_stdreg.d#1(24)} {vout:rsc:mgc_out_stdreg.d#1(25)} {vout:rsc:mgc_out_stdreg.d#1(26)} {vout:rsc:mgc_out_stdreg.d#1(27)} {vout:rsc:mgc_out_stdreg.d#1(28)} {vout:rsc:mgc_out_stdreg.d#1(29)} -attr xrf 34212 -attr oid 1376 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vin:rsc.z(0)} -attr vt d
+load net {vin:rsc.z(1)} -attr vt d
+load net {vin:rsc.z(2)} -attr vt d
+load net {vin:rsc.z(3)} -attr vt d
+load net {vin:rsc.z(4)} -attr vt d
+load net {vin:rsc.z(5)} -attr vt d
+load net {vin:rsc.z(6)} -attr vt d
+load net {vin:rsc.z(7)} -attr vt d
+load net {vin:rsc.z(8)} -attr vt d
+load net {vin:rsc.z(9)} -attr vt d
+load net {vin:rsc.z(10)} -attr vt d
+load net {vin:rsc.z(11)} -attr vt d
+load net {vin:rsc.z(12)} -attr vt d
+load net {vin:rsc.z(13)} -attr vt d
+load net {vin:rsc.z(14)} -attr vt d
+load net {vin:rsc.z(15)} -attr vt d
+load net {vin:rsc.z(16)} -attr vt d
+load net {vin:rsc.z(17)} -attr vt d
+load net {vin:rsc.z(18)} -attr vt d
+load net {vin:rsc.z(19)} -attr vt d
+load net {vin:rsc.z(20)} -attr vt d
+load net {vin:rsc.z(21)} -attr vt d
+load net {vin:rsc.z(22)} -attr vt d
+load net {vin:rsc.z(23)} -attr vt d
+load net {vin:rsc.z(24)} -attr vt d
+load net {vin:rsc.z(25)} -attr vt d
+load net {vin:rsc.z(26)} -attr vt d
+load net {vin:rsc.z(27)} -attr vt d
+load net {vin:rsc.z(28)} -attr vt d
+load net {vin:rsc.z(29)} -attr vt d
+load net {vin:rsc.z(30)} -attr vt d
+load net {vin:rsc.z(31)} -attr vt d
+load net {vin:rsc.z(32)} -attr vt d
+load net {vin:rsc.z(33)} -attr vt d
+load net {vin:rsc.z(34)} -attr vt d
+load net {vin:rsc.z(35)} -attr vt d
+load net {vin:rsc.z(36)} -attr vt d
+load net {vin:rsc.z(37)} -attr vt d
+load net {vin:rsc.z(38)} -attr vt d
+load net {vin:rsc.z(39)} -attr vt d
+load net {vin:rsc.z(40)} -attr vt d
+load net {vin:rsc.z(41)} -attr vt d
+load net {vin:rsc.z(42)} -attr vt d
+load net {vin:rsc.z(43)} -attr vt d
+load net {vin:rsc.z(44)} -attr vt d
+load net {vin:rsc.z(45)} -attr vt d
+load net {vin:rsc.z(46)} -attr vt d
+load net {vin:rsc.z(47)} -attr vt d
+load net {vin:rsc.z(48)} -attr vt d
+load net {vin:rsc.z(49)} -attr vt d
+load net {vin:rsc.z(50)} -attr vt d
+load net {vin:rsc.z(51)} -attr vt d
+load net {vin:rsc.z(52)} -attr vt d
+load net {vin:rsc.z(53)} -attr vt d
+load net {vin:rsc.z(54)} -attr vt d
+load net {vin:rsc.z(55)} -attr vt d
+load net {vin:rsc.z(56)} -attr vt d
+load net {vin:rsc.z(57)} -attr vt d
+load net {vin:rsc.z(58)} -attr vt d
+load net {vin:rsc.z(59)} -attr vt d
+load net {vin:rsc.z(60)} -attr vt d
+load net {vin:rsc.z(61)} -attr vt d
+load net {vin:rsc.z(62)} -attr vt d
+load net {vin:rsc.z(63)} -attr vt d
+load net {vin:rsc.z(64)} -attr vt d
+load net {vin:rsc.z(65)} -attr vt d
+load net {vin:rsc.z(66)} -attr vt d
+load net {vin:rsc.z(67)} -attr vt d
+load net {vin:rsc.z(68)} -attr vt d
+load net {vin:rsc.z(69)} -attr vt d
+load net {vin:rsc.z(70)} -attr vt d
+load net {vin:rsc.z(71)} -attr vt d
+load net {vin:rsc.z(72)} -attr vt d
+load net {vin:rsc.z(73)} -attr vt d
+load net {vin:rsc.z(74)} -attr vt d
+load net {vin:rsc.z(75)} -attr vt d
+load net {vin:rsc.z(76)} -attr vt d
+load net {vin:rsc.z(77)} -attr vt d
+load net {vin:rsc.z(78)} -attr vt d
+load net {vin:rsc.z(79)} -attr vt d
+load net {vin:rsc.z(80)} -attr vt d
+load net {vin:rsc.z(81)} -attr vt d
+load net {vin:rsc.z(82)} -attr vt d
+load net {vin:rsc.z(83)} -attr vt d
+load net {vin:rsc.z(84)} -attr vt d
+load net {vin:rsc.z(85)} -attr vt d
+load net {vin:rsc.z(86)} -attr vt d
+load net {vin:rsc.z(87)} -attr vt d
+load net {vin:rsc.z(88)} -attr vt d
+load net {vin:rsc.z(89)} -attr vt d
+load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 34213 -attr oid 1377 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(0)} -port {vin:rsc.z(0)} -attr vt d
+load net {vin:rsc.z(1)} -port {vin:rsc.z(1)} -attr vt d
+load net {vin:rsc.z(2)} -port {vin:rsc.z(2)} -attr vt d
+load net {vin:rsc.z(3)} -port {vin:rsc.z(3)} -attr vt d
+load net {vin:rsc.z(4)} -port {vin:rsc.z(4)} -attr vt d
+load net {vin:rsc.z(5)} -port {vin:rsc.z(5)} -attr vt d
+load net {vin:rsc.z(6)} -port {vin:rsc.z(6)} -attr vt d
+load net {vin:rsc.z(7)} -port {vin:rsc.z(7)} -attr vt d
+load net {vin:rsc.z(8)} -port {vin:rsc.z(8)} -attr vt d
+load net {vin:rsc.z(9)} -port {vin:rsc.z(9)} -attr vt d
+load net {vin:rsc.z(10)} -port {vin:rsc.z(10)} -attr vt d
+load net {vin:rsc.z(11)} -port {vin:rsc.z(11)} -attr vt d
+load net {vin:rsc.z(12)} -port {vin:rsc.z(12)} -attr vt d
+load net {vin:rsc.z(13)} -port {vin:rsc.z(13)} -attr vt d
+load net {vin:rsc.z(14)} -port {vin:rsc.z(14)} -attr vt d
+load net {vin:rsc.z(15)} -port {vin:rsc.z(15)} -attr vt d
+load net {vin:rsc.z(16)} -port {vin:rsc.z(16)} -attr vt d
+load net {vin:rsc.z(17)} -port {vin:rsc.z(17)} -attr vt d
+load net {vin:rsc.z(18)} -port {vin:rsc.z(18)} -attr vt d
+load net {vin:rsc.z(19)} -port {vin:rsc.z(19)} -attr vt d
+load net {vin:rsc.z(20)} -port {vin:rsc.z(20)} -attr vt d
+load net {vin:rsc.z(21)} -port {vin:rsc.z(21)} -attr vt d
+load net {vin:rsc.z(22)} -port {vin:rsc.z(22)} -attr vt d
+load net {vin:rsc.z(23)} -port {vin:rsc.z(23)} -attr vt d
+load net {vin:rsc.z(24)} -port {vin:rsc.z(24)} -attr vt d
+load net {vin:rsc.z(25)} -port {vin:rsc.z(25)} -attr vt d
+load net {vin:rsc.z(26)} -port {vin:rsc.z(26)} -attr vt d
+load net {vin:rsc.z(27)} -port {vin:rsc.z(27)} -attr vt d
+load net {vin:rsc.z(28)} -port {vin:rsc.z(28)} -attr vt d
+load net {vin:rsc.z(29)} -port {vin:rsc.z(29)} -attr vt d
+load net {vin:rsc.z(30)} -port {vin:rsc.z(30)} -attr vt d
+load net {vin:rsc.z(31)} -port {vin:rsc.z(31)} -attr vt d
+load net {vin:rsc.z(32)} -port {vin:rsc.z(32)} -attr vt d
+load net {vin:rsc.z(33)} -port {vin:rsc.z(33)} -attr vt d
+load net {vin:rsc.z(34)} -port {vin:rsc.z(34)} -attr vt d
+load net {vin:rsc.z(35)} -port {vin:rsc.z(35)} -attr vt d
+load net {vin:rsc.z(36)} -port {vin:rsc.z(36)} -attr vt d
+load net {vin:rsc.z(37)} -port {vin:rsc.z(37)} -attr vt d
+load net {vin:rsc.z(38)} -port {vin:rsc.z(38)} -attr vt d
+load net {vin:rsc.z(39)} -port {vin:rsc.z(39)} -attr vt d
+load net {vin:rsc.z(40)} -port {vin:rsc.z(40)} -attr vt d
+load net {vin:rsc.z(41)} -port {vin:rsc.z(41)} -attr vt d
+load net {vin:rsc.z(42)} -port {vin:rsc.z(42)} -attr vt d
+load net {vin:rsc.z(43)} -port {vin:rsc.z(43)} -attr vt d
+load net {vin:rsc.z(44)} -port {vin:rsc.z(44)} -attr vt d
+load net {vin:rsc.z(45)} -port {vin:rsc.z(45)} -attr vt d
+load net {vin:rsc.z(46)} -port {vin:rsc.z(46)} -attr vt d
+load net {vin:rsc.z(47)} -port {vin:rsc.z(47)} -attr vt d
+load net {vin:rsc.z(48)} -port {vin:rsc.z(48)} -attr vt d
+load net {vin:rsc.z(49)} -port {vin:rsc.z(49)} -attr vt d
+load net {vin:rsc.z(50)} -port {vin:rsc.z(50)} -attr vt d
+load net {vin:rsc.z(51)} -port {vin:rsc.z(51)} -attr vt d
+load net {vin:rsc.z(52)} -port {vin:rsc.z(52)} -attr vt d
+load net {vin:rsc.z(53)} -port {vin:rsc.z(53)} -attr vt d
+load net {vin:rsc.z(54)} -port {vin:rsc.z(54)} -attr vt d
+load net {vin:rsc.z(55)} -port {vin:rsc.z(55)} -attr vt d
+load net {vin:rsc.z(56)} -port {vin:rsc.z(56)} -attr vt d
+load net {vin:rsc.z(57)} -port {vin:rsc.z(57)} -attr vt d
+load net {vin:rsc.z(58)} -port {vin:rsc.z(58)} -attr vt d
+load net {vin:rsc.z(59)} -port {vin:rsc.z(59)} -attr vt d
+load net {vin:rsc.z(60)} -port {vin:rsc.z(60)} -attr vt d
+load net {vin:rsc.z(61)} -port {vin:rsc.z(61)} -attr vt d
+load net {vin:rsc.z(62)} -port {vin:rsc.z(62)} -attr vt d
+load net {vin:rsc.z(63)} -port {vin:rsc.z(63)} -attr vt d
+load net {vin:rsc.z(64)} -port {vin:rsc.z(64)} -attr vt d
+load net {vin:rsc.z(65)} -port {vin:rsc.z(65)} -attr vt d
+load net {vin:rsc.z(66)} -port {vin:rsc.z(66)} -attr vt d
+load net {vin:rsc.z(67)} -port {vin:rsc.z(67)} -attr vt d
+load net {vin:rsc.z(68)} -port {vin:rsc.z(68)} -attr vt d
+load net {vin:rsc.z(69)} -port {vin:rsc.z(69)} -attr vt d
+load net {vin:rsc.z(70)} -port {vin:rsc.z(70)} -attr vt d
+load net {vin:rsc.z(71)} -port {vin:rsc.z(71)} -attr vt d
+load net {vin:rsc.z(72)} -port {vin:rsc.z(72)} -attr vt d
+load net {vin:rsc.z(73)} -port {vin:rsc.z(73)} -attr vt d
+load net {vin:rsc.z(74)} -port {vin:rsc.z(74)} -attr vt d
+load net {vin:rsc.z(75)} -port {vin:rsc.z(75)} -attr vt d
+load net {vin:rsc.z(76)} -port {vin:rsc.z(76)} -attr vt d
+load net {vin:rsc.z(77)} -port {vin:rsc.z(77)} -attr vt d
+load net {vin:rsc.z(78)} -port {vin:rsc.z(78)} -attr vt d
+load net {vin:rsc.z(79)} -port {vin:rsc.z(79)} -attr vt d
+load net {vin:rsc.z(80)} -port {vin:rsc.z(80)} -attr vt d
+load net {vin:rsc.z(81)} -port {vin:rsc.z(81)} -attr vt d
+load net {vin:rsc.z(82)} -port {vin:rsc.z(82)} -attr vt d
+load net {vin:rsc.z(83)} -port {vin:rsc.z(83)} -attr vt d
+load net {vin:rsc.z(84)} -port {vin:rsc.z(84)} -attr vt d
+load net {vin:rsc.z(85)} -port {vin:rsc.z(85)} -attr vt d
+load net {vin:rsc.z(86)} -port {vin:rsc.z(86)} -attr vt d
+load net {vin:rsc.z(87)} -port {vin:rsc.z(87)} -attr vt d
+load net {vin:rsc.z(88)} -port {vin:rsc.z(88)} -attr vt d
+load net {vin:rsc.z(89)} -port {vin:rsc.z(89)} -attr vt d
+load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 34214 -attr oid 1378 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vout:rsc.z(0)} -attr vt d
+load net {vout:rsc.z(1)} -attr vt d
+load net {vout:rsc.z(2)} -attr vt d
+load net {vout:rsc.z(3)} -attr vt d
+load net {vout:rsc.z(4)} -attr vt d
+load net {vout:rsc.z(5)} -attr vt d
+load net {vout:rsc.z(6)} -attr vt d
+load net {vout:rsc.z(7)} -attr vt d
+load net {vout:rsc.z(8)} -attr vt d
+load net {vout:rsc.z(9)} -attr vt d
+load net {vout:rsc.z(10)} -attr vt d
+load net {vout:rsc.z(11)} -attr vt d
+load net {vout:rsc.z(12)} -attr vt d
+load net {vout:rsc.z(13)} -attr vt d
+load net {vout:rsc.z(14)} -attr vt d
+load net {vout:rsc.z(15)} -attr vt d
+load net {vout:rsc.z(16)} -attr vt d
+load net {vout:rsc.z(17)} -attr vt d
+load net {vout:rsc.z(18)} -attr vt d
+load net {vout:rsc.z(19)} -attr vt d
+load net {vout:rsc.z(20)} -attr vt d
+load net {vout:rsc.z(21)} -attr vt d
+load net {vout:rsc.z(22)} -attr vt d
+load net {vout:rsc.z(23)} -attr vt d
+load net {vout:rsc.z(24)} -attr vt d
+load net {vout:rsc.z(25)} -attr vt d
+load net {vout:rsc.z(26)} -attr vt d
+load net {vout:rsc.z(27)} -attr vt d
+load net {vout:rsc.z(28)} -attr vt d
+load net {vout:rsc.z(29)} -attr vt d
+load netBundle {vout:rsc.z} 30 {vout:rsc.z(0)} {vout:rsc.z(1)} {vout:rsc.z(2)} {vout:rsc.z(3)} {vout:rsc.z(4)} {vout:rsc.z(5)} {vout:rsc.z(6)} {vout:rsc.z(7)} {vout:rsc.z(8)} {vout:rsc.z(9)} {vout:rsc.z(10)} {vout:rsc.z(11)} {vout:rsc.z(12)} {vout:rsc.z(13)} {vout:rsc.z(14)} {vout:rsc.z(15)} {vout:rsc.z(16)} {vout:rsc.z(17)} {vout:rsc.z(18)} {vout:rsc.z(19)} {vout:rsc.z(20)} {vout:rsc.z(21)} {vout:rsc.z(22)} {vout:rsc.z(23)} {vout:rsc.z(24)} {vout:rsc.z(25)} {vout:rsc.z(26)} {vout:rsc.z(27)} {vout:rsc.z(28)} {vout:rsc.z(29)} -attr xrf 34215 -attr oid 1379 -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(0)} -port {vout:rsc.z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(1)} -port {vout:rsc.z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(2)} -port {vout:rsc.z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(3)} -port {vout:rsc.z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(4)} -port {vout:rsc.z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(5)} -port {vout:rsc.z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(6)} -port {vout:rsc.z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(7)} -port {vout:rsc.z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(8)} -port {vout:rsc.z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(9)} -port {vout:rsc.z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(10)} -port {vout:rsc.z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(11)} -port {vout:rsc.z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(12)} -port {vout:rsc.z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(13)} -port {vout:rsc.z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(14)} -port {vout:rsc.z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(15)} -port {vout:rsc.z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(16)} -port {vout:rsc.z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(17)} -port {vout:rsc.z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(18)} -port {vout:rsc.z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(19)} -port {vout:rsc.z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(20)} -port {vout:rsc.z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(21)} -port {vout:rsc.z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(22)} -port {vout:rsc.z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(23)} -port {vout:rsc.z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(24)} -port {vout:rsc.z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(25)} -port {vout:rsc.z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(26)} -port {vout:rsc.z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(27)} -port {vout:rsc.z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(28)} -port {vout:rsc.z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(29)} -port {vout:rsc.z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {clk} -attr xrf 34216 -attr oid 1380
+load net {clk} -port {clk} -attr xrf 34217 -attr oid 1381
+load net {en} -attr xrf 34218 -attr oid 1382
+load net {en} -port {en} -attr xrf 34219 -attr oid 1383
+load net {arst_n} -attr xrf 34220 -attr oid 1384
+load net {arst_n} -port {arst_n} -attr xrf 34221 -attr oid 1385
+load inst "sobel:core:inst" "sobel:core" "orig" -attr xrf 34222 -attr oid 1386 -attr vt dc -attr @path {/sobel/sobel:core:inst} -attr area 6772.495085 -attr delay 15.831847 -attr hier "/sobel/sobel:core" -pg 1 -lvl 3
+load net {clk} -pin "sobel:core:inst" {clk#1} -attr xrf 34223 -attr oid 1387 -attr @path {/sobel/clk}
+load net {en} -pin "sobel:core:inst" {en#1} -attr xrf 34224 -attr oid 1388 -attr @path {/sobel/en}
+load net {arst_n} -pin "sobel:core:inst" {arst_n#1} -attr xrf 34225 -attr oid 1389 -attr @path {/sobel/arst_n}
+load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(0)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(1)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(2)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(3)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(4)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(5)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(6)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(7)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(8)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(9)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(10)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(11)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(12)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(13)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(14)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(15)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(16)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(17)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(18)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(19)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(20)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(21)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(22)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(23)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(24)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(25)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(26)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(27)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(28)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(29)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(30)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(31)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(32)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(33)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(34)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(35)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(36)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(37)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(38)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(39)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(40)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(41)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(42)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(43)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(44)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(45)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(46)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(47)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(48)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(49)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(50)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(51)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(52)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(53)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(54)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(55)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(56)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(57)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(58)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(59)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(60)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(61)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(62)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(63)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(64)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(65)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(66)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(67)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(68)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(69)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(70)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(71)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(72)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(73)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(74)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(75)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(76)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(77)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(78)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(79)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(80)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(81)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(82)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(83)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(84)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(85)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(86)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(87)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(88)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(89)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(0)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(1)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(2)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(3)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(4)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(5)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(6)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(7)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(8)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(9)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(10)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(11)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(12)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(13)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(14)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(15)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(16)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(17)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(18)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(19)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(20)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(21)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(22)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(23)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(24)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(25)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(26)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(27)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(28)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(29)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load inst "vin:rsc:mgc_in_wire" "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" -attr xrf 34226 -attr oid 1390 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_in_wire(1,90)" -pg 1 -lvl 1
+load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "vin:rsc:mgc_in_wire" {d(0)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "vin:rsc:mgc_in_wire" {d(1)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "vin:rsc:mgc_in_wire" {d(2)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "vin:rsc:mgc_in_wire" {d(3)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "vin:rsc:mgc_in_wire" {d(4)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "vin:rsc:mgc_in_wire" {d(5)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "vin:rsc:mgc_in_wire" {d(6)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "vin:rsc:mgc_in_wire" {d(7)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "vin:rsc:mgc_in_wire" {d(8)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "vin:rsc:mgc_in_wire" {d(9)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "vin:rsc:mgc_in_wire" {d(10)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "vin:rsc:mgc_in_wire" {d(11)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "vin:rsc:mgc_in_wire" {d(12)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "vin:rsc:mgc_in_wire" {d(13)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "vin:rsc:mgc_in_wire" {d(14)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "vin:rsc:mgc_in_wire" {d(15)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "vin:rsc:mgc_in_wire" {d(16)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "vin:rsc:mgc_in_wire" {d(17)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "vin:rsc:mgc_in_wire" {d(18)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "vin:rsc:mgc_in_wire" {d(19)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "vin:rsc:mgc_in_wire" {d(20)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "vin:rsc:mgc_in_wire" {d(21)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "vin:rsc:mgc_in_wire" {d(22)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "vin:rsc:mgc_in_wire" {d(23)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "vin:rsc:mgc_in_wire" {d(24)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "vin:rsc:mgc_in_wire" {d(25)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "vin:rsc:mgc_in_wire" {d(26)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "vin:rsc:mgc_in_wire" {d(27)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "vin:rsc:mgc_in_wire" {d(28)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "vin:rsc:mgc_in_wire" {d(29)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "vin:rsc:mgc_in_wire" {d(30)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "vin:rsc:mgc_in_wire" {d(31)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "vin:rsc:mgc_in_wire" {d(32)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "vin:rsc:mgc_in_wire" {d(33)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "vin:rsc:mgc_in_wire" {d(34)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "vin:rsc:mgc_in_wire" {d(35)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "vin:rsc:mgc_in_wire" {d(36)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "vin:rsc:mgc_in_wire" {d(37)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "vin:rsc:mgc_in_wire" {d(38)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "vin:rsc:mgc_in_wire" {d(39)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "vin:rsc:mgc_in_wire" {d(40)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "vin:rsc:mgc_in_wire" {d(41)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "vin:rsc:mgc_in_wire" {d(42)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "vin:rsc:mgc_in_wire" {d(43)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "vin:rsc:mgc_in_wire" {d(44)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "vin:rsc:mgc_in_wire" {d(45)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "vin:rsc:mgc_in_wire" {d(46)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "vin:rsc:mgc_in_wire" {d(47)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "vin:rsc:mgc_in_wire" {d(48)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "vin:rsc:mgc_in_wire" {d(49)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "vin:rsc:mgc_in_wire" {d(50)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "vin:rsc:mgc_in_wire" {d(51)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "vin:rsc:mgc_in_wire" {d(52)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "vin:rsc:mgc_in_wire" {d(53)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "vin:rsc:mgc_in_wire" {d(54)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "vin:rsc:mgc_in_wire" {d(55)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "vin:rsc:mgc_in_wire" {d(56)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "vin:rsc:mgc_in_wire" {d(57)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "vin:rsc:mgc_in_wire" {d(58)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "vin:rsc:mgc_in_wire" {d(59)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "vin:rsc:mgc_in_wire" {d(60)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "vin:rsc:mgc_in_wire" {d(61)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "vin:rsc:mgc_in_wire" {d(62)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "vin:rsc:mgc_in_wire" {d(63)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "vin:rsc:mgc_in_wire" {d(64)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "vin:rsc:mgc_in_wire" {d(65)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "vin:rsc:mgc_in_wire" {d(66)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "vin:rsc:mgc_in_wire" {d(67)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "vin:rsc:mgc_in_wire" {d(68)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "vin:rsc:mgc_in_wire" {d(69)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "vin:rsc:mgc_in_wire" {d(70)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "vin:rsc:mgc_in_wire" {d(71)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "vin:rsc:mgc_in_wire" {d(72)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "vin:rsc:mgc_in_wire" {d(73)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "vin:rsc:mgc_in_wire" {d(74)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "vin:rsc:mgc_in_wire" {d(75)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "vin:rsc:mgc_in_wire" {d(76)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "vin:rsc:mgc_in_wire" {d(77)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "vin:rsc:mgc_in_wire" {d(78)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "vin:rsc:mgc_in_wire" {d(79)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "vin:rsc:mgc_in_wire" {d(80)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "vin:rsc:mgc_in_wire" {d(81)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "vin:rsc:mgc_in_wire" {d(82)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "vin:rsc:mgc_in_wire" {d(83)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "vin:rsc:mgc_in_wire" {d(84)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "vin:rsc:mgc_in_wire" {d(85)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "vin:rsc:mgc_in_wire" {d(86)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "vin:rsc:mgc_in_wire" {d(87)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "vin:rsc:mgc_in_wire" {d(88)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "vin:rsc:mgc_in_wire" {d(89)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc.z(0)} -pin "vin:rsc:mgc_in_wire" {z(0)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(1)} -pin "vin:rsc:mgc_in_wire" {z(1)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(2)} -pin "vin:rsc:mgc_in_wire" {z(2)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(3)} -pin "vin:rsc:mgc_in_wire" {z(3)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(4)} -pin "vin:rsc:mgc_in_wire" {z(4)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(5)} -pin "vin:rsc:mgc_in_wire" {z(5)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(6)} -pin "vin:rsc:mgc_in_wire" {z(6)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(7)} -pin "vin:rsc:mgc_in_wire" {z(7)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(8)} -pin "vin:rsc:mgc_in_wire" {z(8)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(9)} -pin "vin:rsc:mgc_in_wire" {z(9)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(10)} -pin "vin:rsc:mgc_in_wire" {z(10)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(11)} -pin "vin:rsc:mgc_in_wire" {z(11)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(12)} -pin "vin:rsc:mgc_in_wire" {z(12)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(13)} -pin "vin:rsc:mgc_in_wire" {z(13)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(14)} -pin "vin:rsc:mgc_in_wire" {z(14)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(15)} -pin "vin:rsc:mgc_in_wire" {z(15)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(16)} -pin "vin:rsc:mgc_in_wire" {z(16)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(17)} -pin "vin:rsc:mgc_in_wire" {z(17)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(18)} -pin "vin:rsc:mgc_in_wire" {z(18)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(19)} -pin "vin:rsc:mgc_in_wire" {z(19)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(20)} -pin "vin:rsc:mgc_in_wire" {z(20)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(21)} -pin "vin:rsc:mgc_in_wire" {z(21)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(22)} -pin "vin:rsc:mgc_in_wire" {z(22)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(23)} -pin "vin:rsc:mgc_in_wire" {z(23)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(24)} -pin "vin:rsc:mgc_in_wire" {z(24)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(25)} -pin "vin:rsc:mgc_in_wire" {z(25)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(26)} -pin "vin:rsc:mgc_in_wire" {z(26)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(27)} -pin "vin:rsc:mgc_in_wire" {z(27)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(28)} -pin "vin:rsc:mgc_in_wire" {z(28)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(29)} -pin "vin:rsc:mgc_in_wire" {z(29)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(30)} -pin "vin:rsc:mgc_in_wire" {z(30)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(31)} -pin "vin:rsc:mgc_in_wire" {z(31)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(32)} -pin "vin:rsc:mgc_in_wire" {z(32)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(33)} -pin "vin:rsc:mgc_in_wire" {z(33)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(34)} -pin "vin:rsc:mgc_in_wire" {z(34)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(35)} -pin "vin:rsc:mgc_in_wire" {z(35)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(36)} -pin "vin:rsc:mgc_in_wire" {z(36)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(37)} -pin "vin:rsc:mgc_in_wire" {z(37)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(38)} -pin "vin:rsc:mgc_in_wire" {z(38)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(39)} -pin "vin:rsc:mgc_in_wire" {z(39)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(40)} -pin "vin:rsc:mgc_in_wire" {z(40)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(41)} -pin "vin:rsc:mgc_in_wire" {z(41)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(42)} -pin "vin:rsc:mgc_in_wire" {z(42)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(43)} -pin "vin:rsc:mgc_in_wire" {z(43)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(44)} -pin "vin:rsc:mgc_in_wire" {z(44)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(45)} -pin "vin:rsc:mgc_in_wire" {z(45)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(46)} -pin "vin:rsc:mgc_in_wire" {z(46)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(47)} -pin "vin:rsc:mgc_in_wire" {z(47)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(48)} -pin "vin:rsc:mgc_in_wire" {z(48)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(49)} -pin "vin:rsc:mgc_in_wire" {z(49)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(50)} -pin "vin:rsc:mgc_in_wire" {z(50)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(51)} -pin "vin:rsc:mgc_in_wire" {z(51)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(52)} -pin "vin:rsc:mgc_in_wire" {z(52)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(53)} -pin "vin:rsc:mgc_in_wire" {z(53)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(54)} -pin "vin:rsc:mgc_in_wire" {z(54)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(55)} -pin "vin:rsc:mgc_in_wire" {z(55)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(56)} -pin "vin:rsc:mgc_in_wire" {z(56)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(57)} -pin "vin:rsc:mgc_in_wire" {z(57)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(58)} -pin "vin:rsc:mgc_in_wire" {z(58)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(59)} -pin "vin:rsc:mgc_in_wire" {z(59)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(60)} -pin "vin:rsc:mgc_in_wire" {z(60)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(61)} -pin "vin:rsc:mgc_in_wire" {z(61)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(62)} -pin "vin:rsc:mgc_in_wire" {z(62)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(63)} -pin "vin:rsc:mgc_in_wire" {z(63)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(64)} -pin "vin:rsc:mgc_in_wire" {z(64)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(65)} -pin "vin:rsc:mgc_in_wire" {z(65)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(66)} -pin "vin:rsc:mgc_in_wire" {z(66)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(67)} -pin "vin:rsc:mgc_in_wire" {z(67)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(68)} -pin "vin:rsc:mgc_in_wire" {z(68)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(69)} -pin "vin:rsc:mgc_in_wire" {z(69)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(70)} -pin "vin:rsc:mgc_in_wire" {z(70)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(71)} -pin "vin:rsc:mgc_in_wire" {z(71)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(72)} -pin "vin:rsc:mgc_in_wire" {z(72)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(73)} -pin "vin:rsc:mgc_in_wire" {z(73)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(74)} -pin "vin:rsc:mgc_in_wire" {z(74)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(75)} -pin "vin:rsc:mgc_in_wire" {z(75)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(76)} -pin "vin:rsc:mgc_in_wire" {z(76)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(77)} -pin "vin:rsc:mgc_in_wire" {z(77)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(78)} -pin "vin:rsc:mgc_in_wire" {z(78)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(79)} -pin "vin:rsc:mgc_in_wire" {z(79)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(80)} -pin "vin:rsc:mgc_in_wire" {z(80)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(81)} -pin "vin:rsc:mgc_in_wire" {z(81)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(82)} -pin "vin:rsc:mgc_in_wire" {z(82)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(83)} -pin "vin:rsc:mgc_in_wire" {z(83)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(84)} -pin "vin:rsc:mgc_in_wire" {z(84)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(85)} -pin "vin:rsc:mgc_in_wire" {z(85)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(86)} -pin "vin:rsc:mgc_in_wire" {z(86)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(87)} -pin "vin:rsc:mgc_in_wire" {z(87)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(88)} -pin "vin:rsc:mgc_in_wire" {z(88)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(89)} -pin "vin:rsc:mgc_in_wire" {z(89)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load inst "vout:rsc:mgc_out_stdreg" "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" -attr xrf 34227 -attr oid 1391 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_out_stdreg(2,30)" -pg 1 -lvl 1002
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "vout:rsc:mgc_out_stdreg" {d(0)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "vout:rsc:mgc_out_stdreg" {d(1)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "vout:rsc:mgc_out_stdreg" {d(2)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "vout:rsc:mgc_out_stdreg" {d(3)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "vout:rsc:mgc_out_stdreg" {d(4)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "vout:rsc:mgc_out_stdreg" {d(5)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "vout:rsc:mgc_out_stdreg" {d(6)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "vout:rsc:mgc_out_stdreg" {d(7)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "vout:rsc:mgc_out_stdreg" {d(8)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "vout:rsc:mgc_out_stdreg" {d(9)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "vout:rsc:mgc_out_stdreg" {d(10)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "vout:rsc:mgc_out_stdreg" {d(11)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "vout:rsc:mgc_out_stdreg" {d(12)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "vout:rsc:mgc_out_stdreg" {d(13)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "vout:rsc:mgc_out_stdreg" {d(14)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "vout:rsc:mgc_out_stdreg" {d(15)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "vout:rsc:mgc_out_stdreg" {d(16)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "vout:rsc:mgc_out_stdreg" {d(17)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "vout:rsc:mgc_out_stdreg" {d(18)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "vout:rsc:mgc_out_stdreg" {d(19)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "vout:rsc:mgc_out_stdreg" {d(20)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "vout:rsc:mgc_out_stdreg" {d(21)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "vout:rsc:mgc_out_stdreg" {d(22)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "vout:rsc:mgc_out_stdreg" {d(23)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "vout:rsc:mgc_out_stdreg" {d(24)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "vout:rsc:mgc_out_stdreg" {d(25)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "vout:rsc:mgc_out_stdreg" {d(26)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "vout:rsc:mgc_out_stdreg" {d(27)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "vout:rsc:mgc_out_stdreg" {d(28)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "vout:rsc:mgc_out_stdreg" {d(29)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc.z(0)} -pin "vout:rsc:mgc_out_stdreg" {z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(1)} -pin "vout:rsc:mgc_out_stdreg" {z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(2)} -pin "vout:rsc:mgc_out_stdreg" {z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(3)} -pin "vout:rsc:mgc_out_stdreg" {z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(4)} -pin "vout:rsc:mgc_out_stdreg" {z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(5)} -pin "vout:rsc:mgc_out_stdreg" {z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(6)} -pin "vout:rsc:mgc_out_stdreg" {z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(7)} -pin "vout:rsc:mgc_out_stdreg" {z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(8)} -pin "vout:rsc:mgc_out_stdreg" {z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(9)} -pin "vout:rsc:mgc_out_stdreg" {z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(10)} -pin "vout:rsc:mgc_out_stdreg" {z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(11)} -pin "vout:rsc:mgc_out_stdreg" {z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(12)} -pin "vout:rsc:mgc_out_stdreg" {z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(13)} -pin "vout:rsc:mgc_out_stdreg" {z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(14)} -pin "vout:rsc:mgc_out_stdreg" {z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(15)} -pin "vout:rsc:mgc_out_stdreg" {z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(16)} -pin "vout:rsc:mgc_out_stdreg" {z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(17)} -pin "vout:rsc:mgc_out_stdreg" {z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(18)} -pin "vout:rsc:mgc_out_stdreg" {z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(19)} -pin "vout:rsc:mgc_out_stdreg" {z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(20)} -pin "vout:rsc:mgc_out_stdreg" {z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(21)} -pin "vout:rsc:mgc_out_stdreg" {z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(22)} -pin "vout:rsc:mgc_out_stdreg" {z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(23)} -pin "vout:rsc:mgc_out_stdreg" {z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(24)} -pin "vout:rsc:mgc_out_stdreg" {z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(25)} -pin "vout:rsc:mgc_out_stdreg" {z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(26)} -pin "vout:rsc:mgc_out_stdreg" {z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(27)} -pin "vout:rsc:mgc_out_stdreg" {z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(28)} -pin "vout:rsc:mgc_out_stdreg" {z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(29)} -pin "vout:rsc:mgc_out_stdreg" {z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+### END MODULE
+