summaryrefslogtreecommitdiffstats
path: root/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf
diff options
context:
space:
mode:
Diffstat (limited to 'student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf')
-rw-r--r--student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf378
1 files changed, 378 insertions, 0 deletions
diff --git a/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf b/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf
new file mode 100644
index 0000000..3201f4d
--- /dev/null
+++ b/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf
@@ -0,0 +1,378 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+*/
+(header "graphic" (version "1.4"))
+(pin
+ (input)
+ (rect 40 120 208 136)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "BUTTON[2..0]" (rect 5 0 74 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -24 112 32 120))
+)
+(pin
+ (input)
+ (rect 40 416 208 432)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "PS2_MSDAT" (rect 5 0 67 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect 224 416 280 424))
+)
+(pin
+ (input)
+ (rect 40 440 208 456)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "PS2_MSCLK" (rect 5 0 67 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect 232 440 288 456))
+)
+(pin
+ (input)
+ (rect 40 240 208 256)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "SW[9]" (rect 5 0 35 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -24 240 32 256))
+)
+(pin
+ (input)
+ (rect 40 224 208 240)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "SW[8]" (rect 5 0 35 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -32 208 24 224))
+)
+(pin
+ (input)
+ (rect 40 176 208 192)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "SW[7..4]" (rect 5 0 48 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -24 176 32 192))
+)
+(pin
+ (input)
+ (rect 40 152 208 168)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "SW[3..0]" (rect 5 0 48 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -24 136 32 152))
+)
+(pin
+ (input)
+ (rect 40 88 208 104)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "CLOCK_50" (rect 5 0 60 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -24 72 32 88))
+)
+(pin
+ (input)
+ (rect 40 56 208 72)
+ (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
+ (text "CLOCK_50_2" (rect 5 0 72 12)(font "Arial" ))
+ (pt 168 8)
+ (drawing
+ (line (pt 84 12)(pt 109 12))
+ (line (pt 84 4)(pt 109 4))
+ (line (pt 113 8)(pt 168 8))
+ (line (pt 84 12)(pt 84 4))
+ (line (pt 109 4)(pt 113 8))
+ (line (pt 109 12)(pt 113 8))
+ )
+ (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
+ (annotation_block (location)(rect -24 40 32 56))
+)
+(pin
+ (output)
+ (rect 32 536 208 552)
+ (text "OUTPUT" (rect 140 0 178 10)(font "Arial" (font_size 6)))
+ (text "VGA_G[3..0]" (rect 5 0 67 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 179 8)(pt 127 8))
+ (line (pt 127 4)(pt 101 4))
+ (line (pt 127 12)(pt 101 12))
+ (line (pt 127 12)(pt 127 4))
+ (line (pt 101 4)(pt 97 8))
+ (line (pt 97 8)(pt 101 12))
+ (line (pt 101 12)(pt 97 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 248 536 272 544))
+)
+(pin
+ (output)
+ (rect 32 680 208 696)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "VGA_CLK" (rect 5 0 54 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 352 704 408 720))
+)
+(pin
+ (output)
+ (rect 32 656 208 672)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "VGA_SYNC" (rect 5 0 65 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 560 752 616 768))
+)
+(pin
+ (output)
+ (rect 32 632 208 648)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "VGA_BLANK" (rect 5 0 68 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 616 688 672 704))
+)
+(pin
+ (output)
+ (rect 32 608 208 624)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "VGA_VS" (rect 5 0 47 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 240 608 296 624))
+)
+(pin
+ (output)
+ (rect 32 584 208 600)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "VGA_HS" (rect 5 0 48 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 240 584 296 600))
+)
+(pin
+ (output)
+ (rect 32 504 208 520)
+ (text "OUTPUT" (rect 140 0 178 10)(font "Arial" (font_size 6)))
+ (text "VGA_R[3..0]" (rect 5 0 67 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 179 8)(pt 127 8))
+ (line (pt 127 4)(pt 101 4))
+ (line (pt 127 12)(pt 101 12))
+ (line (pt 127 12)(pt 127 4))
+ (line (pt 101 4)(pt 97 8))
+ (line (pt 97 8)(pt 101 12))
+ (line (pt 101 12)(pt 97 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 248 504 264 520))
+)
+(pin
+ (output)
+ (rect 32 560 208 576)
+ (text "OUTPUT" (rect 140 0 178 10)(font "Arial" (font_size 6)))
+ (text "VGA_B[3..0]" (rect 5 0 66 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 179 8)(pt 127 8))
+ (line (pt 127 4)(pt 101 4))
+ (line (pt 127 12)(pt 101 12))
+ (line (pt 127 12)(pt 127 4))
+ (line (pt 101 4)(pt 97 8))
+ (line (pt 97 8)(pt 101 12))
+ (line (pt 101 12)(pt 97 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 248 560 280 568))
+)
+(pin
+ (output)
+ (rect 32 360 208 376)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "HEX0_D[6..0]" (rect 5 0 72 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 208 376 264 392))
+)
+(pin
+ (output)
+ (rect 32 312 208 328)
+ (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
+ (text "LEDG[9..0]" (rect 5 0 60 12)(font "Arial" ))
+ (pt 176 8)
+ (drawing
+ (line (pt 176 8)(pt 124 8))
+ (line (pt 124 4)(pt 98 4))
+ (line (pt 124 12)(pt 98 12))
+ (line (pt 124 12)(pt 124 4))
+ (line (pt 98 4)(pt 94 8))
+ (line (pt 94 8)(pt 98 12))
+ (line (pt 98 12)(pt 94 8))
+ )
+ (flipy)
+ (annotation_block (location)(rect 176 336 232 352))
+)
+(connector
+ (pt 208 160)
+ (pt 216 160)
+ (bus)
+)
+(connector
+ (pt 208 184)
+ (pt 216 184)
+ (bus)
+)
+(connector
+ (pt 208 248)
+ (pt 216 248)
+)
+(connector
+ (pt 208 128)
+ (pt 216 128)
+ (bus)
+)
+(connector
+ (pt 208 232)
+ (pt 216 232)
+)
+(text "FPGA PINS" (rect 72 16 193 38)(font "Arial" (font_size 14)))