summaryrefslogtreecommitdiffstats
path: root/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.fit.rpt
blob: f7f5b8a5b865222b961ab7ca15d9edabcc02b15c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
Fitter report for DE0_D5M
Tue Mar 08 16:24:30 2016
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Fitter Summary
  3. Fitter Settings
  4. Parallel Compilation
  5. I/O Assignment Warnings
  6. Ignored Assignments
  7. Incremental Compilation Preservation Summary
  8. Incremental Compilation Partition Settings
  9. Incremental Compilation Placement Preservation
 10. Pin-Out File
 11. Fitter Resource Usage Summary
 12. Fitter Partition Statistics
 13. Input Pins
 14. Output Pins
 15. Bidir Pins
 16. Dual Purpose and Dedicated Pins
 17. I/O Bank Usage
 18. All Package Pins
 19. PLL Summary
 20. PLL Usage
 21. Fitter Resource Utilization by Entity
 22. Delay Chain Summary
 23. Pad To Core Delay Chain Fanout
 24. Control Signals
 25. Global & Other Fast Signals
 26. Non-Global High Fan-Out Signals
 27. Fitter RAM Summary
 28. Other Routing Usage Summary
 29. LAB Logic Elements
 30. LAB-wide Signals
 31. LAB Signals Sourced
 32. LAB Signals Sourced Out
 33. LAB Distinct Inputs
 34. I/O Rules Summary
 35. I/O Rules Details
 36. I/O Rules Matrix
 37. Fitter Device Options
 38. Operating Settings and Conditions
 39. Estimated Delay Added for Hold Timing Summary
 40. Estimated Delay Added for Hold Timing Details
 41. Fitter Messages
 42. Fitter Suppressed Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+---------------------------------------------------------------------------------------+
; Fitter Summary                                                                        ;
+------------------------------------+--------------------------------------------------+
; Fitter Status                      ; Successful - Tue Mar 08 16:24:30 2016            ;
; Quartus II 64-Bit Version          ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version ;
; Revision Name                      ; DE0_D5M                                          ;
; Top-level Entity Name              ; TOP_DE0_CAMERA_MOUSE                             ;
; Family                             ; Cyclone III                                      ;
; Device                             ; EP3C16F484C6                                     ;
; Timing Models                      ; Final                                            ;
; Total logic elements               ; 3,638 / 15,408 ( 24 % )                          ;
;     Total combinational functions  ; 3,247 / 15,408 ( 21 % )                          ;
;     Dedicated logic registers      ; 1,389 / 15,408 ( 9 % )                           ;
; Total registers                    ; 1389                                             ;
; Total pins                         ; 143 / 347 ( 41 % )                               ;
; Total virtual pins                 ; 0                                                ;
; Total memory bits                  ; 134,236 / 516,096 ( 26 % )                       ;
; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % )                                  ;
; Total PLLs                         ; 1 / 4 ( 25 % )                                   ;
+------------------------------------+--------------------------------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Settings                                                                                                                                            ;
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
; Option                                                                     ; Setting                               ; Default Value                         ;
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
; Device                                                                     ; EP3C16F484C6                          ;                                       ;
; Use smart compilation                                                      ; On                                    ; Off                                   ;
; Minimum Core Junction Temperature                                          ; 0                                     ;                                       ;
; Maximum Core Junction Temperature                                          ; 85                                    ;                                       ;
; Fit Attempts to Skip                                                       ; 0                                     ; 0.0                                   ;
; Device I/O Standard                                                        ; 3.3-V LVTTL                           ;                                       ;
; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                                    ; On                                    ;
; Enable compact report table                                                ; Off                                   ; Off                                   ;
; Auto Merge PLLs                                                            ; On                                    ; On                                    ;
; Router Timing Optimization Level                                           ; Normal                                ; Normal                                ;
; Perform Clocking Topology Analysis During Routing                          ; Off                                   ; Off                                   ;
; Placement Effort Multiplier                                                ; 1.0                                   ; 1.0                                   ;
; Router Effort Multiplier                                                   ; 1.0                                   ; 1.0                                   ;
; Optimize Hold Timing                                                       ; All Paths                             ; All Paths                             ;
; Optimize Multi-Corner Timing                                               ; On                                    ; On                                    ;
; PowerPlay Power Optimization                                               ; Normal compilation                    ; Normal compilation                    ;
; SSN Optimization                                                           ; Off                                   ; Off                                   ;
; Optimize Timing                                                            ; Normal compilation                    ; Normal compilation                    ;
; Optimize Timing for ECOs                                                   ; Off                                   ; Off                                   ;
; Regenerate full fit report during ECO compiles                             ; Off                                   ; Off                                   ;
; Optimize IOC Register Placement for Timing                                 ; Normal                                ; Normal                                ;
; Limit to One Fitting Attempt                                               ; Off                                   ; Off                                   ;
; Final Placement Optimizations                                              ; Automatically                         ; Automatically                         ;
; Fitter Aggressive Routability Optimizations                                ; Automatically                         ; Automatically                         ;
; Fitter Initial Placement Seed                                              ; 1                                     ; 1                                     ;
; PCI I/O                                                                    ; Off                                   ; Off                                   ;
; Weak Pull-Up Resistor                                                      ; Off                                   ; Off                                   ;
; Enable Bus-Hold Circuitry                                                  ; Off                                   ; Off                                   ;
; Auto Packed Registers                                                      ; Auto                                  ; Auto                                  ;
; Auto Delay Chains                                                          ; On                                    ; On                                    ;
; Auto Delay Chains for High Fanout Input Pins                               ; Off                                   ; Off                                   ;
; Allow Single-ended Buffer for Differential-XSTL Input                      ; Off                                   ; Off                                   ;
; Treat Bidirectional Pin as Output Pin                                      ; Off                                   ; Off                                   ;
; Perform Physical Synthesis for Combinational Logic for Fitting             ; Off                                   ; Off                                   ;
; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                                   ; Off                                   ;
; Perform Register Duplication for Performance                               ; Off                                   ; Off                                   ;
; Perform Logic to Memory Mapping for Fitting                                ; Off                                   ; Off                                   ;
; Perform Register Retiming for Performance                                  ; Off                                   ; Off                                   ;
; Perform Asynchronous Signal Pipelining                                     ; Off                                   ; Off                                   ;
; Fitter Effort                                                              ; Auto Fit                              ; Auto Fit                              ;
; Physical Synthesis Effort Level                                            ; Normal                                ; Normal                                ;
; Logic Cell Insertion - Logic Duplication                                   ; Auto                                  ; Auto                                  ;
; Auto Register Duplication                                                  ; Auto                                  ; Auto                                  ;
; Auto Global Clock                                                          ; On                                    ; On                                    ;
; Auto Global Register Control Signals                                       ; On                                    ; On                                    ;
; Reserve all unused pins                                                    ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
; Synchronizer Identification                                                ; Off                                   ; Off                                   ;
; Enable Beneficial Skew Optimization                                        ; On                                    ; On                                    ;
; Optimize Design for Metastability                                          ; On                                    ; On                                    ;
; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                                   ; Off                                   ;
; RAM Bit Reservation (Cyclone III)                                          ; Off                                   ; Off                                   ;
; Enable input tri-state on active configuration pins in user mode           ; Off                                   ; Off                                   ;
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+


+------------------------------------------+
; Parallel Compilation                     ;
+----------------------------+-------------+
; Processors                 ; Number      ;
+----------------------------+-------------+
; Number detected on machine ; 8           ;
; Maximum allowed            ; 4           ;
;                            ;             ;
; Average used               ; 1.09        ;
; Maximum used               ; 4           ;
;                            ;             ;
; Usage by Processor         ; % Time Used ;
;     Processor 1            ; 100.0%      ;
;     Processors 2-4         ;   3.1%      ;
;     Processors 5-8         ;   0.0%      ;
+----------------------------+-------------+


+-------------------------------------------+
; I/O Assignment Warnings                   ;
+------------------+------------------------+
; Pin Name         ; Reason                 ;
+------------------+------------------------+
; DRAM_LDQM        ; Missing drive strength ;
; DRAM_UDQM        ; Missing drive strength ;
; DRAM_BA_1        ; Missing drive strength ;
; DRAM_BA_0        ; Missing drive strength ;
; DRAM_CAS_N       ; Missing drive strength ;
; DRAM_CKE         ; Missing drive strength ;
; DRAM_CS_N        ; Missing drive strength ;
; DRAM_RAS_N       ; Missing drive strength ;
; DRAM_WE_N        ; Missing drive strength ;
; DRAM_CLK         ; Missing drive strength ;
; VGA_CLK          ; Missing drive strength ;
; VGA_HS           ; Missing drive strength ;
; VGA_VS           ; Missing drive strength ;
; DRAM_ADDR[11]    ; Missing drive strength ;
; DRAM_ADDR[10]    ; Missing drive strength ;
; DRAM_ADDR[9]     ; Missing drive strength ;
; DRAM_ADDR[8]     ; Missing drive strength ;
; DRAM_ADDR[7]     ; Missing drive strength ;
; DRAM_ADDR[6]     ; Missing drive strength ;
; DRAM_ADDR[5]     ; Missing drive strength ;
; DRAM_ADDR[4]     ; Missing drive strength ;
; DRAM_ADDR[3]     ; Missing drive strength ;
; DRAM_ADDR[2]     ; Missing drive strength ;
; DRAM_ADDR[1]     ; Missing drive strength ;
; DRAM_ADDR[0]     ; Missing drive strength ;
; GPIO_1_CLKOUT[1] ; Missing drive strength ;
; GPIO_1_CLKOUT[0] ; Missing drive strength ;
; HEX0[6]          ; Missing drive strength ;
; HEX0[5]          ; Missing drive strength ;
; HEX0[4]          ; Missing drive strength ;
; HEX0[3]          ; Missing drive strength ;
; HEX0[2]          ; Missing drive strength ;
; HEX0[1]          ; Missing drive strength ;
; HEX0[0]          ; Missing drive strength ;
; HEX1[6]          ; Missing drive strength ;
; HEX1[5]          ; Missing drive strength ;
; HEX1[4]          ; Missing drive strength ;
; HEX1[3]          ; Missing drive strength ;
; HEX1[2]          ; Missing drive strength ;
; HEX1[1]          ; Missing drive strength ;
; HEX1[0]          ; Missing drive strength ;
; HEX2[6]          ; Missing drive strength ;
; HEX2[5]          ; Missing drive strength ;
; HEX2[4]          ; Missing drive strength ;
; HEX2[3]          ; Missing drive strength ;
; HEX2[2]          ; Missing drive strength ;
; HEX2[1]          ; Missing drive strength ;
; HEX2[0]          ; Missing drive strength ;
; HEX3[6]          ; Missing drive strength ;
; HEX3[5]          ; Missing drive strength ;
; HEX3[4]          ; Missing drive strength ;
; HEX3[3]          ; Missing drive strength ;
; HEX3[2]          ; Missing drive strength ;
; HEX3[1]          ; Missing drive strength ;
; HEX3[0]          ; Missing drive strength ;
; LEDG[9]          ; Missing drive strength ;
; LEDG[8]          ; Missing drive strength ;
; LEDG[7]          ; Missing drive strength ;
; LEDG[6]          ; Missing drive strength ;
; LEDG[5]          ; Missing drive strength ;
; LEDG[4]          ; Missing drive strength ;
; LEDG[3]          ; Missing drive strength ;
; LEDG[2]          ; Missing drive strength ;
; LEDG[1]          ; Missing drive strength ;
; LEDG[0]          ; Missing drive strength ;
; VGA_B[3]         ; Missing drive strength ;
; VGA_B[2]         ; Missing drive strength ;
; VGA_B[1]         ; Missing drive strength ;
; VGA_B[0]         ; Missing drive strength ;
; VGA_G[3]         ; Missing drive strength ;
; VGA_G[2]         ; Missing drive strength ;
; VGA_G[1]         ; Missing drive strength ;
; VGA_G[0]         ; Missing drive strength ;
; VGA_R[3]         ; Missing drive strength ;
; VGA_R[2]         ; Missing drive strength ;
; VGA_R[1]         ; Missing drive strength ;
; VGA_R[0]         ; Missing drive strength ;
; DRAM_DQ[15]      ; Missing drive strength ;
; DRAM_DQ[14]      ; Missing drive strength ;
; DRAM_DQ[13]      ; Missing drive strength ;
; DRAM_DQ[12]      ; Missing drive strength ;
; DRAM_DQ[11]      ; Missing drive strength ;
; DRAM_DQ[10]      ; Missing drive strength ;
; DRAM_DQ[9]       ; Missing drive strength ;
; DRAM_DQ[8]       ; Missing drive strength ;
; DRAM_DQ[7]       ; Missing drive strength ;
; DRAM_DQ[6]       ; Missing drive strength ;
; DRAM_DQ[5]       ; Missing drive strength ;
; DRAM_DQ[4]       ; Missing drive strength ;
; DRAM_DQ[3]       ; Missing drive strength ;
; DRAM_DQ[2]       ; Missing drive strength ;
; DRAM_DQ[1]       ; Missing drive strength ;
; DRAM_DQ[0]       ; Missing drive strength ;
; GPIO_1[31]       ; Missing drive strength ;
; GPIO_1[30]       ; Missing drive strength ;
; GPIO_1[29]       ; Missing drive strength ;
; GPIO_1[28]       ; Missing drive strength ;
; GPIO_1[27]       ; Missing drive strength ;
; GPIO_1[26]       ; Missing drive strength ;
; GPIO_1[25]       ; Missing drive strength ;
; GPIO_1[24]       ; Missing drive strength ;
; GPIO_1[23]       ; Missing drive strength ;
; GPIO_1[22]       ; Missing drive strength ;
; GPIO_1[21]       ; Missing drive strength ;
; GPIO_1[20]       ; Missing drive strength ;
; GPIO_1[19]       ; Missing drive strength ;
; GPIO_1[18]       ; Missing drive strength ;
; GPIO_1[17]       ; Missing drive strength ;
; GPIO_1[16]       ; Missing drive strength ;
; GPIO_1[15]       ; Missing drive strength ;
; GPIO_1[14]       ; Missing drive strength ;
; GPIO_1[13]       ; Missing drive strength ;
; GPIO_1[12]       ; Missing drive strength ;
; GPIO_1[11]       ; Missing drive strength ;
; GPIO_1[10]       ; Missing drive strength ;
; GPIO_1[9]        ; Missing drive strength ;
; GPIO_1[8]        ; Missing drive strength ;
; GPIO_1[7]        ; Missing drive strength ;
; GPIO_1[6]        ; Missing drive strength ;
; GPIO_1[5]        ; Missing drive strength ;
; GPIO_1[4]        ; Missing drive strength ;
; GPIO_1[3]        ; Missing drive strength ;
; GPIO_1[2]        ; Missing drive strength ;
; GPIO_1[1]        ; Missing drive strength ;
; GPIO_1[0]        ; Missing drive strength ;
; PS2_DAT          ; Missing drive strength ;
; PS2_CLK          ; Missing drive strength ;
+------------------+------------------------+


+--------------------------------------------------------------------------------------------------------------+
; Ignored Assignments                                                                                          ;
+---------------------+----------------------+--------------+-----------------+---------------+----------------+
; Name                ; Ignored Entity       ; Ignored From ; Ignored To      ; Ignored Value ; Ignored Source ;
+---------------------+----------------------+--------------+-----------------+---------------+----------------+
; Location            ;                      ;              ; CLOCK_50_2      ; PIN_B12       ; QSF Assignment ;
; Location            ;                      ;              ; DRAM_ADDR[12]   ; PIN_C8        ; QSF Assignment ;
; Location            ;                      ;              ; HEX0_DP         ; PIN_D13       ; QSF Assignment ;
; Location            ;                      ;              ; HEX1_DP         ; PIN_B15       ; QSF Assignment ;
; Location            ;                      ;              ; HEX2_DP         ; PIN_A18       ; QSF Assignment ;
; Location            ;                      ;              ; HEX3_DP         ; PIN_G16       ; QSF Assignment ;
; I/O Standard        ;                      ;              ; AUD_ADCDAT      ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; AUD_ADCLRCK     ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; AUD_BCLK        ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; AUD_DACDAT      ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; AUD_DACLRCK     ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; AUD_XCK         ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; BUTTON[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; BUTTON[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; BUTTON[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; CLOCK_50_2      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; DRAM_ADDR[12]   ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[0]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[10]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[11]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[12]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[13]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[14]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[15]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[16]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[17]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[18]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[19]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[1]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[20]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[21]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[2]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[3]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[4]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[5]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[6]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[7]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[8]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_ADDR[9]      ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_BYTE_N       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_CE_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ15_AM1     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[0]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[10]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[11]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[12]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[13]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[14]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[1]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[2]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[3]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[4]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[5]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[6]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[7]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[8]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_DQ[9]        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_OE_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_RST_N        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_RY           ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_WE_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; FL_WP_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO0_CLKIN[0]  ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO0_CLKIN[1]  ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO0_CLKOUT[0] ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO0_CLKOUT[1] ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO1_CLKIN[0]  ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO1_CLKIN[1]  ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO1_CLKOUT[0] ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO1_CLKOUT[1] ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO_1[32]      ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO_1[33]      ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO_1[34]      ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; GPIO_1[35]      ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_DP         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[3]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[4]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[5]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX0_D[6]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_DP         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[3]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[4]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[5]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX1_D[6]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_DP         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[3]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[4]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[5]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX2_D[6]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_DP         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[0]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[1]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[2]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[3]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[4]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[5]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; HEX3_D[6]       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; I2C_SCLK        ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; I2C_SDAT        ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; KEY[3]          ; LVTTL         ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_BLON        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[0]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[1]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[2]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[3]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[4]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[5]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[6]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_DATA[7]     ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_EN          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_RS          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; LCD_RW          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; PS2_KBCLK       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; PS2_KBDAT       ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; SD_CLK          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; SD_CMD          ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; SD_DAT0         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; SD_DAT3         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; SD_WP_N         ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; UART_CTS        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; UART_RTS        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; UART_RXD        ; 3.3-V LVTTL   ; QSF Assignment ;
; I/O Standard        ;                      ;              ; UART_TXD        ; 3.3-V LVTTL   ; QSF Assignment ;
; Fast Input Register ; TOP_DE0_CAMERA_MOUSE ;              ; rCCD_DATA       ; ON            ; QSF Assignment ;
; Fast Input Register ; TOP_DE0_CAMERA_MOUSE ;              ; rCCD_FVAL       ; ON            ; QSF Assignment ;
; Fast Input Register ; TOP_DE0_CAMERA_MOUSE ;              ; rCCD_LVAL       ; ON            ; QSF Assignment ;
+---------------------+----------------------+--------------+-----------------+---------------+----------------+


+----------------------------------------------+
; Incremental Compilation Preservation Summary ;
+---------------------+------------------------+
; Type                ; Value                  ;
+---------------------+------------------------+
; Placement (by node) ;                        ;
;     -- Requested    ; 0 / 5169 ( 0.00 % )    ;
;     -- Achieved     ; 0 / 5169 ( 0.00 % )    ;
;                     ;                        ;
; Routing (by net)    ;                        ;
;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
+---------------------+------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Incremental Compilation Partition Settings                                                                                                                                             ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+


+------------------------------------------------------------------------------------------------------------+
; Incremental Compilation Placement Preservation                                                             ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+
; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+
; Top                            ; 5158    ; 0                 ; N/A                     ; Source File       ;
; hard_block:auto_generated_inst ; 11      ; 0                 ; N/A                     ; Source File       ;
+--------------------------------+---------+-------------------+-------------------------+-------------------+


+--------------+
; Pin-Out File ;
+--------------+
The pin-out file can be found in //icnas3.cc.ic.ac.uk/mg3115/EIE1 FPGA/Sobel Filter Catapult/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.pin.


+--------------------------------------------------------------------------+
; Fitter Resource Usage Summary                                            ;
+---------------------------------------------+----------------------------+
; Resource                                    ; Usage                      ;
+---------------------------------------------+----------------------------+
; Total logic elements                        ; 3,638 / 15,408 ( 24 % )    ;
;     -- Combinational with no register       ; 2249                       ;
;     -- Register only                        ; 391                        ;
;     -- Combinational with a register        ; 998                        ;
;                                             ;                            ;
; Logic element usage by number of LUT inputs ;                            ;
;     -- 4 input functions                    ; 769                        ;
;     -- 3 input functions                    ; 1533                       ;
;     -- <=2 input functions                  ; 945                        ;
;     -- Register only                        ; 391                        ;
;                                             ;                            ;
; Logic elements by mode                      ;                            ;
;     -- normal mode                          ; 1626                       ;
;     -- arithmetic mode                      ; 1621                       ;
;                                             ;                            ;
; Total registers*                            ; 1,389 / 17,068 ( 8 % )     ;
;     -- Dedicated logic registers            ; 1,389 / 15,408 ( 9 % )     ;
;     -- I/O registers                        ; 0 / 1,660 ( 0 % )          ;
;                                             ;                            ;
; Total LABs:  partially or completely used   ; 293 / 963 ( 30 % )         ;
; Virtual pins                                ; 0                          ;
; I/O pins                                    ; 143 / 347 ( 41 % )         ;
;     -- Clock pins                           ; 2 / 8 ( 25 % )             ;
;     -- Dedicated input pins                 ; 0 / 9 ( 0 % )              ;
;                                             ;                            ;
; Global signals                              ; 11                         ;
; M9Ks                                        ; 20 / 56 ( 36 % )           ;
; Total block memory bits                     ; 134,236 / 516,096 ( 26 % ) ;
; Total block memory implementation bits      ; 184,320 / 516,096 ( 36 % ) ;
; Embedded Multiplier 9-bit elements          ; 0 / 112 ( 0 % )            ;
; PLLs                                        ; 1 / 4 ( 25 % )             ;
; Global clocks                               ; 11 / 20 ( 55 % )           ;
; JTAGs                                       ; 0 / 1 ( 0 % )              ;
; CRC blocks                                  ; 0 / 1 ( 0 % )              ;
; ASMI blocks                                 ; 0 / 1 ( 0 % )              ;
; Impedance control blocks                    ; 0 / 4 ( 0 % )              ;
; Average interconnect usage (total/H/V)      ; 6% / 6% / 6%               ;
; Peak interconnect usage (total/H/V)         ; 20% / 19% / 22%            ;
; Maximum fan-out                             ; 512                        ;
; Highest non-global fan-out                  ; 325                        ;
; Total fan-out                               ; 14749                      ;
; Average fan-out                             ; 2.79                       ;
+---------------------------------------------+----------------------------+
*  Register count does not include registers inside RAM blocks or DSP blocks.



+------------------------------------------------------------------------------------------------------+
; Fitter Partition Statistics                                                                          ;
+---------------------------------------------+-----------------------+--------------------------------+
; Statistic                                   ; Top                   ; hard_block:auto_generated_inst ;
+---------------------------------------------+-----------------------+--------------------------------+
; Difficulty Clustering Region                ; Low                   ; Low                            ;
;                                             ;                       ;                                ;
; Total logic elements                        ; 3638 / 15408 ( 24 % ) ; 0 / 15408 ( 0 % )              ;
;     -- Combinational with no register       ; 2249                  ; 0                              ;
;     -- Register only                        ; 391                   ; 0                              ;
;     -- Combinational with a register        ; 998                   ; 0                              ;
;                                             ;                       ;                                ;
; Logic element usage by number of LUT inputs ;                       ;                                ;
;     -- 4 input functions                    ; 769                   ; 0                              ;
;     -- 3 input functions                    ; 1533                  ; 0                              ;
;     -- <=2 input functions                  ; 945                   ; 0                              ;
;     -- Register only                        ; 391                   ; 0                              ;
;                                             ;                       ;                                ;
; Logic elements by mode                      ;                       ;                                ;
;     -- normal mode                          ; 1626                  ; 0                              ;
;     -- arithmetic mode                      ; 1621                  ; 0                              ;
;                                             ;                       ;                                ;
; Total registers                             ; 1389                  ; 0                              ;
;     -- Dedicated logic registers            ; 1389 / 15408 ( 9 % )  ; 0 / 15408 ( 0 % )              ;
;                                             ;                       ;                                ;
; Total LABs:  partially or completely used   ; 293 / 963 ( 30 % )    ; 0 / 963 ( 0 % )                ;
;                                             ;                       ;                                ;
; Virtual pins                                ; 0                     ; 0                              ;
; I/O pins                                    ; 143                   ; 0                              ;
; Embedded Multiplier 9-bit elements          ; 0 / 112 ( 0 % )       ; 0 / 112 ( 0 % )                ;
; Total memory bits                           ; 134236                ; 0                              ;
; Total RAM block bits                        ; 184320                ; 0                              ;
; PLL                                         ; 0 / 4 ( 0 % )         ; 1 / 4 ( 25 % )                 ;
; M9K                                         ; 20 / 56 ( 35 % )      ; 0 / 56 ( 0 % )                 ;
; Clock control block                         ; 9 / 24 ( 37 % )       ; 2 / 24 ( 8 % )                 ;
;                                             ;                       ;                                ;
; Connections                                 ;                       ;                                ;
;     -- Input Connections                    ; 563                   ; 1                              ;
;     -- Registered Input Connections         ; 512                   ; 0                              ;
;     -- Output Connections                   ; 51                    ; 513                            ;
;     -- Registered Output Connections        ; 0                     ; 0                              ;
;                                             ;                       ;                                ;
; Internal Connections                        ;                       ;                                ;
;     -- Total Connections                    ; 14995                 ; 521                            ;
;     -- Registered Connections               ; 5185                  ; 0                              ;
;                                             ;                       ;                                ;
; External Connections                        ;                       ;                                ;
;     -- Top                                  ; 100                   ; 514                            ;
;     -- hard_block:auto_generated_inst       ; 514                   ; 0                              ;
;                                             ;                       ;                                ;
; Partition Interface                         ;                       ;                                ;
;     -- Input Ports                          ; 16                    ; 1                              ;
;     -- Output Ports                         ; 77                    ; 2                              ;
;     -- Bidir Ports                          ; 50                    ; 0                              ;
;                                             ;                       ;                                ;
; Registered Ports                            ;                       ;                                ;
;     -- Registered Input Ports               ; 0                     ; 0                              ;
;     -- Registered Output Ports              ; 0                     ; 0                              ;
;                                             ;                       ;                                ;
; Port Connectivity                           ;                       ;                                ;
;     -- Input Ports driven by GND            ; 0                     ; 0                              ;
;     -- Output Ports driven by GND           ; 0                     ; 0                              ;
;     -- Input Ports driven by VCC            ; 0                     ; 0                              ;
;     -- Output Ports driven by VCC           ; 0                     ; 0                              ;
;     -- Input Ports with no Source           ; 0                     ; 0                              ;
;     -- Output Ports with no Source          ; 0                     ; 0                              ;
;     -- Input Ports with no Fanout           ; 0                     ; 0                              ;
;     -- Output Ports with no Fanout          ; 0                     ; 0                              ;
+---------------------------------------------+-----------------------+--------------------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Input Pins                                                                                                                                                                                                                                                                           ;
+-----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
; Name            ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ;
+-----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+
; CLOCK_50        ; G21   ; 6        ; 41           ; 15           ; 0            ; 106                   ; 0                  ; yes    ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; GPIO_1_CLKIN[0] ; AB11  ; 3        ; 21           ; 0            ; 14           ; 229                   ; 0                  ; yes    ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; GPIO_1_CLKIN[1] ; AA11  ; 3        ; 21           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[0]          ; H2    ; 1        ; 0            ; 21           ; 7            ; 325                   ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[1]          ; G3    ; 1        ; 0            ; 23           ; 14           ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; KEY[2]          ; F1    ; 1        ; 0            ; 23           ; 0            ; 1                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[0]           ; J6    ; 1        ; 0            ; 24           ; 0            ; 25                    ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[1]           ; H5    ; 1        ; 0            ; 27           ; 0            ; 6                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[2]           ; H6    ; 1        ; 0            ; 25           ; 21           ; 14                    ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[3]           ; G4    ; 1        ; 0            ; 23           ; 7            ; 4                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[4]           ; G5    ; 1        ; 0            ; 27           ; 21           ; 22                    ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[5]           ; J7    ; 1        ; 0            ; 22           ; 14           ; 22                    ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[6]           ; H7    ; 1        ; 0            ; 25           ; 14           ; 4                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[7]           ; E3    ; 1        ; 0            ; 26           ; 7            ; 4                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[8]           ; E4    ; 1        ; 0            ; 26           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
; SW[9]           ; D2    ; 1        ; 0            ; 25           ; 0            ; 0                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 3.3-V LVTTL  ; --                        ; User                 ;
+-----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Output Pins                                                                                                                                                                                                                                                                                                                                                                                                                                 ;
+------------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
; Name             ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
+------------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
; DRAM_ADDR[0]     ; C4    ; 8        ; 1            ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[10]    ; B4    ; 8        ; 5            ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[11]    ; A7    ; 8        ; 11           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[1]     ; A3    ; 8        ; 3            ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[2]     ; B3    ; 8        ; 3            ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[3]     ; C3    ; 8        ; 3            ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[4]     ; A5    ; 8        ; 7            ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[5]     ; C6    ; 8        ; 5            ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[6]     ; B6    ; 8        ; 11           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[7]     ; A6    ; 8        ; 11           ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[8]     ; C7    ; 8        ; 9            ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_ADDR[9]     ; B7    ; 8        ; 11           ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_BA_0        ; B5    ; 8        ; 7            ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_BA_1        ; A4    ; 8        ; 5            ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CAS_N       ; G8    ; 8        ; 5            ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CKE         ; E6    ; 8        ; 1            ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CLK         ; E5    ; 8        ; 1            ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_CS_N        ; G7    ; 8        ; 1            ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_LDQM        ; E7    ; 8        ; 3            ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_RAS_N       ; F7    ; 8        ; 1            ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_UDQM        ; B8    ; 8        ; 14           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; DRAM_WE_N        ; D6    ; 8        ; 3            ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; GPIO_1_CLKOUT[0] ; R16   ; 4        ; 37           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; GPIO_1_CLKOUT[1] ; T16   ; 4        ; 37           ; 0            ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[0]          ; E11   ; 7        ; 21           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[1]          ; F11   ; 7        ; 21           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[2]          ; H12   ; 7        ; 26           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[3]          ; H13   ; 7        ; 28           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[4]          ; G12   ; 7        ; 26           ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[5]          ; F12   ; 7        ; 28           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX0[6]          ; F13   ; 7        ; 26           ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[0]          ; A13   ; 7        ; 21           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[1]          ; B13   ; 7        ; 21           ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[2]          ; C13   ; 7        ; 23           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[3]          ; A14   ; 7        ; 23           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[4]          ; B14   ; 7        ; 23           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[5]          ; E14   ; 7        ; 28           ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX1[6]          ; A15   ; 7        ; 26           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[0]          ; D15   ; 7        ; 32           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[1]          ; A16   ; 7        ; 30           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[2]          ; B16   ; 7        ; 28           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[3]          ; E15   ; 7        ; 30           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[4]          ; A17   ; 7        ; 30           ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[5]          ; B17   ; 7        ; 30           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX2[6]          ; F14   ; 7        ; 37           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[0]          ; B18   ; 7        ; 32           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[1]          ; F15   ; 7        ; 39           ; 29           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[2]          ; A19   ; 7        ; 32           ; 29           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[3]          ; B19   ; 7        ; 32           ; 29           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[4]          ; C19   ; 7        ; 37           ; 29           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[5]          ; D19   ; 7        ; 37           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; HEX3[6]          ; G15   ; 7        ; 39           ; 29           ; 28           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[0]          ; J1    ; 1        ; 0            ; 20           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[1]          ; J2    ; 1        ; 0            ; 20           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[2]          ; J3    ; 1        ; 0            ; 21           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[3]          ; H1    ; 1        ; 0            ; 21           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[4]          ; F2    ; 1        ; 0            ; 24           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[5]          ; E1    ; 1        ; 0            ; 24           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[6]          ; C1    ; 1        ; 0            ; 26           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[7]          ; C2    ; 1        ; 0            ; 26           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[8]          ; B2    ; 1        ; 0            ; 27           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; LEDG[9]          ; B1    ; 1        ; 0            ; 27           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[0]         ; K22   ; 6        ; 41           ; 19           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[1]         ; K21   ; 6        ; 41           ; 19           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[2]         ; J22   ; 6        ; 41           ; 19           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_B[3]         ; K18   ; 6        ; 41           ; 21           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_CLK          ; W10   ; 3        ; 19           ; 0            ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
; VGA_G[0]         ; H22   ; 6        ; 41           ; 20           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[1]         ; J17   ; 6        ; 41           ; 24           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[2]         ; K17   ; 6        ; 41           ; 21           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_G[3]         ; J21   ; 6        ; 41           ; 20           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_HS           ; L21   ; 6        ; 41           ; 18           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[0]         ; H19   ; 6        ; 41           ; 23           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[1]         ; H17   ; 6        ; 41           ; 25           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[2]         ; H20   ; 6        ; 41           ; 22           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_R[3]         ; H21   ; 6        ; 41           ; 21           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
; VGA_VS           ; L22   ; 6        ; 41           ; 18           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off         ; --                        ; no                         ; no                          ; User                 ; -                    ; -                   ;
+------------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Bidir Pins                                                                                                                                                                                                                                                                                                                                                                                                                                                                                     ;
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------+---------------------+
; Name        ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source                                               ; Output Enable Group ;
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------+---------------------+
; DRAM_DQ[0]  ; D10   ; 8        ; 16           ; 29           ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[10] ; A9    ; 8        ; 16           ; 29           ; 28           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[11] ; C10   ; 8        ; 14           ; 29           ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[12] ; B10   ; 8        ; 16           ; 29           ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[13] ; A10   ; 8        ; 16           ; 29           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[14] ; E10   ; 8        ; 16           ; 29           ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[15] ; F10   ; 8        ; 7            ; 29           ; 28           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[1]  ; G10   ; 8        ; 9            ; 29           ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[2]  ; H10   ; 8        ; 9            ; 29           ; 28           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[3]  ; E9    ; 8        ; 11           ; 29           ; 28           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[4]  ; F9    ; 8        ; 7            ; 29           ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[5]  ; G9    ; 8        ; 9            ; 29           ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[6]  ; H9    ; 8        ; 7            ; 29           ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[7]  ; F8    ; 8        ; 5            ; 29           ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[8]  ; A8    ; 8        ; 14           ; 29           ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; DRAM_DQ[9]  ; B9    ; 8        ; 14           ; 29           ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE (inverted) ; -                   ;
; GPIO_1[0]   ; AA20  ; 4        ; 37           ; 0            ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[10]  ; U15   ; 4        ; 39           ; 0            ; 28           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[11]  ; T15   ; 4        ; 32           ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[12]  ; W15   ; 4        ; 32           ; 0            ; 21           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[13]  ; V15   ; 4        ; 32           ; 0            ; 28           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[14]  ; AB9   ; 3        ; 16           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[15]  ; AA9   ; 3        ; 16           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[16]  ; AA7   ; 3        ; 11           ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[17]  ; AB7   ; 3        ; 11           ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[18]  ; T14   ; 4        ; 32           ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[19]  ; R14   ; 4        ; 39           ; 0            ; 14           ; 4                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SDO               ; -                   ;
; GPIO_1[1]   ; AB20  ; 4        ; 37           ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[20]  ; U12   ; 4        ; 26           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[21]  ; T12   ; 4        ; 28           ; 0            ; 28           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[22]  ; R11   ; 3        ; 3            ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[23]  ; R12   ; 3        ; 5            ; 0            ; 28           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[24]  ; U10   ; 3        ; 14           ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[25]  ; T10   ; 3        ; 14           ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[26]  ; U9    ; 3        ; 9            ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[27]  ; T9    ; 3        ; 1            ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[28]  ; Y7    ; 3        ; 9            ; 0            ; 7            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[29]  ; U8    ; 3        ; 3            ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[2]   ; AA19  ; 4        ; 35           ; 0            ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[30]  ; V6    ; 3        ; 1            ; 0            ; 0            ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[31]  ; V7    ; 3        ; 7            ; 0            ; 14           ; 0                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[3]   ; AB19  ; 4        ; 35           ; 0            ; 14           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[4]   ; AB18  ; 4        ; 32           ; 0            ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[5]   ; AA18  ; 4        ; 35           ; 0            ; 28           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[6]   ; AA17  ; 4        ; 28           ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[7]   ; AB17  ; 4        ; 28           ; 0            ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[8]   ; Y17   ; 4        ; 35           ; 0            ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; GPIO_1[9]   ; W17   ; 4        ; 35           ; 0            ; 7            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; -                                                                  ; -                   ;
; PS2_CLK     ; P22   ; 5        ; 41           ; 11           ; 0            ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; yes        ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; ps2:inst6|ce~0 (inverted)                                          ; -                   ;
; PS2_DAT     ; P21   ; 5        ; 41           ; 12           ; 21           ; 1                     ; 0                  ; no     ; no             ; no              ; no                     ; no            ; 2         ; yes             ; no         ; no       ; Off          ; 3.3-V LVTTL  ; 8mA              ; Off                ; --                        ; User                 ; 0 pF ; ps2:inst6|de~0 (inverted)                                          ; -                   ;
+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------+---------------------+


+--------------------------------------------------------------------------------------------------------------------------------------+
; Dual Purpose and Dedicated Pins                                                                                                      ;
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
; Location ; Pin Name                                 ; Reserved As              ; User Signal Name        ; Pin Type                  ;
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+
; E4       ; DIFFIO_L2p, nRESET                       ; Use as regular IO        ; SW[8]                   ; Dual Purpose Pin          ;
; D1       ; DIFFIO_L4n, DATA1, ASDO                  ; As input tri-stated      ; ~ALTERA_ASDO_DATA1~     ; Dual Purpose Pin          ;
; E2       ; DIFFIO_L6p, FLASH_nCE, nCSO              ; As input tri-stated      ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin          ;
; K6       ; nSTATUS                                  ; -                        ; -                       ; Dedicated Programming Pin ;
; K2       ; DCLK                                     ; As output driving ground ; ~ALTERA_DCLK~           ; Dual Purpose Pin          ;
; K1       ; DATA0                                    ; As input tri-stated      ; ~ALTERA_DATA0~          ; Dual Purpose Pin          ;
; K5       ; nCONFIG                                  ; -                        ; -                       ; Dedicated Programming Pin ;
; L3       ; nCE                                      ; -                        ; -                       ; Dedicated Programming Pin ;
; M18      ; CONF_DONE                                ; -                        ; -                       ; Dedicated Programming Pin ;
; M17      ; MSEL0                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; L18      ; MSEL1                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; L17      ; MSEL2                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; K20      ; MSEL3                                    ; -                        ; -                       ; Dedicated Programming Pin ;
; L22      ; DIFFIO_R17n, INIT_DONE                   ; Use as regular IO        ; VGA_VS                  ; Dual Purpose Pin          ;
; L21      ; DIFFIO_R17p, CRC_ERROR                   ; Use as regular IO        ; VGA_HS                  ; Dual Purpose Pin          ;
; K22      ; DIFFIO_R16n, nCEO                        ; Use as programming pin   ; VGA_B[0]                ; Dual Purpose Pin          ;
; K21      ; DIFFIO_R16p, CLKUSR                      ; Use as regular IO        ; VGA_B[1]                ; Dual Purpose Pin          ;
; B18      ; DIFFIO_T27p, PADD0                       ; Use as regular IO        ; HEX3[0]                 ; Dual Purpose Pin          ;
; A17      ; DIFFIO_T25n, PADD1                       ; Use as regular IO        ; HEX2[4]                 ; Dual Purpose Pin          ;
; B17      ; DIFFIO_T25p, PADD2                       ; Use as regular IO        ; HEX2[5]                 ; Dual Purpose Pin          ;
; E14      ; DIFFIO_T23n, PADD3                       ; Use as regular IO        ; HEX1[5]                 ; Dual Purpose Pin          ;
; F13      ; DIFFIO_T21p, PADD4, DQS2T/CQ3T,DPCLK8    ; Use as regular IO        ; HEX0[6]                 ; Dual Purpose Pin          ;
; A15      ; DIFFIO_T20n, PADD5                       ; Use as regular IO        ; HEX1[6]                 ; Dual Purpose Pin          ;
; C13      ; DIFFIO_T19n, PADD7                       ; Use as regular IO        ; HEX1[2]                 ; Dual Purpose Pin          ;
; A14      ; DIFFIO_T18n, PADD9                       ; Use as regular IO        ; HEX1[3]                 ; Dual Purpose Pin          ;
; B14      ; DIFFIO_T18p, PADD10                      ; Use as regular IO        ; HEX1[4]                 ; Dual Purpose Pin          ;
; A13      ; DIFFIO_T17n, PADD11                      ; Use as regular IO        ; HEX1[0]                 ; Dual Purpose Pin          ;
; B13      ; DIFFIO_T17p, PADD12, DQS4T/CQ5T,DPCLK9   ; Use as regular IO        ; HEX1[1]                 ; Dual Purpose Pin          ;
; E11      ; DIFFIO_T16n, PADD13                      ; Use as regular IO        ; HEX0[0]                 ; Dual Purpose Pin          ;
; F11      ; DIFFIO_T16p, PADD14                      ; Use as regular IO        ; HEX0[1]                 ; Dual Purpose Pin          ;
; B10      ; DIFFIO_T14p, PADD15                      ; Use as regular IO        ; DRAM_DQ[12]             ; Dual Purpose Pin          ;
; A9       ; DIFFIO_T13n, PADD16                      ; Use as regular IO        ; DRAM_DQ[10]             ; Dual Purpose Pin          ;
; B9       ; DIFFIO_T13p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO        ; DRAM_DQ[9]              ; Dual Purpose Pin          ;
; A8       ; DIFFIO_T12n, DATA2                       ; Use as regular IO        ; DRAM_DQ[8]              ; Dual Purpose Pin          ;
; B8       ; DIFFIO_T12p, DATA3                       ; Use as regular IO        ; DRAM_UDQM               ; Dual Purpose Pin          ;
; A7       ; DIFFIO_T11n, PADD18                      ; Use as regular IO        ; DRAM_ADDR[11]           ; Dual Purpose Pin          ;
; B7       ; DIFFIO_T11p, DATA4                       ; Use as regular IO        ; DRAM_ADDR[9]            ; Dual Purpose Pin          ;
; A6       ; DIFFIO_T10n, PADD19                      ; Use as regular IO        ; DRAM_ADDR[7]            ; Dual Purpose Pin          ;
; B6       ; DIFFIO_T10p, DATA15                      ; Use as regular IO        ; DRAM_ADDR[6]            ; Dual Purpose Pin          ;
; C7       ; DIFFIO_T9p, DATA13                       ; Use as regular IO        ; DRAM_ADDR[8]            ; Dual Purpose Pin          ;
; A5       ; DATA5                                    ; Use as regular IO        ; DRAM_ADDR[4]            ; Dual Purpose Pin          ;
; F10      ; DIFFIO_T6p, DATA6                        ; Use as regular IO        ; DRAM_DQ[15]             ; Dual Purpose Pin          ;
; C6       ; DATA7                                    ; Use as regular IO        ; DRAM_ADDR[5]            ; Dual Purpose Pin          ;
; B4       ; DIFFIO_T5p, DATA8                        ; Use as regular IO        ; DRAM_ADDR[10]           ; Dual Purpose Pin          ;
; F8       ; DIFFIO_T4n, DATA9                        ; Use as regular IO        ; DRAM_DQ[7]              ; Dual Purpose Pin          ;
; A3       ; DIFFIO_T3n, DATA10                       ; Use as regular IO        ; DRAM_ADDR[1]            ; Dual Purpose Pin          ;
; B3       ; DIFFIO_T3p, DATA11                       ; Use as regular IO        ; DRAM_ADDR[2]            ; Dual Purpose Pin          ;
; C4       ; DIFFIO_T2p, DATA12, DQS1T/CQ1T#,CDPCLK7  ; Use as regular IO        ; DRAM_ADDR[0]            ; Dual Purpose Pin          ;
+----------+------------------------------------------+--------------------------+-------------------------+---------------------------+


+------------------------------------------------------------+
; I/O Bank Usage                                             ;
+----------+------------------+---------------+--------------+
; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
+----------+------------------+---------------+--------------+
; 1        ; 27 / 33 ( 82 % ) ; 3.3V          ; --           ;
; 2        ; 0 / 48 ( 0 % )   ; 3.3V          ; --           ;
; 3        ; 17 / 46 ( 37 % ) ; 3.3V          ; --           ;
; 4        ; 20 / 41 ( 49 % ) ; 3.3V          ; --           ;
; 5        ; 2 / 46 ( 4 % )   ; 3.3V          ; --           ;
; 6        ; 15 / 43 ( 35 % ) ; 3.3V          ; --           ;
; 7        ; 28 / 47 ( 60 % ) ; 3.3V          ; --           ;
; 8        ; 38 / 43 ( 88 % ) ; 3.3V          ; --           ;
+----------+------------------+---------------+--------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; All Package Pins                                                                                                                                                                        ;
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                                            ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
; A1       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; A2       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A3       ; 354        ; 8        ; DRAM_ADDR[1]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A4       ; 350        ; 8        ; DRAM_BA_1                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A5       ; 345        ; 8        ; DRAM_ADDR[4]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A6       ; 336        ; 8        ; DRAM_ADDR[7]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A7       ; 334        ; 8        ; DRAM_ADDR[11]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A8       ; 332        ; 8        ; DRAM_DQ[8]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A9       ; 328        ; 8        ; DRAM_DQ[10]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A10      ; 326        ; 8        ; DRAM_DQ[13]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A11      ; 321        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; A12      ; 319        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; A13      ; 314        ; 7        ; HEX1[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A14      ; 312        ; 7        ; HEX1[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A15      ; 307        ; 7        ; HEX1[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A16      ; 298        ; 7        ; HEX2[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A17      ; 296        ; 7        ; HEX2[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A18      ; 291        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A19      ; 290        ; 7        ; HEX3[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; A20      ; 284        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; A21      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; A22      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AA1      ; 76         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AA2      ; 75         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AA3      ; 102        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA4      ; 106        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA5      ; 108        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA6      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AA7      ; 115        ; 3        ; GPIO_1[16]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA8      ; 123        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA9      ; 126        ; 3        ; GPIO_1[15]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA10     ; 132        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA11     ; 134        ; 3        ; GPIO_1_CLKIN[1]                                           ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA12     ; 136        ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; AA13     ; 138        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA14     ; 140        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA15     ; 145        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA16     ; 149        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AA17     ; 151        ; 4        ; GPIO_1[6]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA18     ; 163        ; 4        ; GPIO_1[5]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA19     ; 164        ; 4        ; GPIO_1[2]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA20     ; 169        ; 4        ; GPIO_1[0]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AA21     ; 179        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AA22     ; 178        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; AB1      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AB2      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AB3      ; 103        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB4      ; 107        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB5      ; 109        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB6      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; AB7      ; 116        ; 3        ; GPIO_1[17]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB8      ; 124        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB9      ; 127        ; 3        ; GPIO_1[14]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB10     ; 133        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB11     ; 135        ; 3        ; GPIO_1_CLKIN[0]                                           ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB12     ; 137        ; 4        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; AB13     ; 139        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB14     ; 141        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB15     ; 146        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB16     ; 150        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; AB17     ; 152        ; 4        ; GPIO_1[7]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB18     ; 162        ; 4        ; GPIO_1[4]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB19     ; 165        ; 4        ; GPIO_1[3]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB20     ; 170        ; 4        ; GPIO_1[1]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; AB21     ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; AB22     ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; B1       ; 2          ; 1        ; LEDG[9]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; B2       ; 1          ; 1        ; LEDG[8]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; B3       ; 355        ; 8        ; DRAM_ADDR[2]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B4       ; 351        ; 8        ; DRAM_ADDR[10]                                             ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B5       ; 346        ; 8        ; DRAM_BA_0                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B6       ; 337        ; 8        ; DRAM_ADDR[6]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B7       ; 335        ; 8        ; DRAM_ADDR[9]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B8       ; 333        ; 8        ; DRAM_UDQM                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B9       ; 329        ; 8        ; DRAM_DQ[9]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B10      ; 327        ; 8        ; DRAM_DQ[12]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B11      ; 322        ; 8        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; B12      ; 320        ; 7        ; GND+                                                      ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; B13      ; 315        ; 7        ; HEX1[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B14      ; 313        ; 7        ; HEX1[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B15      ; 308        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B16      ; 299        ; 7        ; HEX2[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B17      ; 297        ; 7        ; HEX2[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B18      ; 292        ; 7        ; HEX3[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B19      ; 289        ; 7        ; HEX3[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; B20      ; 285        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; B21      ; 269        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; B22      ; 268        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; C1       ; 7          ; 1        ; LEDG[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C2       ; 6          ; 1        ; LEDG[7]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; C3       ; 358        ; 8        ; DRAM_ADDR[3]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C4       ; 359        ; 8        ; DRAM_ADDR[0]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C6       ; 349        ; 8        ; DRAM_ADDR[5]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C7       ; 340        ; 8        ; DRAM_ADDR[8]                                              ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C8       ; 339        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C10      ; 330        ; 8        ; DRAM_DQ[11]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C13      ; 309        ; 7        ; HEX1[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C14      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C15      ; 300        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; C16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C17      ; 286        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; C18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; C19      ; 282        ; 7        ; HEX3[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; C20      ; 270        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; C21      ; 267        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; C22      ; 266        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; D1       ; 9          ; 1        ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; D2       ; 8          ; 1        ; SW[9]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; D3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D4       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D5       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D6       ; 356        ; 8        ; DRAM_WE_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D7       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D8       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; D9       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D10      ; 324        ; 8        ; DRAM_DQ[0]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D11      ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D12      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D13      ; 310        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; D14      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D15      ; 293        ; 7        ; HEX2[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D16      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D17      ; 281        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; D18      ;            ; 7        ; VCCIO7                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; D19      ; 283        ; 7        ; HEX3[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; D20      ; 271        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; D21      ; 261        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; D22      ; 260        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; E1       ; 14         ; 1        ; LEDG[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E2       ; 13         ; 1        ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; E3       ; 5          ; 1        ; SW[7]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E4       ; 4          ; 1        ; SW[8]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; E5       ; 363        ; 8        ; DRAM_CLK                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E6       ; 362        ; 8        ; DRAM_CKE                                                  ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E7       ; 357        ; 8        ; DRAM_LDQM                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E8       ;            ; 8        ; VCCIO8                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E9       ; 338        ; 8        ; DRAM_DQ[3]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E10      ; 325        ; 8        ; DRAM_DQ[14]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E11      ; 317        ; 7        ; HEX0[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E12      ; 316        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E13      ; 311        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E14      ; 301        ; 7        ; HEX1[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E15      ; 294        ; 7        ; HEX2[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; E16      ; 275        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; E17      ;            ;          ; VCCD_PLL2                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; E18      ;            ;          ; GNDA2                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; E19      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; E20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; E21      ; 256        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; E22      ; 255        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F1       ; 16         ; 1        ; KEY[2]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F2       ; 15         ; 1        ; LEDG[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; F3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F4       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; F5       ;            ;          ; GNDA3                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; F6       ;            ;          ; VCCD_PLL3                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; F7       ; 360        ; 8        ; DRAM_RAS_N                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F8       ; 352        ; 8        ; DRAM_DQ[7]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F9       ; 347        ; 8        ; DRAM_DQ[4]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F10      ; 348        ; 8        ; DRAM_DQ[15]                                               ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F11      ; 318        ; 7        ; HEX0[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F12      ; 302        ; 7        ; HEX0[5]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F13      ; 306        ; 7        ; HEX0[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F14      ; 279        ; 7        ; HEX2[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F15      ; 276        ; 7        ; HEX3[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; F16      ; 274        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; F17      ; 272        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F18      ;            ; --       ; VCCA2                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; F19      ; 263        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F20      ; 262        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F21      ; 251        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; F22      ; 250        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G1       ; 39         ; 1        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; G2       ; 38         ; 1        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; G3       ; 18         ; 1        ; KEY[1]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G4       ; 17         ; 1        ; SW[3]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G5       ; 3          ; 1        ; SW[4]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G6       ;            ; --       ; VCCA3                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; G7       ; 361        ; 8        ; DRAM_CS_N                                                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G8       ; 353        ; 8        ; DRAM_CAS_N                                                ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G9       ; 342        ; 8        ; DRAM_DQ[5]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G10      ; 341        ; 8        ; DRAM_DQ[1]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G11      ; 331        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; G12      ; 305        ; 7        ; HEX0[4]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G13      ; 295        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; G14      ; 280        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; G15      ; 278        ; 7        ; HEX3[6]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; G16      ; 277        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; G17      ; 273        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G18      ; 264        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; G19      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; G20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; G21      ; 226        ; 6        ; CLOCK_50                                                  ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; G22      ; 225        ; 6        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; H1       ; 26         ; 1        ; LEDG[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H2       ; 25         ; 1        ; KEY[0]                                                    ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H4       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; H5       ; 0          ; 1        ; SW[1]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H6       ; 11         ; 1        ; SW[2]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H7       ; 10         ; 1        ; SW[6]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H8       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; H9       ; 344        ; 8        ; DRAM_DQ[6]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H10      ; 343        ; 8        ; DRAM_DQ[2]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H11      ; 323        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; H12      ; 304        ; 7        ; HEX0[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H13      ; 303        ; 7        ; HEX0[3]                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; H14      ; 288        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; H15      ; 287        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; H16      ; 259        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; H17      ; 265        ; 6        ; VGA_R[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H18      ; 257        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; H19      ; 254        ; 6        ; VGA_R[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H20      ; 253        ; 6        ; VGA_R[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H21      ; 246        ; 6        ; VGA_R[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; H22      ; 245        ; 6        ; VGA_G[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J1       ; 29         ; 1        ; LEDG[0]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J2       ; 28         ; 1        ; LEDG[1]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J3       ; 27         ; 1        ; LEDG[2]                                                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J4       ; 24         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; J6       ; 12         ; 1        ; SW[0]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J7       ; 22         ; 1        ; SW[5]                                                     ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J8       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; J10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; J15      ; 238        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J16      ; 243        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J17      ; 258        ; 6        ; VGA_G[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J18      ; 249        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; J19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; J20      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; J21      ; 242        ; 6        ; VGA_G[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; J22      ; 241        ; 6        ; VGA_B[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K1       ; 31         ; 1        ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP          ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; K2       ; 30         ; 1        ; ~ALTERA_DCLK~                                             ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; N               ; no       ; On           ;
; K3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K4       ;            ; 1        ; VCCIO1                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; K5       ; 32         ; 1        ; ^nCONFIG                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K6       ; 19         ; 1        ; ^nSTATUS                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K7       ; 23         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K8       ; 21         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; K14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; K15      ; 236        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K16      ; 244        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; K17      ; 247        ; 6        ; VGA_G[2]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K18      ; 248        ; 6        ; VGA_B[3]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K19      ; 237        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; K20      ; 231        ; 6        ; ^MSEL3                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; K21      ; 240        ; 6        ; VGA_B[1]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; K22      ; 239        ; 6        ; VGA_B[0]                                                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L1       ; 35         ; 1        ; #TMS                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; L2       ; 34         ; 1        ; #TCK                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; L3       ; 37         ; 1        ; ^nCE                                                      ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L4       ; 36         ; 1        ; #TDO                                                      ; output ;              ;         ; --         ;                 ; --       ; --           ;
; L5       ; 33         ; 1        ; #TDI                                                      ; input  ;              ;         ; --         ;                 ; --       ; --           ;
; L6       ; 42         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L7       ; 50         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L8       ; 20         ; 1        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; L15      ; 233        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L16      ; 232        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; L17      ; 230        ; 6        ; ^MSEL2                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L18      ; 229        ; 6        ; ^MSEL1                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; L19      ;            ; 6        ; VCCIO6                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; L20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; L21      ; 235        ; 6        ; VGA_HS                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; L22      ; 234        ; 6        ; VGA_VS                                                    ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; M1       ; 45         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M2       ; 44         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M3       ; 47         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M4       ; 46         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M5       ; 51         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; M6       ; 43         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M7       ; 65         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M8       ; 66         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; M14      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; M15      ; 195        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M16      ; 222        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M17      ; 228        ; 6        ; ^MSEL0                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M18      ; 227        ; 6        ; ^CONF_DONE                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
; M19      ; 221        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M20      ; 220        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M21      ; 219        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; M22      ; 218        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N1       ; 49         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N2       ; 48         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N4       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; N5       ; 56         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N6       ; 64         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N7       ; 73         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N8       ; 67         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; N10      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N13      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; N14      ; 189        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N15      ; 196        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N16      ; 205        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N17      ; 214        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N18      ; 215        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N19      ; 213        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N20      ; 212        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N21      ; 217        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; N22      ; 216        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P1       ; 53         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P2       ; 52         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P3       ; 58         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P4       ; 57         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P5       ; 63         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P6       ; 79         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P7       ; 74         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P8       ; 86         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P9       ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P10      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P11      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P12      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; P14      ; 180        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P15      ; 192        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P16      ; 193        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P17      ; 197        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; P18      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; P19      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; P20      ; 208        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; P21      ; 211        ; 5        ; PS2_DAT                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; P22      ; 210        ; 5        ; PS2_CLK                                                   ; bidir  ; 3.3-V LVTTL  ;         ; Row I/O    ; Y               ; no       ; Off          ;
; R1       ; 55         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R2       ; 54         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; R4       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; R5       ; 80         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R6       ; 83         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R7       ; 84         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R8       ; 87         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R9       ; 88         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; R10      ; 90         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; R11      ; 97         ; 3        ; GPIO_1[22]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R12      ; 98         ; 3        ; GPIO_1[23]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R13      ; 153        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; R14      ; 175        ; 4        ; GPIO_1[19]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R15      ; 176        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; R16      ; 172        ; 4        ; GPIO_1_CLKOUT[0]                                          ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; R17      ; 194        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; R18      ; 203        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R19      ; 204        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R20      ; 200        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R21      ; 207        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; R22      ; 206        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T1       ; 41         ; 2        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; T2       ; 40         ; 2        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; T3       ; 72         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; T4       ; 81         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T5       ; 82         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T6       ;            ; --       ; VCCA1                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; T7       ; 85         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T8       ; 89         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; T9       ; 91         ; 3        ; GPIO_1[27]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T10      ; 121        ; 3        ; GPIO_1[25]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T11      ; 125        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; T12      ; 148        ; 4        ; GPIO_1[21]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T13      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; T14      ; 160        ; 4        ; GPIO_1[18]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T15      ; 161        ; 4        ; GPIO_1[11]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T16      ; 171        ; 4        ; GPIO_1_CLKOUT[1]                                          ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; T17      ; 181        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T18      ; 182        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; T19      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; T20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; T21      ; 224        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; T22      ; 223        ; 5        ; GND+                                                      ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
; U1       ; 60         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U2       ; 59         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U4       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; U5       ;            ;          ; GNDA1                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; U6       ;            ;          ; VCCD_PLL1                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U7       ; 94         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; U8       ; 95         ; 3        ; GPIO_1[29]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U9       ; 112        ; 3        ; GPIO_1[26]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U10      ; 122        ; 3        ; GPIO_1[24]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U11      ; 128        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; U12      ; 147        ; 4        ; GPIO_1[20]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U13      ; 156        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; U14      ; 174        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; U15      ; 173        ; 4        ; GPIO_1[10]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; U16      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U17      ;            ;          ; VCCINT                                                    ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; U18      ;            ; --       ; VCCA4                                                     ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
; U19      ; 188        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U20      ; 187        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U21      ; 202        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; U22      ; 201        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V1       ; 62         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V2       ; 61         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V3       ; 78         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V4       ; 77         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V5       ; 93         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V6       ; 92         ; 3        ; GPIO_1[30]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V7       ; 105        ; 3        ; GPIO_1[31]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V8       ; 113        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V9       ; 119        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; V10      ; 120        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V11      ; 129        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V12      ; 142        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V13      ; 154        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V14      ; 157        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; V15      ; 158        ; 4        ; GPIO_1[13]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; V16      ; 168        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; V17      ;            ;          ; VCCD_PLL4                                                 ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
; V18      ;            ;          ; GNDA4                                                     ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V19      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; V20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; V21      ; 199        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; V22      ; 198        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W1       ; 69         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W2       ; 68         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W3       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; W4       ;            ; 2        ; VCCIO2                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W5       ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W6       ; 104        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; W7       ; 110        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; W8       ; 114        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; W9       ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W10      ; 130        ; 3        ; VGA_CLK                                                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; N               ; no       ; Off          ;
; W11      ;            ; 3        ; VCCIO3                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W12      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W13      ; 143        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; W14      ; 155        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; W15      ; 159        ; 4        ; GPIO_1[12]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W16      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W17      ; 166        ; 4        ; GPIO_1[9]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; W18      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; W19      ; 184        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W20      ; 183        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W21      ; 191        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; W22      ; 190        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; Y1       ; 71         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; Y2       ; 70         ; 2        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; Y3       ; 99         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y4       ; 96         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
; Y5       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y6       ; 101        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y7       ; 111        ; 3        ; GPIO_1[28]                                                ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y8       ; 117        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y9       ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y10      ; 131        ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y11      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y12      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y13      ; 144        ; 4        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
; Y14      ;            ; 4        ; VCCIO4                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; Y15      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y16      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y17      ; 167        ; 4        ; GPIO_1[8]                                                 ; bidir  ; 3.3-V LVTTL  ;         ; Column I/O ; Y               ; no       ; Off          ;
; Y18      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y19      ;            ; 5        ; VCCIO5                                                    ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
; Y20      ;            ;          ; GND                                                       ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
; Y21      ; 186        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
; Y22      ; 185        ; 5        ; RESERVED_INPUT_WITH_WEAK_PULLUP                           ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
Note: Pin directions (input, output or bidir) are based on device operating in user mode.


+-------------------------------------------------------------------------------------------------------------------+
; PLL Summary                                                                                                       ;
+-------------------------------+-----------------------------------------------------------------------------------+
; Name                          ; DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|pll1 ;
+-------------------------------+-----------------------------------------------------------------------------------+
; SDC pin name                  ; inst|u6|altpll_component|auto_generated|pll1                                      ;
; PLL mode                      ; Normal                                                                            ;
; Compensate clock              ; clock0                                                                            ;
; Compensated input/output pins ; --                                                                                ;
; Switchover type               ; --                                                                                ;
; Input frequency 0             ; 50.0 MHz                                                                          ;
; Input frequency 1             ; --                                                                                ;
; Nominal PFD frequency         ; 25.0 MHz                                                                          ;
; Nominal VCO frequency         ; 625.0 MHz                                                                         ;
; VCO post scale K counter      ; 2                                                                                 ;
; VCO frequency control         ; Auto                                                                              ;
; VCO phase shift step          ; 200 ps                                                                            ;
; VCO multiply                  ; --                                                                                ;
; VCO divide                    ; --                                                                                ;
; Freq min lock                 ; 24.0 MHz                                                                          ;
; Freq max lock                 ; 52.02 MHz                                                                         ;
; M VCO Tap                     ; 5                                                                                 ;
; M Initial                     ; 2                                                                                 ;
; M value                       ; 25                                                                                ;
; N value                       ; 2                                                                                 ;
; Charge pump current           ; setting 1                                                                         ;
; Loop filter resistance        ; setting 24                                                                        ;
; Loop filter capacitance       ; setting 0                                                                         ;
; Bandwidth                     ; 450 kHz to 980 kHz                                                                ;
; Bandwidth type                ; Medium                                                                            ;
; Real time reconfigurable      ; Off                                                                               ;
; Scan chain MIF file           ; --                                                                                ;
; Preserve PLL counter order    ; Off                                                                               ;
; PLL location                  ; PLL_2                                                                             ;
; Inclk0 signal                 ; CLOCK_50                                                                          ;
; Inclk1 signal                 ; --                                                                                ;
; Inclk0 signal type            ; Dedicated Pin                                                                     ;
; Inclk1 signal type            ; --                                                                                ;
+-------------------------------+-----------------------------------------------------------------------------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; PLL Usage                                                                                                                                                                                                                                                                                                             ;
+-------------------------------------------------------------------------------------+--------------+------+-----+------------------+-----------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-----------------------------------------------------+
; Name                                                                                ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift     ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name                                        ;
+-------------------------------------------------------------------------------------+--------------+------+-----+------------------+-----------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-----------------------------------------------------+
; DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[0] ; clock0       ; 5    ; 2   ; 125.0 MHz        ; 0 (0 ps)        ; 9.00 (200 ps)    ; 50/50      ; C0      ; 5             ; 3/2 Odd    ; --            ; 2       ; 5       ; inst|u6|altpll_component|auto_generated|pll1|clk[0] ;
; DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[1] ; clock1       ; 5    ; 2   ; 125.0 MHz        ; -117 (-2600 ps) ; 9.00 (200 ps)    ; 50/50      ; C1      ; 5             ; 3/2 Odd    ; --            ; 1       ; 0       ; inst|u6|altpll_component|auto_generated|pll1|clk[1] ;
+-------------------------------------------------------------------------------------+--------------+------+-----+------------------+-----------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-----------------------------------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                                                                                                                          ;
+----------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
; Compilation Hierarchy Node                               ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                                                                                  ; Library Name ;
+----------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
; |TOP_DE0_CAMERA_MOUSE                                    ; 3638 (2)    ; 1389 (0)                  ; 0 (0)         ; 134236      ; 20   ; 0            ; 0       ; 0         ; 143  ; 0            ; 2249 (2)     ; 391 (0)           ; 998 (0)          ; |TOP_DE0_CAMERA_MOUSE                                                                                                                                                                ; work         ;
;    |DE0_D5M:inst|                                        ; 1453 (15)   ; 1013 (15)                 ; 0 (0)         ; 62416       ; 10   ; 0            ; 0       ; 0         ; 0    ; 0            ; 439 (0)      ; 281 (14)          ; 733 (1)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst                                                                                                                                                   ; work         ;
;       |CCD_Capture:u3|                                   ; 42 (42)     ; 33 (33)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 2 (2)             ; 31 (31)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|CCD_Capture:u3                                                                                                                                    ; work         ;
;       |I2C_CCD_Config:u8|                                ; 257 (177)   ; 132 (94)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 124 (82)     ; 17 (6)            ; 116 (89)         ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|I2C_CCD_Config:u8                                                                                                                                 ; work         ;
;          |I2C_Controller:u0|                             ; 80 (80)     ; 38 (38)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 42 (42)      ; 11 (11)           ; 27 (27)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0                                                                                                               ; work         ;
;       |RAW2RGB:u4|                                       ; 93 (77)     ; 66 (55)                   ; 0 (0)         ; 30672       ; 6    ; 0            ; 0       ; 0         ; 0    ; 0            ; 27 (22)      ; 8 (8)             ; 58 (47)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4                                                                                                                                        ; work         ;
;          |Line_Buffer:u0|                                ; 16 (0)      ; 11 (0)                    ; 0 (0)         ; 30672       ; 6    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 0 (0)             ; 11 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0                                                                                                                         ; work         ;
;             |altshift_taps:altshift_taps_component|      ; 16 (0)      ; 11 (0)                    ; 0 (0)         ; 30672       ; 6    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 0 (0)             ; 11 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component                                                                                   ; work         ;
;                |shift_taps_rnn:auto_generated|           ; 16 (0)      ; 11 (0)                    ; 0 (0)         ; 30672       ; 6    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 0 (0)             ; 11 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated                                                     ; work         ;
;                   |altsyncram_lp81:altsyncram2|          ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 30672       ; 6    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2                         ; work         ;
;                   |cntr_cuf:cntr1|                       ; 16 (13)     ; 11 (11)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (2)        ; 0 (0)             ; 11 (11)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1                                      ; work         ;
;                      |cmpr_vgc:cmpr4|                    ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|cmpr_vgc:cmpr4                       ; work         ;
;       |Reset_Delay:u2|                                   ; 50 (50)     ; 35 (35)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 15 (15)      ; 0 (0)             ; 35 (35)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Reset_Delay:u2                                                                                                                                    ; work         ;
;       |Sdram_Control_4Port:u7|                           ; 919 (236)   ; 704 (137)                 ; 0 (0)         ; 31744       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 215 (93)     ; 240 (20)          ; 464 (122)        ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7                                                                                                                            ; work         ;
;          |Sdram_FIFO:read_fifo1|                         ; 139 (0)     ; 116 (0)                   ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 23 (0)       ; 54 (0)            ; 62 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1                                                                                                      ; work         ;
;             |dcfifo:dcfifo_component|                    ; 139 (0)     ; 116 (0)                   ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 23 (0)       ; 54 (0)            ; 62 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component                                                                              ; work         ;
;                |dcfifo_v5o1:auto_generated|              ; 139 (40)    ; 116 (30)                  ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 23 (9)       ; 54 (24)           ; 62 (6)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated                                                   ; work         ;
;                   |a_gray2bin_tgb:wrptr_g_gray2bin|      ; 8 (8)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin                   ; work         ;
;                   |a_gray2bin_tgb:ws_dgrp_gray2bin|      ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 9 (9)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin                   ; work         ;
;                   |a_graycounter_ojc:wrptr_g1p|          ; 21 (21)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 16 (16)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p                       ; work         ;
;                   |a_graycounter_s57:rdptr_g1p|          ; 20 (20)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 1 (1)             ; 13 (13)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p                       ; work         ;
;                   |alt_synch_pipe_qld:rs_dgwp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (0)            ; 6 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp                        ; work         ;
;                      |dffpipe_pe9:dffpipe13|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (14)           ; 6 (6)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13  ; work         ;
;                   |alt_synch_pipe_rld:ws_dgrp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (0)            ; 7 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp                        ; work         ;
;                      |dffpipe_qe9:dffpipe16|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (13)           ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16  ; work         ;
;                   |altsyncram_de51:fifo_ram|             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram                          ; work         ;
;                   |cmpr_e66:rdempty_eq_comp|             ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:rdempty_eq_comp                          ; work         ;
;                   |cmpr_e66:wrfull_eq_comp|              ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:wrfull_eq_comp                           ; work         ;
;                   |dffpipe_oe9:ws_brp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 9 (9)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:ws_brp                                ; work         ;
;                   |dffpipe_oe9:ws_bwp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:ws_bwp                                ; work         ;
;          |Sdram_FIFO:read_fifo2|                         ; 140 (0)     ; 116 (0)                   ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (0)       ; 54 (0)            ; 62 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2                                                                                                      ; work         ;
;             |dcfifo:dcfifo_component|                    ; 140 (0)     ; 116 (0)                   ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (0)       ; 54 (0)            ; 62 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component                                                                              ; work         ;
;                |dcfifo_v5o1:auto_generated|              ; 140 (43)    ; 116 (30)                  ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (10)      ; 54 (23)           ; 62 (6)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated                                                   ; work         ;
;                   |a_gray2bin_tgb:wrptr_g_gray2bin|      ; 8 (8)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin                   ; work         ;
;                   |a_gray2bin_tgb:ws_dgrp_gray2bin|      ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 8 (8)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin                   ; work         ;
;                   |a_graycounter_ojc:wrptr_g1p|          ; 22 (22)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 17 (17)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p                       ; work         ;
;                   |a_graycounter_s57:rdptr_g1p|          ; 20 (20)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 15 (15)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p                       ; work         ;
;                   |alt_synch_pipe_qld:rs_dgwp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 15 (0)            ; 5 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp                        ; work         ;
;                      |dffpipe_pe9:dffpipe13|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 15 (15)           ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13  ; work         ;
;                   |alt_synch_pipe_rld:ws_dgrp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 15 (0)            ; 5 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp                        ; work         ;
;                      |dffpipe_qe9:dffpipe16|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 15 (15)           ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16  ; work         ;
;                   |altsyncram_de51:fifo_ram|             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 7680        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram                          ; work         ;
;                   |cmpr_e66:rdempty_eq_comp|             ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:rdempty_eq_comp                          ; work         ;
;                   |cmpr_e66:wrfull_eq_comp|              ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:wrfull_eq_comp                           ; work         ;
;                   |dffpipe_oe9:ws_brp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 8 (8)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:ws_brp                                ; work         ;
;                   |dffpipe_oe9:ws_bwp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 9 (9)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:ws_bwp                                ; work         ;
;          |Sdram_FIFO:write_fifo1|                        ; 141 (0)     ; 116 (0)                   ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (0)       ; 56 (0)            ; 61 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1                                                                                                     ; work         ;
;             |dcfifo:dcfifo_component|                    ; 141 (0)     ; 116 (0)                   ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (0)       ; 56 (0)            ; 61 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component                                                                             ; work         ;
;                |dcfifo_v5o1:auto_generated|              ; 141 (43)    ; 116 (30)                  ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (8)       ; 56 (26)           ; 61 (7)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated                                                  ; work         ;
;                   |a_gray2bin_tgb:rdptr_g_gray2bin|      ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rdptr_g_gray2bin                  ; work         ;
;                   |a_gray2bin_tgb:rs_dgwp_gray2bin|      ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rs_dgwp_gray2bin                  ; work         ;
;                   |a_graycounter_ojc:wrptr_g1p|          ; 19 (19)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 14 (14)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p                      ; work         ;
;                   |a_graycounter_s57:rdptr_g1p|          ; 22 (22)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 17 (17)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p                      ; work         ;
;                   |alt_synch_pipe_qld:rs_dgwp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (0)            ; 7 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp                       ; work         ;
;                      |dffpipe_pe9:dffpipe13|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (13)           ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13 ; work         ;
;                   |alt_synch_pipe_rld:ws_dgrp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (0)            ; 6 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp                       ; work         ;
;                      |dffpipe_qe9:dffpipe16|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (14)           ; 6 (6)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16 ; work         ;
;                   |altsyncram_de51:fifo_ram|             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram                         ; work         ;
;                   |cmpr_e66:rdempty_eq_comp|             ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:rdempty_eq_comp                         ; work         ;
;                   |cmpr_e66:wrfull_eq_comp|              ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:wrfull_eq_comp                          ; work         ;
;                   |dffpipe_oe9:rs_brp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 7 (7)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:rs_brp                               ; work         ;
;                   |dffpipe_oe9:rs_bwp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 8 (8)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:rs_bwp                               ; work         ;
;          |Sdram_FIFO:write_fifo2|                        ; 134 (0)     ; 116 (0)                   ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 17 (0)       ; 41 (0)            ; 76 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2                                                                                                     ; work         ;
;             |dcfifo:dcfifo_component|                    ; 134 (0)     ; 116 (0)                   ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 17 (0)       ; 41 (0)            ; 76 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component                                                                             ; work         ;
;                |dcfifo_v5o1:auto_generated|              ; 134 (41)    ; 116 (30)                  ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 17 (4)       ; 41 (17)           ; 76 (17)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated                                                  ; work         ;
;                   |a_gray2bin_tgb:rdptr_g_gray2bin|      ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 8 (8)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rdptr_g_gray2bin                  ; work         ;
;                   |a_gray2bin_tgb:rs_dgwp_gray2bin|      ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 9 (9)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rs_dgwp_gray2bin                  ; work         ;
;                   |a_graycounter_ojc:wrptr_g1p|          ; 19 (19)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 14 (14)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p                      ; work         ;
;                   |a_graycounter_s57:rdptr_g1p|          ; 22 (22)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 17 (17)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p                      ; work         ;
;                   |alt_synch_pipe_qld:rs_dgwp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (0)             ; 12 (0)           ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp                       ; work         ;
;                      |dffpipe_pe9:dffpipe13|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 12 (12)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13 ; work         ;
;                   |alt_synch_pipe_rld:ws_dgrp|           ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 15 (0)            ; 5 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp                       ; work         ;
;                      |dffpipe_qe9:dffpipe16|             ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 15 (15)           ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16 ; work         ;
;                   |altsyncram_de51:fifo_ram|             ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram                         ; work         ;
;                   |cmpr_e66:rdempty_eq_comp|             ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:rdempty_eq_comp                         ; work         ;
;                   |cmpr_e66:wrfull_eq_comp|              ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:wrfull_eq_comp                          ; work         ;
;                   |dffpipe_oe9:rs_brp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 8 (8)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:rs_brp                               ; work         ;
;                   |dffpipe_oe9:rs_bwp|                   ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 9 (9)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:rs_bwp                               ; work         ;
;          |command:command1|                              ; 61 (61)     ; 48 (48)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (12)      ; 1 (1)             ; 48 (48)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1                                                                                                           ; work         ;
;          |control_interface:control1|                    ; 78 (78)     ; 55 (55)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 22 (22)      ; 14 (14)           ; 42 (42)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1                                                                                                 ; work         ;
;       |VGA_Controller:u1|                                ; 80 (80)     ; 28 (28)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 49 (49)      ; 0 (0)             ; 31 (31)          ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|VGA_Controller:u1                                                                                                                                 ; work         ;
;       |sdram_pll:u6|                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|sdram_pll:u6                                                                                                                                      ; work         ;
;          |altpll:altpll_component|                       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component                                                                                                              ; work         ;
;             |altpll_9ee2:auto_generated|                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated                                                                                   ; work         ;
;    |altshift_taps:fifo_inst2|                            ; 15 (0)      ; 10 (0)                    ; 0 (0)         ; 71820       ; 10   ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 0 (0)             ; 10 (0)           ; |TOP_DE0_CAMERA_MOUSE|altshift_taps:fifo_inst2                                                                                                                                       ; work         ;
;       |shift_taps_jpm:auto_generated|                    ; 15 (0)      ; 10 (0)                    ; 0 (0)         ; 71820       ; 10   ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 0 (0)             ; 10 (0)           ; |TOP_DE0_CAMERA_MOUSE|altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated                                                                                                         ; work         ;
;          |altsyncram_5n81:altsyncram2|                   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 71820       ; 10   ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2                                                                             ; work         ;
;          |cntr_1tf:cntr1|                                ; 15 (12)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (2)        ; 0 (0)             ; 10 (10)          ; |TOP_DE0_CAMERA_MOUSE|altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1                                                                                          ; work         ;
;             |cmpr_ugc:cmpr4|                             ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|cmpr_ugc:cmpr4                                                                           ; work         ;
;    |ps2:inst6|                                           ; 139 (111)   ; 99 (99)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 40 (12)      ; 37 (37)           ; 62 (62)          ; |TOP_DE0_CAMERA_MOUSE|ps2:inst6                                                                                                                                                      ; work         ;
;       |SEG7_LUT:U1|                                      ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|ps2:inst6|SEG7_LUT:U1                                                                                                                                          ; work         ;
;       |SEG7_LUT:U2|                                      ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|ps2:inst6|SEG7_LUT:U2                                                                                                                                          ; work         ;
;       |SEG7_LUT:U3|                                      ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|ps2:inst6|SEG7_LUT:U3                                                                                                                                          ; work         ;
;       |SEG7_LUT:U4|                                      ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|ps2:inst6|SEG7_LUT:U4                                                                                                                                          ; work         ;
;    |sobel:inst1|                                         ; 1909 (0)    ; 255 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1654 (0)     ; 73 (0)            ; 182 (0)          ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1                                                                                                                                                    ; work         ;
;       |sobel_core:sobel_core_inst|                       ; 1909 (1871) ; 255 (255)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1654 (1616)  ; 73 (73)           ; 182 (180)        ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst                                                                                                                         ; work         ;
;          |lpm_mult:Mult0|                                ; 3 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult0                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult0|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult1|                                ; 5 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult1                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult1|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult2|                                ; 2 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult2                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 2 (2)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult2|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult3|                                ; 6 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult3                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult3|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult4|                                ; 6 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult4                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult5|                                ; 6 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult5                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult6|                                ; 4 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult6                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult7|                                ; 2 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult7                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 2 (2)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult7|multcore:mult_core                                                                                       ; work         ;
;          |lpm_mult:Mult8|                                ; 6 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult8                                                                                                          ; work         ;
;             |multcore:mult_core|                         ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 0 (0)             ; 0 (0)            ; |TOP_DE0_CAMERA_MOUSE|sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult8|multcore:mult_core                                                                                       ; work         ;
;    |vga_mouse_square:vga_mouse_catapult_inst|            ; 101 (0)     ; 12 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 89 (0)       ; 0 (0)             ; 12 (0)           ; |TOP_DE0_CAMERA_MOUSE|vga_mouse_square:vga_mouse_catapult_inst                                                                                                                       ; work         ;
;       |vga_mouse_square_core:vga_mouse_square_core_inst| ; 101 (101)   ; 12 (12)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 89 (89)      ; 0 (0)             ; 12 (12)          ; |TOP_DE0_CAMERA_MOUSE|vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst                                                                      ; work         ;
;    |vga_mux:inst10|                                      ; 24 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (0)       ; 0 (0)             ; 4 (0)            ; |TOP_DE0_CAMERA_MOUSE|vga_mux:inst10                                                                                                                                                 ; work         ;
;       |lpm_mux:LPM_MUX_component|                        ; 24 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (0)       ; 0 (0)             ; 4 (0)            ; |TOP_DE0_CAMERA_MOUSE|vga_mux:inst10|lpm_mux:LPM_MUX_component                                                                                                                       ; work         ;
;          |mux_u7e:auto_generated|                        ; 24 (24)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (20)      ; 0 (0)             ; 4 (4)            ; |TOP_DE0_CAMERA_MOUSE|vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated                                                                                                ; work         ;
+----------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.


+--------------------------------------------------------------------------------------------------+
; Delay Chain Summary                                                                              ;
+------------------+----------+---------------+---------------+-----------------------+-----+------+
; Name             ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ;
+------------------+----------+---------------+---------------+-----------------------+-----+------+
; DRAM_LDQM        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1_CLKIN[1]  ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; SW[9]            ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; SW[8]            ; Input    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_UDQM        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_BA_1        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_BA_0        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CAS_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CKE         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CS_N        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_RAS_N       ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_WE_N        ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_CLK         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_CLK          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_HS           ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_VS           ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[11]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[10]    ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[9]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[8]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[7]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[6]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[5]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[4]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[3]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[2]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[1]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_ADDR[0]     ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1_CLKOUT[1] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1_CLKOUT[0] ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[6]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[5]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[4]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[3]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[2]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[1]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX0[0]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[6]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[5]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[4]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[3]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[2]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[1]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX1[0]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[6]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[5]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[4]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[3]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[2]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[1]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX2[0]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[6]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[5]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[4]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[3]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[2]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[1]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; HEX3[0]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[9]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[8]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[7]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[6]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[5]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[4]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[3]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[2]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[1]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; LEDG[0]          ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[3]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[2]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[1]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_B[0]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[3]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[2]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[1]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_G[0]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[3]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[2]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[1]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; VGA_R[0]         ; Output   ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[15]      ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[14]      ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[13]      ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; DRAM_DQ[12]      ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[11]      ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; DRAM_DQ[10]      ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[9]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; DRAM_DQ[8]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; DRAM_DQ[7]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[6]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[5]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[4]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[3]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[2]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; DRAM_DQ[1]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; DRAM_DQ[0]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[31]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[30]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[29]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[28]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[27]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[26]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[25]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[24]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[23]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[22]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[21]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[20]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[19]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[18]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[17]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[16]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[15]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[14]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[13]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[12]       ; Bidir    ; --            ; --            ; --                    ; --  ; --   ;
; GPIO_1[11]       ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[10]       ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[9]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[8]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[7]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[6]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[5]        ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[4]        ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[3]        ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1[2]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[1]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; GPIO_1[0]        ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; PS2_DAT          ; Bidir    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; PS2_CLK          ; Bidir    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[4]            ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[5]            ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; CLOCK_50         ; Input    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
; KEY[0]           ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[7]            ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[6]            ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[3]            ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
; SW[2]            ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[1]            ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; SW[0]            ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; KEY[1]           ; Input    ; --            ; (6) 1314 ps   ; --                    ; --  ; --   ;
; GPIO_1_CLKIN[0]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --  ; --   ;
; KEY[2]           ; Input    ; (6) 1314 ps   ; --            ; --                    ; --  ; --   ;
+------------------+----------+---------------+---------------+-----------------------+-----+------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Pad To Core Delay Chain Fanout                                                                                                                                             ;
+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+
; Source Pin / Fanout                                                                                                                          ; Pad To Core Index ; Setting ;
+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+
; GPIO_1_CLKIN[1]                                                                                                                              ;                   ;         ;
; SW[9]                                                                                                                                        ;                   ;         ;
; SW[8]                                                                                                                                        ;                   ;         ;
; DRAM_DQ[15]                                                                                                                                  ;                   ;         ;
; DRAM_DQ[14]                                                                                                                                  ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[14]                                                                                      ; 0                 ; 6       ;
; DRAM_DQ[13]                                                                                                                                  ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[13]~feeder                                                                               ; 1                 ; 6       ;
; DRAM_DQ[12]                                                                                                                                  ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[12]~feeder                                                                               ; 0                 ; 6       ;
; DRAM_DQ[11]                                                                                                                                  ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[11]~feeder                                                                               ; 1                 ; 6       ;
; DRAM_DQ[10]                                                                                                                                  ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[10]~feeder                                                                               ; 0                 ; 6       ;
; DRAM_DQ[9]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[9]~feeder                                                                                ; 1                 ; 6       ;
; DRAM_DQ[8]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[8]                                                                                       ; 1                 ; 6       ;
; DRAM_DQ[7]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[7]~feeder                                                                                ; 0                 ; 6       ;
; DRAM_DQ[6]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[6]                                                                                       ; 0                 ; 6       ;
; DRAM_DQ[5]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[5]~feeder                                                                                ; 0                 ; 6       ;
; DRAM_DQ[4]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[4]~feeder                                                                                ; 0                 ; 6       ;
; DRAM_DQ[3]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[3]                                                                                       ; 0                 ; 6       ;
; DRAM_DQ[2]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[2]                                                                                       ; 0                 ; 6       ;
; DRAM_DQ[1]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[1]                                                                                       ; 1                 ; 6       ;
; DRAM_DQ[0]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[0]~feeder                                                                                ; 1                 ; 6       ;
; GPIO_1[31]                                                                                                                                   ;                   ;         ;
; GPIO_1[30]                                                                                                                                   ;                   ;         ;
; GPIO_1[29]                                                                                                                                   ;                   ;         ;
; GPIO_1[28]                                                                                                                                   ;                   ;         ;
; GPIO_1[27]                                                                                                                                   ;                   ;         ;
; GPIO_1[26]                                                                                                                                   ;                   ;         ;
; GPIO_1[25]                                                                                                                                   ;                   ;         ;
; GPIO_1[24]                                                                                                                                   ;                   ;         ;
; GPIO_1[23]                                                                                                                                   ;                   ;         ;
; GPIO_1[22]                                                                                                                                   ;                   ;         ;
; GPIO_1[21]                                                                                                                                   ;                   ;         ;
; GPIO_1[20]                                                                                                                                   ;                   ;         ;
; GPIO_1[19]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK1~3                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK2~1                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK3~2                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK4~9                                                                               ; 1                 ; 6       ;
; GPIO_1[18]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|rCCD_FVAL~feeder                                                                                                         ; 1                 ; 6       ;
; GPIO_1[17]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|rCCD_LVAL~feeder                                                                                                         ; 1                 ; 6       ;
; GPIO_1[16]                                                                                                                                   ;                   ;         ;
; GPIO_1[15]                                                                                                                                   ;                   ;         ;
; GPIO_1[14]                                                                                                                                   ;                   ;         ;
; GPIO_1[13]                                                                                                                                   ;                   ;         ;
; GPIO_1[12]                                                                                                                                   ;                   ;         ;
; GPIO_1[11]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[0]~feeder                                                                                                      ; 1                 ; 6       ;
; GPIO_1[10]                                                                                                                                   ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[1]~feeder                                                                                                      ; 0                 ; 6       ;
; GPIO_1[9]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[2]~feeder                                                                                                      ; 0                 ; 6       ;
; GPIO_1[8]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[3]                                                                                                             ; 0                 ; 6       ;
; GPIO_1[7]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[4]~feeder                                                                                                      ; 0                 ; 6       ;
; GPIO_1[6]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[5]~feeder                                                                                                      ; 0                 ; 6       ;
; GPIO_1[5]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[6]~feeder                                                                                                      ; 1                 ; 6       ;
; GPIO_1[4]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[7]~feeder                                                                                                      ; 1                 ; 6       ;
; GPIO_1[3]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[8]~feeder                                                                                                      ; 1                 ; 6       ;
; GPIO_1[2]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[9]~feeder                                                                                                      ; 0                 ; 6       ;
; GPIO_1[1]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[10]~feeder                                                                                                     ; 0                 ; 6       ;
; GPIO_1[0]                                                                                                                                    ;                   ;         ;
;      - DE0_D5M:inst|rCCD_DATA[11]                                                                                                            ; 0                 ; 6       ;
; PS2_DAT                                                                                                                                      ;                   ;         ;
;      - ps2:inst6|ps2_dat_syn0~0                                                                                                              ; 0                 ; 6       ;
; PS2_CLK                                                                                                                                      ;                   ;         ;
;      - ps2:inst6|ps2_clk_syn0~0                                                                                                              ; 1                 ; 6       ;
; SW[4]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~9                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~9                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~9                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~9                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[9]~0                                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[9]~1                                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[8]~2                                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[7]~4                                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[7]~5                                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[6]~6                                                      ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[19]~8                                                     ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[19]~9                                                     ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[18]~10                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[17]~12                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[17]~13                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[16]~14                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[29]~16                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[29]~17                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[28]~18                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[27]~20                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[27]~21                                                    ; 1                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[26]~22                                                    ; 1                 ; 6       ;
; SW[5]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~11                                     ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~11                                     ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~11                                     ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~11                                     ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[9]~0                                                      ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[8]~2                                                      ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[8]~3                                                      ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[7]~4                                                      ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[6]~6                                                      ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[6]~7                                                      ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[19]~8                                                     ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[18]~10                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[18]~11                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[17]~12                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[16]~14                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[16]~15                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[29]~16                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[28]~18                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[28]~19                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[27]~20                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[26]~22                                                    ; 0                 ; 6       ;
;      - vga_mux:inst10|lpm_mux:LPM_MUX_component|mux_u7e:auto_generated|result_node[26]~23                                                    ; 0                 ; 6       ;
; CLOCK_50                                                                                                                                     ;                   ;         ;
; KEY[0]                                                                                                                                       ;                   ;         ;
;      - DE0_D5M:inst|Reset_Delay:u2|oRST_2                                                                                                    ; 1                 ; 6       ;
;      - ps2:inst6|midlatch                                                                                                                    ; 1                 ; 6       ;
;      - ps2:inst6|riglatch                                                                                                                    ; 1                 ; 6       ;
;      - ps2:inst6|leflatch                                                                                                                    ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_2[6] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_2[7] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_2[8] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_2[9] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_1[6] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_1[7] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_1[8] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp_1[9] ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp[6]   ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp[7]   ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp[8]   ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|reg_video_out_rsc_mgc_out_stdreg_d_tmp[9]   ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[0]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[1]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[2]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[3]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[4]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[5]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[6]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|x_latch[7]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[0]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[1]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[2]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[3]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[4]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[5]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[6]                                                                                                                  ; 1                 ; 6       ;
;      - ps2:inst6|y_latch[7]                                                                                                                  ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[29]                                                                  ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[28]                                                                  ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[27]                                                                  ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26]                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[1]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[2]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[3]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[4]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[5]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[6]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[7]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[8]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[9]                                                                                                   ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[10]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[11]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[12]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[13]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[14]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[15]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[16]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[17]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[18]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[19]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[20]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[21]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[22]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[23]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[24]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[25]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[26]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[27]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[28]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[29]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[30]                                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[31]                                                                                                  ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[13]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[12]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[11]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[11]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[11]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[10]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[10]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[10]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[9]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[9]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[9]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[8]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[8]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[8]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[10]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[7]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[7]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[7]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[9]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[10]                                                                         ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[6]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[6]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[6]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[8]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[9]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[5]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[5]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[5]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[7]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[8]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[4]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[4]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[4]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[6]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[7]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[3]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[3]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[3]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[5]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[6]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[2]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[2]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[2]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[4]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[5]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[1]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[1]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[1]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[3]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[4]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[0]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[0]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_661_itm_1[0]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[2]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[3]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[1]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[2]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[0]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[1]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_652_itm_1[0]                                                                          ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1                                                                      ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_slc_ACC1_acc_228_psp_55_itm_1                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_3_slc_acc_10_psp_62_itm_1                                                                 ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[4]                                                                       ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[3]                                                                       ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[2]                                                                       ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[1]                                                                       ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|main_stage_0_2                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|oRST_0                                                                                                    ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|Cont[0]                                                                                                   ; 1                 ; 6       ;
;      - ps2:inst6|cur_state.listen                                                                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[4]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[4]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[3]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[3]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[2]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[2]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[1]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[1]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[0]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[0]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[4]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[3]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[2]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[1]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[0]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[6]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[6]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[5]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[5]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[6]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[5]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[7]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[7]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[7]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[9]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[9]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[8]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[8]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[9]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[8]                                                               ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[1]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[1]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[0]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[0]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[1]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[0]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[2]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[2]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[2]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[6]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[6]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[5]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[5]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[4]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[4]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[3]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[3]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[6]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[5]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[4]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[3]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[8]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[8]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[7]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[7]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[8]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[7]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[9]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[9]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[9]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[26]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[25]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[24]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[23]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[22]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[21]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[6]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[16]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[5]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[15]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[4]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[14]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[3]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[13]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[2]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[12]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[1]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[11]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[10]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[0]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[28]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[27]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[8]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[18]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[7]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[17]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9]                                                                     ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[63]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[73]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[62]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[72]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[61]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[71]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[60]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[70]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[83]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[82]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[81]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[80]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[4]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[4]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[3]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[3]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[2]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[2]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[1]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[1]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[0]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[0]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[4]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[3]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[2]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[1]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[0]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[9]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[9]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[8]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[8]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[7]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[7]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[6]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[6]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[5]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[5]                                                            ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[9]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[8]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[7]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[6]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[5]                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[67]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[77]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[66]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[76]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[65]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[75]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[64]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[74]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[87]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[86]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[85]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[84]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[69]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[68]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[78]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[89]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[88]                                                                    ; 1                 ; 6       ;
;      - DE0_D5M:inst|Reset_Delay:u2|oRST_1                                                                                                    ; 1                 ; 6       ;
;      - ps2:inst6|cur_state.pullclk                                                                                                           ; 1                 ; 6       ;
;      - ps2:inst6|cur_state.trans                                                                                                             ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[31]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[41]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[30]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[40]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[51]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[50]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[32]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[42]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[52]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[36]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[46]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[35]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[45]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[34]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[44]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[33]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[43]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[56]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[55]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[54]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[53]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[38]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[48]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[37]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[47]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[58]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[57]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[39]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[49]                                                                    ; 1                 ; 6       ;
;      - sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[59]                                                                    ; 1                 ; 6       ;
;      - ps2:inst6|cur_state.pulldat                                                                                                           ; 1                 ; 6       ;
; SW[7]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~15                                     ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~15                                     ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~15                                     ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~15                                     ; 0                 ; 6       ;
; SW[6]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~13                                     ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~13                                     ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~13                                     ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~13                                     ; 1                 ; 6       ;
; SW[3]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~7                                      ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~7                                      ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~7                                      ; 0                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~7                                      ; 0                 ; 6       ;
; SW[2]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~5                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~5                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~5                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~5                                      ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~8                                                                                                ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~10                                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux16~0                                                                                                ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~16                                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux23~3                                                                                                ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~24                                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~25                                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~26                                                                                               ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux18~2                                                                                                ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~6                                                                                                ; 1                 ; 6       ;
; SW[1]                                                                                                                                        ;                   ;         ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~3                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~3                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~3                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~3                                      ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|iexposure_adj_delay[0]                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|always1~2                                                                                              ; 1                 ; 6       ;
; SW[0]                                                                                                                                        ;                   ;         ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[15]~44                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[8]                                                                                     ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[8]~23                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[10]                                                                                    ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[10]~34                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[9]                                                                                     ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[9]~32                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[12]~38                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[11]~36                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[7]                                                                                     ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[7]~21                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[13]~40                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[14]~42                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[6]                                                                                     ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[6]~19                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[4]~15                                                                                  ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[5]~17                                                                                  ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add1~1                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add3~1                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add5~1                                      ; 1                 ; 6       ;
;      - vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|Add7~1                                      ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[13]~25                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[13]~28                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[0]~46                                                                                  ; 1                 ; 6       ;
;      - SW[0]~_wirecell                                                                                                                       ; 1                 ; 6       ;
; KEY[1]                                                                                                                                       ;                   ;         ;
;      - ps2:inst6|Selector1~0                                                                                                                 ; 1                 ; 6       ;
;      - DE0_D5M:inst|CCD_Capture:u3|mSTART~0                                                                                                  ; 1                 ; 6       ;
; GPIO_1_CLKIN[0]                                                                                                                              ;                   ;         ;
; KEY[2]                                                                                                                                       ;                   ;         ;
;      - DE0_D5M:inst|CCD_Capture:u3|mSTART~0                                                                                                  ; 0                 ; 6       ;
+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Control Signals                                                                                                                                                                                                                                                                  ;
+---------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
; Name                                                                                                                                  ; Location           ; Fan-Out ; Usage                      ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
+---------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+
; CLOCK_50                                                                                                                              ; PIN_G21            ; 5       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; CLOCK_50                                                                                                                              ; PIN_G21            ; 102     ; Clock                      ; yes    ; Global Clock         ; GCLK7            ; --                        ;
; DE0_D5M:inst|CCD_Capture:u3|X_Cont[2]~18                                                                                              ; LCCOMB_X15_Y14_N16 ; 16      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|CCD_Capture:u3|X_Cont[2]~19                                                                                              ; LCCOMB_X15_Y14_N10 ; 16      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|CCD_Capture:u3|Y_Cont[0]~3                                                                                               ; LCCOMB_X15_Y14_N20 ; 1       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|CCD_Capture:u3|mCCD_FVAL                                                                                                 ; FF_X15_Y14_N7      ; 7       ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|CCD_Capture:u3|oDVAL                                                                                                     ; LCCOMB_X15_Y14_N30 ; 17      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD[23]~2                                                                             ; LCCOMB_X11_Y12_N0  ; 24      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[4]~1                                                                      ; LCCOMB_X11_Y11_N2  ; 6       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[2]                                                                                           ; FF_X10_Y14_N9      ; 34      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[4]                                                                                           ; FF_X10_Y14_N13     ; 39      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[5]~7                                                                                         ; LCCOMB_X10_Y14_N26 ; 5       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LessThan2~4                                                                                            ; LCCOMB_X8_Y10_N20  ; 17      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LessThan3~1                                                                                            ; LCCOMB_X10_Y14_N2  ; 6       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|always1~2                                                                                              ; LCCOMB_X11_Y10_N22 ; 14      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|i2c_reset                                                                                              ; LCCOMB_X11_Y10_N26 ; 43      ; Async. clear               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|iexposure_adj_delay[3]                                                                                 ; FF_X11_Y10_N7      ; 26      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_CTRL_CLK                                                                                          ; FF_X40_Y15_N17     ; 72      ; Clock                      ; yes    ; Global Clock         ; GCLK6            ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_DATA[23]~1                                                                                        ; LCCOMB_X10_Y14_N20 ; 24      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[13]~31                                                                                 ; LCCOMB_X7_Y13_N18  ; 14      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|cout_actual ; LCCOMB_X12_Y10_N30 ; 11      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|RAW2RGB:u4|mCCD_G[12]~36                                                                                                 ; LCCOMB_X14_Y14_N26 ; 10      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Reset_Delay:u2|Equal0~11                                                                                                 ; LCCOMB_X19_Y24_N0  ; 32      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_0                                                                                                    ; FF_X19_Y26_N3      ; 468     ; Async. clear               ; yes    ; Global Clock         ; GCLK12           ; --                        ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_1                                                                                                    ; FF_X19_Y24_N29     ; 55      ; Async. clear               ; yes    ; Global Clock         ; GCLK14           ; --                        ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_2                                                                                                    ; FF_X19_Y24_N5      ; 106     ; Async. clear               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|CMD[1]~0                                                                                          ; LCCOMB_X4_Y27_N22  ; 2       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~10                                                                                     ; LCCOMB_X19_Y26_N22 ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~7                                                                                      ; LCCOMB_X19_Y26_N8  ; 3       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0            ; LCCOMB_X14_Y21_N24 ; 19      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0            ; LCCOMB_X14_Y22_N24 ; 19      ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0            ; LCCOMB_X14_Y18_N24 ; 18      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0            ; LCCOMB_X12_Y19_N8  ; 19      ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0           ; LCCOMB_X10_Y25_N24 ; 19      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0           ; LCCOMB_X11_Y27_N24 ; 19      ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0           ; LCCOMB_X11_Y26_N6  ; 19      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0           ; LCCOMB_X11_Y27_N30 ; 19      ; Clock enable, Write enable ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|WR_MASK[0]~2                                                                                      ; LCCOMB_X15_Y26_N24 ; 3       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE                                                                               ; FF_X7_Y28_N3       ; 16      ; Output enable              ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|rp_shift[2]~1                                                                    ; LCCOMB_X8_Y27_N8   ; 4       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|INIT_REQ                                                               ; FF_X9_Y28_N9       ; 26      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LessThan0~3                                                            ; LCCOMB_X9_Y28_N6   ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|REF_REQ~1                                                              ; LCCOMB_X9_Y28_N12  ; 16      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]~45                                                                                   ; LCCOMB_X17_Y26_N22 ; 15      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]~46                                                                                   ; LCCOMB_X19_Y26_N20 ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]~46                                                                                  ; LCCOMB_X19_Y26_N14 ; 15      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]~47                                                                                  ; LCCOMB_X19_Y26_N16 ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]~45                                                                                  ; LCCOMB_X19_Y26_N6  ; 15      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]~46                                                                                  ; LCCOMB_X19_Y26_N28 ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]~46                                                                                  ; LCCOMB_X20_Y28_N30 ; 15      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]~47                                                                                  ; LCCOMB_X19_Y26_N26 ; 15      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|VGA_Controller:u1|Equal0~3                                                                                               ; LCCOMB_X23_Y25_N30 ; 13      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|VGA_Controller:u1|LessThan6~2                                                                                            ; LCCOMB_X22_Y25_N2  ; 13      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|VGA_Controller:u1|LessThan8~4                                                                                            ; LCCOMB_X24_Y23_N26 ; 12      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|VGA_Controller:u1|active                                                                                                 ; FF_X22_Y25_N3      ; 20      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; DE0_D5M:inst|rClk[0]                                                                                                                  ; FF_X20_Y1_N9       ; 405     ; Clock                      ; yes    ; Global Clock         ; GCLK15           ; --                        ;
; DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[0]                                                   ; PLL_2              ; 512     ; Clock                      ; yes    ; Global Clock         ; GCLK8            ; --                        ;
; GPIO_1_CLKIN[0]                                                                                                                       ; PIN_AB11           ; 229     ; Clock                      ; yes    ; Global Clock         ; GCLK19           ; --                        ;
; KEY[0]                                                                                                                                ; PIN_H2             ; 325     ; Async. clear               ; no     ; --                   ; --               ; --                        ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|cout_actual                                                     ; LCCOMB_X16_Y19_N6  ; 10      ; Sync. load                 ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|Equal2~0                                                                                                                    ; LCCOMB_X23_Y8_N4   ; 8       ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|Equal3~2                                                                                                                    ; LCCOMB_X21_Y8_N0   ; 6       ; Async. clear               ; yes    ; Global Clock         ; GCLK17           ; --                        ;
; ps2:inst6|always5~1                                                                                                                   ; LCCOMB_X21_Y8_N8   ; 19      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|clk_div[8]                                                                                                                  ; FF_X20_Y1_N27      ; 3       ; Clock                      ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|clk_div[8]                                                                                                                  ; FF_X20_Y1_N27      ; 38      ; Clock                      ; yes    ; Global Clock         ; GCLK16           ; --                        ;
; ps2:inst6|cur_state.listen                                                                                                            ; FF_X23_Y8_N17      ; 38      ; Clock enable               ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|cur_state.trans                                                                                                             ; FF_X23_Y8_N11      ; 17      ; Sync. clear                ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|de~0                                                                                                                        ; LCCOMB_X23_Y8_N24  ; 1       ; Output enable              ; no     ; --                   ; --               ; --                        ;
; ps2:inst6|ps2_clk_in                                                                                                                  ; FF_X21_Y1_N31      ; 51      ; Clock                      ; yes    ; Global Clock         ; GCLK18           ; --                        ;
; sobel:inst1|sobel_core:sobel_core_inst|main_stage_0_2                                                                                 ; FF_X30_Y21_N27     ; 4       ; Clock enable               ; no     ; --                   ; --               ; --                        ;
+---------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Global & Other Fast Signals                                                                                                                                                                                                   ;
+-------------------------------------------------------------------------------------+------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
; Name                                                                                ; Location         ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
+-------------------------------------------------------------------------------------+------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+
; CLOCK_50                                                                            ; PIN_G21          ; 102     ; 0                                    ; Global Clock         ; GCLK7            ; --                        ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_CTRL_CLK                                        ; FF_X40_Y15_N17   ; 72      ; 0                                    ; Global Clock         ; GCLK6            ; --                        ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_0                                                  ; FF_X19_Y26_N3    ; 468     ; 0                                    ; Global Clock         ; GCLK12           ; --                        ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_1                                                  ; FF_X19_Y24_N29   ; 55      ; 0                                    ; Global Clock         ; GCLK14           ; --                        ;
; DE0_D5M:inst|rClk[0]                                                                ; FF_X20_Y1_N9     ; 405     ; 0                                    ; Global Clock         ; GCLK15           ; --                        ;
; DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[0] ; PLL_2            ; 512     ; 137                                  ; Global Clock         ; GCLK8            ; --                        ;
; DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[1] ; PLL_2            ; 1       ; 0                                    ; Global Clock         ; GCLK9            ; --                        ;
; GPIO_1_CLKIN[0]                                                                     ; PIN_AB11         ; 229     ; 0                                    ; Global Clock         ; GCLK19           ; --                        ;
; ps2:inst6|Equal3~2                                                                  ; LCCOMB_X21_Y8_N0 ; 6       ; 0                                    ; Global Clock         ; GCLK17           ; --                        ;
; ps2:inst6|clk_div[8]                                                                ; FF_X20_Y1_N27    ; 38      ; 0                                    ; Global Clock         ; GCLK16           ; --                        ;
; ps2:inst6|ps2_clk_in                                                                ; FF_X21_Y1_N31    ; 51      ; 0                                    ; Global Clock         ; GCLK18           ; --                        ;
+-------------------------------------------------------------------------------------+------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Non-Global High Fan-Out Signals                                                                                                                                                     ;
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+
; Name                                                                                                                                                                      ; Fan-Out ;
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+
; KEY[0]~input                                                                                                                                                              ; 325     ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_2                                                                                                                                        ; 106     ;
; ~GND                                                                                                                                                                      ; 63      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~22                                                                                                                           ; 54      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|i2c_reset                                                                                                                                  ; 43      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~22                                                                                                                           ; 41      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[1]                                                                                                                               ; 40      ;
; DE0_D5M:inst|VGA_Controller:u1|always0~1                                                                                                                                  ; 39      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[4]                                                                                                                               ; 39      ;
; ps2:inst6|cur_state.listen                                                                                                                                                ; 38      ;
; DE0_D5M:inst|VGA_Controller:u1|oVGA_R~0                                                                                                                                   ; 38      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~22                                                                                                                           ; 37      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[2]                                                                                                                               ; 34      ;
; DE0_D5M:inst|CCD_Capture:u3|Y_Cont[0]                                                                                                                                     ; 34      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~22                                                                                                                           ; 34      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[3]                                                                                                                               ; 33      ;
; DE0_D5M:inst|CCD_Capture:u3|X_Cont[0]                                                                                                                                     ; 33      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~22                                                                                                                           ; 33      ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_724_cse[1]~0                                                                                                              ; 33      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[0]                                                                                                                               ; 32      ;
; DE0_D5M:inst|Reset_Delay:u2|Equal0~11                                                                                                                                     ; 32      ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_553_ncse[2]~0                                                                                                             ; 32      ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_516_cse[1]~0                                                                                                              ; 32      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[0]                                                                                                                                 ; 31      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[11]~22                                                                                                   ; 31      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~22                                                                                                                          ; 30      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|iexposure_adj_delay[3]                                                                                                                     ; 26      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|INIT_REQ                                                                                                   ; 26      ;
; SW[0]~input                                                                                                                                                               ; 25      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_DATA[23]~1                                                                                                                            ; 24      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD[23]~2                                                                                                                 ; 24      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mRD~0                                                                                                                                 ; 23      ;
; SW[5]~input                                                                                                                                                               ; 22      ;
; SW[4]~input                                                                                                                                                               ; 22      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mADDR[22]~0                                                                                                                           ; 22      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[3]                                                                                                            ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[9]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[8]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[7]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[6]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[5]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[4]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[3]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[2]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[1]                                                                                  ; 22      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|counter_reg_bit[0]                                                                                  ; 22      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[0]                                                                                                            ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0                                               ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0                                               ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0                                               ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0                                               ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|WR_MASK[0]                                                                                                                            ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|do_writea                                                                                                            ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|do_reada                                                                                                             ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0                                                ; 20      ;
; DE0_D5M:inst|VGA_Controller:u1|active                                                                                                                                     ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0                                                ; 20      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_wrreq~0                                                ; 20      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[2]                                                                                                            ; 19      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|valid_rdreq~0                                                ; 19      ;
; ps2:inst6|always5~1                                                                                                                                                       ; 19      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal0~0                                                                                                                              ; 18      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[5]                                                                                                                               ; 18      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|do_load_mode                                                                                                         ; 18      ;
; DE0_D5M:inst|CCD_Capture:u3|oDVAL                                                                                                                                         ; 17      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LessThan2~4                                                                                                                                ; 17      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[1]                                                                                                            ; 17      ;
; ps2:inst6|cur_state.trans                                                                                                                                                 ; 17      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[3]~6                                                                                                     ; 17      ;
; DE0_D5M:inst|CCD_Capture:u3|X_Cont[2]~19                                                                                                                                  ; 16      ;
; DE0_D5M:inst|CCD_Capture:u3|X_Cont[2]~18                                                                                                                                  ; 16      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|REF_REQ~1                                                                                                  ; 16      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|OE                                                                                                                   ; 16      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LessThan0~3                                                                                                ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]~46                                                                                                                       ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]~45                                                                                                                       ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]~47                                                                                                                      ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]~46                                                                                                                      ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]~47                                                                                                                      ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]~46                                                                                                                      ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]~46                                                                                                                      ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]~45                                                                                                                      ; 15      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~10                                                                                                                         ; 15      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~14                                                                                                                           ; 15      ;
; SW[2]~input                                                                                                                                                               ; 14      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|always1~2                                                                                                                                  ; 14      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[13]~31                                                                                                                     ; 14      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal6~1                                                                                                                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[10]                             ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[9]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[8]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[7]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[6]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[5]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[4]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[3]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[2]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[1]                              ; 14      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|counter_reg_bit[0]                              ; 14      ;
; DE0_D5M:inst|rCCD_LVAL                                                                                                                                                    ; 13      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[4]                                                                                                            ; 13      ;
; DE0_D5M:inst|VGA_Controller:u1|LessThan6~2                                                                                                                                ; 13      ;
; DE0_D5M:inst|VGA_Controller:u1|Equal0~3                                                                                                                                   ; 13      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~18                                                                                                                           ; 13      ;
; DE0_D5M:inst|VGA_Controller:u1|LessThan8~4                                                                                                                                ; 12      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[5]                                                                                                            ; 12      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|rw_flag~1                                                                                                            ; 12      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[3]~6                                                                                                     ; 12      ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|cntr_cuf:cntr1|cout_actual                                     ; 11      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[6]                                                                                                            ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add125~0                                                                                                                           ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[4]~6                                                                                                           ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[3]~6                                                                                                     ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~18                                                                                                                           ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~14                                                                                                                           ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~6                                                                                                                            ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[3]~4                                                                                                           ; 11      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~28                                                                                                                           ; 11      ;
; DE0_D5M:inst|RAW2RGB:u4|mCCD_G[12]~36                                                                                                                                     ; 10      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|always0~5                                                                                                            ; 10      ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|cntr_1tf:cntr1|cout_actual                                                                                         ; 10      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[4]~2                                                                                                                               ; 10      ;
; DE0_D5M:inst|Reset_Delay:u2|oRST_0                                                                                                                                        ; 10      ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|op_1~16                                                     ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[4]~6                                                                                                           ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[8]~16                                                                                                      ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[2]~4                                                                                                     ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[3]~6                                                                                                     ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[4]~6                                                                                                           ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[2]~2                                                                                                           ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[2]~4                                                                                                     ; 10      ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~8                                                                                                                            ; 10      ;
; ps2:inst6|y_latch[7]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[6]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[5]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[4]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[3]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[2]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[1]                                                                                                                                                      ; 10      ;
; ps2:inst6|y_latch[0]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[7]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[6]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[5]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[4]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[3]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[2]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[1]                                                                                                                                                      ; 10      ;
; ps2:inst6|x_latch[0]                                                                                                                                                      ; 10      ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_GO                                                                                                                                    ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|command_done                                                                                                         ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[1]~0                                                                                                                               ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[2]                                                                                                                                 ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[1]                                                                                                                                 ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|op_1~16                                                     ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[3]~4                                                                                                           ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[2]~2                                                                                                           ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[2]~4                                                                                                     ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[10]~20                                                                                                     ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~14                                                                                                                          ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[8]~16                                                                                                    ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[6]~12                                                                                                      ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[4]~8                                                                                                       ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[3]~6                                                                                                     ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[6]~12                                                                                                    ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[10]~20                                                                                                     ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[8]~16                                                                                                      ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[1]~2                                                                                                     ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~16                                                                                                                           ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~10                                                                                                                           ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[4]~6                                                                                                           ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[2]~2                                                                                                           ; 9       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~14                                                                                                                           ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|do_refresh                                                                                                           ; 9       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|do_precharge                                                                                                         ; 9       ;
; SW[0]~_wirecell                                                                                                                                                           ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a2                      ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0                      ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a2                      ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0                      ; 8       ;
; ps2:inst6|cur_state.pullclk                                                                                                                                               ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a2                       ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add57~0                                                                                                                            ; 8       ;
; ps2:inst6|Equal2~0                                                                                                                                                        ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal5~4                                                                                                                              ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a2                       ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0                       ; 8       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a2                       ; 8       ;
; vga_mouse_square:vga_mouse_catapult_inst|vga_mouse_square_core:vga_mouse_square_core_inst|or_itm~0                                                                        ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[3]~4                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[2]~2                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[10]~20                                                                                                   ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~18                                                                                                                          ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[1]~2                                                                                                     ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~12                                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~10                                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~14                                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[3]~4                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~14                                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~10                                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~20                                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~2                                                                                                                            ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_412_itm[1]~0                                                                                                           ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[10]~20                                                                                                     ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[3]~6                                                                                                     ; 8       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~20                                                                                                                           ; 8       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux14~0                                                                                                                                    ; 7       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~7                                                                                                                                    ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a2                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a2                      ; 7       ;
; DE0_D5M:inst|CCD_Capture:u3|mCCD_FVAL                                                                                                                                     ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|command_delay[0]                                                                                                     ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a5                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a3                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a5                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a3                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1                      ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mWR_DONE                                                                                                                              ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a3                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a2                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mRD_DONE                                                                                                                              ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add98~0                                                                                                                            ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add262~0                                                                                                                           ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a5                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a3                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a5                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a3                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0                       ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[8]                                                                                                                                 ; 7       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[8]                                                                                              ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[1]~2                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[1]~2                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~16                                                                                                                          ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_1_acc_208_psp_sva[0]~0                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~18                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[2]~4                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[1]~2                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~16                                                                                                                           ; 7       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[69]                                                                                ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~10                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_375_itm[1]~0                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~18                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_2_sva[0]~0                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~12                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add44~4                                                                                                                            ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[8]~16                                                                                                      ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[2]~4                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[1]~2                                                                                                     ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~18                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~10                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~26                                                                                                                           ; 7       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~22                                                                                                                           ; 7       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[9]                                                                                                                                  ; 7       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[1]                                                                                                                                  ; 7       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[0]                                                                                                                                  ; 7       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[9]                                                                                                                                  ; 7       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[8]                                                                                                                                  ; 7       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[7]                                                                                                                                  ; 7       ;
; SW[1]~input                                                                                                                                                               ; 6       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LessThan3~1                                                                                                                                ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a3                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a5                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a3                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a5                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0                      ; 6       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD_COUNTER[4]~1                                                                                                          ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a7                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a6                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a4                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a7                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a6                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a4                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|REF_REQ                                                                                                    ; 6       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SDO                                                                                                                      ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a5                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a4                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a3                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a5                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1                       ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add418~1                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add416~2                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add418~0                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add150~1                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add148~2                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add150~0                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add231~1                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add229~2                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add231~0                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add223~1                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add221~2                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add223~0                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add125~1                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[9]                                                                                                 ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mRD                                                                                                                                   ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal10~0                                                                                                                             ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a7                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a6                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a4                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[2]                                                   ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[5]                                                   ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a7                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a6                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a4                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1                       ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[2]                                                   ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[5]                                                   ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Write                                                                                                                                 ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[9]                                                                                              ; 6       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[3]                                                                                              ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_384_itm[1]~0                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_3_acc_212_psp_sva[0]~0                                                                                                     ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[2]~4                                                                                                     ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_2_sva[0]~0                                                                                                     ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~20                                                                                                                          ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~8                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~6                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~16                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_217_psp_1_sva[0]~0                                                                                                     ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~20                                                                                                                           ; 6       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[79]                                                                                ; 6       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[89]                                                                                ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[4]~8                                                                                                     ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~16                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[6]~12                                                                                                      ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[4]~8                                                                                                       ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[6]~12                                                                                                      ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[4]~8                                                                                                       ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_210_psp_1_sva[0]~0                                                                                                     ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~16                                                                                                                           ; 6       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~24                                                                                                                           ; 6       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[59]                                                                                ; 6       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[6]                                                                                                                                  ; 6       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[5]                                                                                                                                  ; 6       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_DATA[15]~2                                                                                                                            ; 5       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LUT_INDEX[5]~7                                                                                                                             ; 5       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|END                                                                                                                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|parity6                         ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a4                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a7                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|parity6                         ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a4                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a7                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6                      ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1                      ; 5       ;
; ps2:inst6|delay[0]                                                                                                                                                        ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|IN_REQ                                                                                                                                ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|parity9                          ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|parity9                          ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[2]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[5]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[8]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[9]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[2]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[5]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[8]                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[9]                                                  ; 5       ;
; ps2:inst6|byte_cnt[0]                                                                                                                                                     ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|PM_STOP                                                                                                                               ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a7                       ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6                       ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a4                       ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a7                       ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6                       ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add319~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add318~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add94~1                                                                                                                            ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add96~0                                                                                                                            ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add416~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add58~0                                                                                                                            ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add238~0                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add148~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add229~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add221~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add85~0                                                                                                                            ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add212~1                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_itm[9]                                                                                                   ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_1_itm[9]                                                                                                 ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[4]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[7]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[9]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[8]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[1]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[4]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[7]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[9]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[8]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[1]                                                   ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|OUT_VALID                                                                                                                             ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Read                                                                                                                                  ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[3]                                                                                                                                 ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|ST[4]                                                                                                                                 ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[2]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[3]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[5]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[6]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[7]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[4]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[9]                             ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[10]                            ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[11]                            ; 5       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[8]                             ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[5]                                                                                              ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[2]                                                                                              ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[7]                                                                                              ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[6]                                                                                              ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[15]                                                                                             ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[14]                                                                                             ; 5       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|op_2~16                                                      ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_346_itm[1]~0                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~12                                                                                                                          ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~10                                                                                                                          ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[5]~10                                                                                                      ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~12                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[3]~6                                                                                                       ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[5]~10                                                                                                    ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~12                                                                                                                           ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[3]~6                                                                                                       ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[1]~2                                                                                                       ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[5]~10                                                                                                      ; 5       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~12                                                                                                                           ; 5       ;
; DE0_D5M:inst|Reset_Delay:u2|Cont[24]                                                                                                                                      ; 5       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[9]                                                                                 ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[0]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[3]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[2]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[1]                                                                                                                                  ; 5       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[39]                                                                                ; 5       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[49]                                                                                ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[5]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[8]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[7]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[6]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[4]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[3]                                                                                                                                  ; 5       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[2]                                                                                                                                  ; 5       ;
; SW[3]~input                                                                                                                                                               ; 4       ;
; SW[6]~input                                                                                                                                                               ; 4       ;
; SW[7]~input                                                                                                                                                               ; 4       ;
; CLOCK_50~input                                                                                                                                                            ; 4       ;
; GPIO_1[19]~input                                                                                                                                                          ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~8                                                                                                                                    ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~1                             ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|parity9                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~1                             ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|parity9                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mSetup_ST.0001                                                                                                                             ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mSetup_ST.0010                                                                                                                             ; 4       ;
; DE0_D5M:inst|CCD_Capture:u3|mCCD_LVAL                                                                                                                                     ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|REF_ACK                                                                                                              ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|rp_shift[2]~1                                                                                                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[0]                                                                                              ; 4       ;
; ps2:inst6|delay[1]                                                                                                                                                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~2                              ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin|xor3                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin|xor3                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin|xor6                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin|xor6                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[1]                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[2] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rdptr_g_gray2bin|xor3                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rs_dgwp_gray2bin|xor3                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[4]                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[5] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rdptr_g_gray2bin|xor6                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rs_dgwp_gray2bin|xor6                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[7]                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[8] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[9] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[1]                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[2] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rdptr_g_gray2bin|xor3                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rs_dgwp_gray2bin|xor3                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[4]                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[5] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rdptr_g_gray2bin|xor6                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:rs_dgwp_gray2bin|xor6                        ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[7]                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[8] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[9] ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin|xor3                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin|xor3                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin|xor6                         ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin|xor6                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SCLK                                                                                                                     ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|parity6                          ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~2                              ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|parity6                          ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|WR_MASK[1]                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add317~2                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add91~0                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add100~0                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add48~0                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add238~1                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core|romout[0][1]~0                                                                                   ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_1_and_3_cse_sva~0                                                                                                             ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add143~0                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][9]~2                                                                                   ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[89]                                                                                                        ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[79]                                                                                                        ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[69]                                                                                                        ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[29]                                                                                                        ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add129~0                                                                                                                           ; 4       ;
; DE0_D5M:inst|Reset_Delay:u2|Equal0~9                                                                                                                                      ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mWR                                                                                                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Pre_RD                                                                                                                                ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[3]                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[2]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[5]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[6]                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[8]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[9]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[0]                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]                                                                                                                            ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[3]                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[2]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[5]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[6]                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[8]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[9]  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[0]                                                   ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[0]                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|main_stage_0_2                                                                                                                     ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|slc_acc_20_psp_1_93_itm_1                                                                                                          ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal5~0                                                                                                                              ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[5]                                                                                                                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[4]                                                                                                                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[3]                                                                                                                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[6]                                                                                                                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[13]                                                                                                                        ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[7]                                                                                                                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[11]                                                                                                                        ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[12]                                                                                                                        ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[9]                                                                                                                         ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[10]                                                                                                                        ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[15]                                                                                                                        ; 4       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[8]                                                                                                                         ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[14]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[15]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[17]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[18]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[19]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[16]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[21]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[22]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[23]                            ; 4       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[20]                            ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[4]                                                                                              ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[13]                                                                                             ; 4       ;
; ps2:inst6|byte_cnt[1]                                                                                                                                                     ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add168~14                                                                                                                          ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add192~14                                                                                                                          ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~2                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[9]~18                                                                                                    ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[9]~18                                                                                                      ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[7]~14                                                                                                    ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[7]~14                                                                                                      ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add216~18                                                                                                                          ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~20                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~8                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~6                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~2                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[2]~4                                                                                                       ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[0]~0                                                                                                       ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~8                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~6                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~2                                                                                                                            ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[60]                                                                                ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add214~12                                                                                                                          ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[3]~6                                                                                                     ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[2]~4                                                                                                     ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[0]~0                                                                                                     ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[50]                                                                                ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~20                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~8                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~6                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~2                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[5]~10                                                                                                      ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[2]~4                                                                                                       ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[0]~0                                                                                                       ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[0]                                                                                 ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[29]                                                                                ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[9]~18                                                                                                      ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[7]~14                                                                                                      ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[3]~6                                                                                                       ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[2]~4                                                                                                       ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[0]~0                                                                                                       ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~20                                                                                                                           ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~8                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~6                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~2                                                                                                                            ; 4       ;
; DE0_D5M:inst|Reset_Delay:u2|Cont[20]                                                                                                                                      ; 4       ;
; DE0_D5M:inst|Reset_Delay:u2|Cont[23]                                                                                                                                      ; 4       ;
; DE0_D5M:inst|Reset_Delay:u2|Cont[22]                                                                                                                                      ; 4       ;
; DE0_D5M:inst|Reset_Delay:u2|Cont[21]                                                                                                                                      ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[19]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[56]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[57]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[58]                                                                                ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add4~12                                                                                                                            ; 4       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_acc_imod_24_sva[5]~10                                                                                                           ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[37]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[38]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[46]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[47]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[48]                                                                                ; 4       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[36]                                                                                ; 4       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[11]                                                                                                                                 ; 4       ;
; DE0_D5M:inst|VGA_Controller:u1|V_Cont[10]                                                                                                                                 ; 4       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[4]                                                                                                                                  ; 4       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|REF_ACK~_wirecell                                                                                                    ; 3       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[0]                                                                                                                         ; 3       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux10~2                                                                                                                                    ; 3       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux1~0                                                                                                                                     ; 3       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK2                                                                                                                     ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|cntr_cout[5]~0                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|cntr_cout[5]~0                  ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[2]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[3]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[4]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[5]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[6]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[7]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[8]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[9]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[10]                                                                                                                                      ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_1[11]                                                                                                                                      ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[2]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[3]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[4]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[5]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[6]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[7]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[8]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[9]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[10]                                                                                                                                      ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|mDATAd_0[11]                                                                                                                                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~5                             ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~2                             ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a9                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a8                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~6                             ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~3                             ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a9                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a8                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|rp_shift[2]~0                                                                                                        ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LessThan1~2                                                                                                ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LOAD_MODE~1                                                                                                ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LessThan1~0                                                                                                ; 3       ;
; ps2:inst6|delay[2]                                                                                                                                                        ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a9                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a8                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[3]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[2]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[5]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[4]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[7]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[6]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[9]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[8]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[1]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[0]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a9                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a8                      ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[3]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[2]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[5]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[4]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[7]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[6]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[9]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[8]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[1]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[0]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~5                              ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~2                              ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[0]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[1] ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[3]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[4] ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[6]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[7] ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[0]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[1] ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[3]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[4] ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[6]                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe13|dffe15a[7] ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|always0~2                                                                                                            ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|WR_MASK[0]~2                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|cntr_cout[5]~0                   ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~2                              ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a9                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a8                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|cntr_cout[5]~0                   ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a9                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a8                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~7                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~6                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add92~1                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~5                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add255~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add103~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add265~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_652_itm_1~4                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add104~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add400~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add73~0                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add407~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add407~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add102~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add406~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add414~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add348~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_655_itm_1~1                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add86~1                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult6|multcore:mult_core|romout[0][6]~1                                                                                   ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add146~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add35~0                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult5|multcore:mult_core|romout[0][8]~3                                                                                   ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add227~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|lpm_mult:Mult4|multcore:mult_core|romout[0][7]~2                                                                                   ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add219~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add119~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add123~0                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_9_itm[9]                                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_10_itm[9]                                                                                                ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_11_itm[9]                                                                                                ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[19]                                                                                                        ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[9]                                                                                                         ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add67~0                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|reg_regs_regs_0_sva_cse[20]                                                                                                        ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add127~1                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_3_itm[9]                                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_4_itm[9]                                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_5_itm[9]                                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add61~1                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|regs_regs_slc_regs_regs_2_2_itm[0]                                                                                                 ; 3       ;
; DE0_D5M:inst|Reset_Delay:u2|Equal0~7                                                                                                                                      ; 3       ;
; DE0_D5M:inst|Reset_Delay:u2|Cont[0]                                                                                                                                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|always4~0                                                                                                            ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|oe4                                                                                                                  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|do_rw                                                                                                                ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|CMD_ACK                                                                                                    ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a9                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a8                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[4]  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[7]  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[1]  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a9                       ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a8                       ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|oRequest                                                                                                                                   ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[4]  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[7]  ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe16|dffe18a[1]  ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add15~1                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add15~0                                                                                                                            ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_mul_57_itm_1_sg2[2]                                                                                                           ; 3       ;
; ps2:inst6|Equal3~0                                                                                                                                                        ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal5~2                                                                                                                              ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal5~1                                                                                                                              ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|oVGA_G[6]~3                                                                                                                                ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|oVGA_G[7]~2                                                                                                                                ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|oVGA_G[8]~1                                                                                                                                ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|oVGA_G[9]~0                                                                                                                                ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|LessThan5~0                                                                                                                                ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|LessThan4~0                                                                                                                                ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|Equal0~0                                                                                                                                   ; 3       ;
; DE0_D5M:inst|rClk[0]                                                                                                                                                      ; 3       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|sensor_exposure[14]                                                                                                                        ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[1]                             ; 3       ;
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|q_b[0]                             ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[8]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[8]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[8]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[8]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[9]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[9]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[9]                                                                                                                          ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[10]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[10]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[10]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[11]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[11]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[11]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[11]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[12]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[12]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[12]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[12]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[13]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[13]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[13]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[14]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[14]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[14]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[14]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[15]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[15]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[15]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[15]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[16]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[16]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[16]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[16]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[17]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[17]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[17]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[17]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[18]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[18]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[18]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[19]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[19]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[19]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[19]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[22]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[22]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[22]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[22]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|ex_write                                                                                                             ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|ex_read                                                                                                              ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[20]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[20]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[20]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[20]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|init_timer[1]                                                                                              ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[21]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[21]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[21]                                                                                                                         ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[21]                                                                                                                         ; 3       ;
; ps2:inst6|byte_cnt[2]                                                                                                                                                     ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add383~16                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add175~16                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add167~10                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add165~6                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add199~16                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add191~10                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add189~6                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add132~4                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add21~4                                                                                                                            ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[68]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[78]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[86]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[87]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[88]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[66]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[76]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[77]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[80]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[81]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[82]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[83]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[84]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[85]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[67]                                                                                ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_226_psp_sva[1]~2                                                                                                       ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add26~4                                                                                                                            ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[61]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[62]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[63]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[64]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[70]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[71]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[72]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[73]                                                                                ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_1_sva[1]~2                                                                                                     ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[31]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[35]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[40]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[45]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[55]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[65]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[74]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[75]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[30]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[33]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[34]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[41]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[42]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[43]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[44]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[51]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[32]                                                                                ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[9]~18                                                                                                      ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_228_psp_sva[7]~14                                                                                                      ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add29~4                                                                                                                            ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[11]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[12]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[20]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[21]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[22]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[52]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[53]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[54]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[10]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[1]                                                                                 ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[2]                                                                                 ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[13]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[14]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[15]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[23]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[24]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[25]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[4]                                                                                 ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[5]                                                                                 ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[16]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[17]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[26]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[27]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[28]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[3]                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|nl_ACC1_acc_224_psp_sva[1]~2                                                                                                       ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add34~4                                                                                                                            ; 3       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|rw_flag                                                                                                              ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[7]                                                                                 ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[8]                                                                                 ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[18]                                                                                ; 3       ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|q_b[6]                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add3~8                                                                                                                             ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~18                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~16                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add13~14                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|Add10~22                                                                                                                           ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_655_itm_1[11]                                                                                                             ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_659_itm_1[12]                                                                                                             ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|ACC1_acc_658_itm_1[12]                                                                                                             ; 3       ;
; ps2:inst6|cnt[7]                                                                                                                                                          ; 3       ;
; ps2:inst6|cnt[6]                                                                                                                                                          ; 3       ;
; ps2:inst6|cnt[5]                                                                                                                                                          ; 3       ;
; ps2:inst6|cnt[0]                                                                                                                                                          ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[26]                                                                                                      ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[27]                                                                                                      ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[28]                                                                                                      ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[11]                                                                                                                                 ; 3       ;
; DE0_D5M:inst|VGA_Controller:u1|H_Cont[10]                                                                                                                                 ; 3       ;
; sobel:inst1|sobel_core:sobel_core_inst|vout_rsc_mgc_out_stdreg_d[29]                                                                                                      ; 3       ;
; KEY[1]~input                                                                                                                                                              ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~11                                                                                                                         ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~18                                                                                                                                   ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~17                                                                                                                                   ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~11                                                                                                                                   ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux1~1                                                                                                                                     ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux12~10                                                                                                                                   ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux8~0                                                                                                                                     ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Mux15~0                                                                                                                                    ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|iexposure_adj_delay[0]                                                                                                                     ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|mSetup_ST.0000                                                                                                                             ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK~0                                                                                                                    ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK4                                                                                                                     ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK3                                                                                                                     ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|ACK1                                                                                                                     ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~2                             ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|_~2                             ; 2       ;
; DE0_D5M:inst|CCD_Capture:u3|LessThan0~4                                                                                                                                   ; 2       ;
; DE0_D5M:inst|CCD_Capture:u3|mSTART                                                                                                                                        ; 2       ;
; DE0_D5M:inst|rCCD_FVAL                                                                                                                                                    ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|CMD[1]~0                                                                                                                              ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LessThan0~2                                                                                                ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SD[23]~1                                                                                                                 ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|LessThan3~0                                                                                                                                ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~6                             ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|_~7                             ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[10]                                                                                                                          ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[0]                                                                                                                           ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[1]                                                                                                                           ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[2]                                                                                                                           ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[3]                                                                                                                           ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[4]                                                                                                                           ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[5]                                                                                                                           ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|CMD[1]                                                                                                                                ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|CMD[0]                                                                                                                                ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|always3~3                                                                                                  ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|always3~0                                                                                                  ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|LOAD_MODE~2                                                                                                ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|control_interface:control1|PRECHARGE~0                                                                                                ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|command:command1|always3~0                                                                                                            ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Equal8~0                                                                                                                              ; 2       ;
; ps2:inst6|nex_state.pulldat~0                                                                                                                                             ; 2       ;
; ps2:inst6|delay[3]                                                                                                                                                        ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|Mux0~16                                                                                                                  ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SCLK~2                                                                                                                   ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|SCLK~0                                                                                                                   ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|LessThan2~1                                                                                                              ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|iexposure_adj_delay[2]                                                                                                                     ; 2       ;
; DE0_D5M:inst|I2C_CCD_Config:u8|Equal4~7                                                                                                                                   ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|ram_address_b[8]                                            ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:rdempty_eq_comp|aneb_result_wire[0]~5              ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|cmpr_e66:rdempty_eq_comp|aneb_result_wire[0]~0              ; 2       ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|ram_address_b[8]                                            ; 2       ;
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
+---------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
; Name                                                                                                                                              ; Type ; Mode             ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size  ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF  ; Location                                                                                                                                                       ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ;
+---------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
; DE0_D5M:inst|RAW2RGB:u4|Line_Buffer:u0|altshift_taps:altshift_taps_component|shift_taps_rnn:auto_generated|altsyncram_lp81:altsyncram2|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 1278         ; 24           ; 1278         ; 24           ; yes                    ; no                      ; yes                    ; yes                     ; 30672 ; 1278                        ; 24                          ; 1278                        ; 24                          ; 30672               ; 6    ; None ; M9K_X13_Y13_N0, M9K_X13_Y9_N0, M9K_X13_Y10_N0, M9K_X13_Y14_N0, M9K_X13_Y12_N0, M9K_X13_Y11_N0                                                                  ; Old data             ; Old data        ; Old data        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ALTSYNCRAM  ; AUTO ; Simple Dual Port ; Dual Clocks  ; 512          ; 16           ; 512          ; 16           ; yes                    ; no                      ; yes                    ; yes                     ; 8192  ; 512                         ; 15                          ; 512                         ; 15                          ; 7680                ; 1    ; None ; M9K_X13_Y22_N0                                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ALTSYNCRAM  ; AUTO ; Simple Dual Port ; Dual Clocks  ; 512          ; 16           ; 512          ; 16           ; yes                    ; no                      ; yes                    ; yes                     ; 8192  ; 512                         ; 15                          ; 512                         ; 15                          ; 7680                ; 1    ; None ; M9K_X13_Y19_N0                                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks  ; 512          ; 16           ; 512          ; 16           ; yes                    ; no                      ; yes                    ; yes                     ; 8192  ; 512                         ; 16                          ; 512                         ; 16                          ; 8192                ; 1    ; None ; M9K_X13_Y25_N0                                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks  ; 512          ; 16           ; 512          ; 16           ; yes                    ; no                      ; yes                    ; yes                     ; 8192  ; 512                         ; 16                          ; 512                         ; 16                          ; 8192                ; 1    ; None ; M9K_X13_Y26_N0                                                                                                                                                 ; Don't care           ; Old data        ; Old data        ;
; altshift_taps:fifo_inst2|shift_taps_jpm:auto_generated|altsyncram_5n81:altsyncram2|ALTSYNCRAM                                                     ; AUTO ; Simple Dual Port ; Single Clock ; 798          ; 90           ; 798          ; 90           ; yes                    ; no                      ; yes                    ; yes                     ; 71820 ; 798                         ; 90                          ; 798                         ; 90                          ; 71820               ; 10   ; None ; M9K_X13_Y20_N0, M9K_X13_Y18_N0, M9K_X13_Y17_N0, M9K_X13_Y16_N0, M9K_X25_Y19_N0, M9K_X25_Y18_N0, M9K_X25_Y16_N0, M9K_X25_Y17_N0, M9K_X25_Y21_N0, M9K_X25_Y20_N0 ; Old data             ; Old data        ; Old data        ;
+---------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+
Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.


+-------------------------------------------------------+
; Other Routing Usage Summary                           ;
+-----------------------------+-------------------------+
; Other Routing Resource Type ; Usage                   ;
+-----------------------------+-------------------------+
; Block interconnects         ; 4,333 / 47,787 ( 9 % )  ;
; C16 interconnects           ; 48 / 1,804 ( 3 % )      ;
; C4 interconnects            ; 2,088 / 31,272 ( 7 % )  ;
; Direct links                ; 955 / 47,787 ( 2 % )    ;
; Global clocks               ; 11 / 20 ( 55 % )        ;
; Local interconnects         ; 1,603 / 15,408 ( 10 % ) ;
; R24 interconnects           ; 84 / 1,775 ( 5 % )      ;
; R4 interconnects            ; 2,439 / 41,310 ( 6 % )  ;
+-----------------------------+-------------------------+


+-----------------------------------------------------------------------------+
; LAB Logic Elements                                                          ;
+---------------------------------------------+-------------------------------+
; Number of Logic Elements  (Average = 12.42) ; Number of LABs  (Total = 293) ;
+---------------------------------------------+-------------------------------+
; 1                                           ; 20                            ;
; 2                                           ; 6                             ;
; 3                                           ; 4                             ;
; 4                                           ; 2                             ;
; 5                                           ; 4                             ;
; 6                                           ; 5                             ;
; 7                                           ; 3                             ;
; 8                                           ; 8                             ;
; 9                                           ; 9                             ;
; 10                                          ; 8                             ;
; 11                                          ; 12                            ;
; 12                                          ; 20                            ;
; 13                                          ; 27                            ;
; 14                                          ; 19                            ;
; 15                                          ; 33                            ;
; 16                                          ; 113                           ;
+---------------------------------------------+-------------------------------+


+--------------------------------------------------------------------+
; LAB-wide Signals                                                   ;
+------------------------------------+-------------------------------+
; LAB-wide Signals  (Average = 1.13) ; Number of LABs  (Total = 293) ;
+------------------------------------+-------------------------------+
; 1 Async. clear                     ; 110                           ;
; 1 Clock                            ; 137                           ;
; 1 Clock enable                     ; 41                            ;
; 1 Sync. clear                      ; 9                             ;
; 1 Sync. load                       ; 4                             ;
; 2 Async. clears                    ; 2                             ;
; 2 Clock enables                    ; 5                             ;
; 2 Clocks                           ; 22                            ;
+------------------------------------+-------------------------------+


+------------------------------------------------------------------------------+
; LAB Signals Sourced                                                          ;
+----------------------------------------------+-------------------------------+
; Number of Signals Sourced  (Average = 16.32) ; Number of LABs  (Total = 293) ;
+----------------------------------------------+-------------------------------+
; 0                                            ; 0                             ;
; 1                                            ; 10                            ;
; 2                                            ; 16                            ;
; 3                                            ; 4                             ;
; 4                                            ; 2                             ;
; 5                                            ; 8                             ;
; 6                                            ; 2                             ;
; 7                                            ; 5                             ;
; 8                                            ; 4                             ;
; 9                                            ; 6                             ;
; 10                                           ; 6                             ;
; 11                                           ; 13                            ;
; 12                                           ; 12                            ;
; 13                                           ; 21                            ;
; 14                                           ; 17                            ;
; 15                                           ; 23                            ;
; 16                                           ; 28                            ;
; 17                                           ; 5                             ;
; 18                                           ; 8                             ;
; 19                                           ; 10                            ;
; 20                                           ; 8                             ;
; 21                                           ; 7                             ;
; 22                                           ; 4                             ;
; 23                                           ; 5                             ;
; 24                                           ; 7                             ;
; 25                                           ; 3                             ;
; 26                                           ; 8                             ;
; 27                                           ; 4                             ;
; 28                                           ; 10                            ;
; 29                                           ; 7                             ;
; 30                                           ; 13                            ;
; 31                                           ; 8                             ;
; 32                                           ; 9                             ;
+----------------------------------------------+-------------------------------+


+---------------------------------------------------------------------------------+
; LAB Signals Sourced Out                                                         ;
+-------------------------------------------------+-------------------------------+
; Number of Signals Sourced Out  (Average = 8.64) ; Number of LABs  (Total = 293) ;
+-------------------------------------------------+-------------------------------+
; 0                                               ; 0                             ;
; 1                                               ; 28                            ;
; 2                                               ; 9                             ;
; 3                                               ; 13                            ;
; 4                                               ; 22                            ;
; 5                                               ; 20                            ;
; 6                                               ; 19                            ;
; 7                                               ; 22                            ;
; 8                                               ; 18                            ;
; 9                                               ; 18                            ;
; 10                                              ; 17                            ;
; 11                                              ; 21                            ;
; 12                                              ; 19                            ;
; 13                                              ; 14                            ;
; 14                                              ; 12                            ;
; 15                                              ; 12                            ;
; 16                                              ; 17                            ;
; 17                                              ; 3                             ;
; 18                                              ; 1                             ;
; 19                                              ; 1                             ;
; 20                                              ; 2                             ;
; 21                                              ; 1                             ;
; 22                                              ; 0                             ;
; 23                                              ; 0                             ;
; 24                                              ; 1                             ;
; 25                                              ; 0                             ;
; 26                                              ; 1                             ;
; 27                                              ; 2                             ;
+-------------------------------------------------+-------------------------------+


+------------------------------------------------------------------------------+
; LAB Distinct Inputs                                                          ;
+----------------------------------------------+-------------------------------+
; Number of Distinct Inputs  (Average = 12.63) ; Number of LABs  (Total = 293) ;
+----------------------------------------------+-------------------------------+
; 0                                            ; 0                             ;
; 1                                            ; 0                             ;
; 2                                            ; 16                            ;
; 3                                            ; 16                            ;
; 4                                            ; 13                            ;
; 5                                            ; 15                            ;
; 6                                            ; 13                            ;
; 7                                            ; 11                            ;
; 8                                            ; 21                            ;
; 9                                            ; 11                            ;
; 10                                           ; 16                            ;
; 11                                           ; 19                            ;
; 12                                           ; 14                            ;
; 13                                           ; 10                            ;
; 14                                           ; 9                             ;
; 15                                           ; 10                            ;
; 16                                           ; 14                            ;
; 17                                           ; 10                            ;
; 18                                           ; 3                             ;
; 19                                           ; 5                             ;
; 20                                           ; 4                             ;
; 21                                           ; 6                             ;
; 22                                           ; 17                            ;
; 23                                           ; 13                            ;
; 24                                           ; 7                             ;
; 25                                           ; 2                             ;
; 26                                           ; 4                             ;
; 27                                           ; 3                             ;
; 28                                           ; 4                             ;
; 29                                           ; 1                             ;
; 30                                           ; 1                             ;
; 31                                           ; 2                             ;
; 32                                           ; 0                             ;
; 33                                           ; 1                             ;
; 34                                           ; 0                             ;
; 35                                           ; 0                             ;
; 36                                           ; 0                             ;
; 37                                           ; 1                             ;
+----------------------------------------------+-------------------------------+


+------------------------------------------+
; I/O Rules Summary                        ;
+----------------------------------+-------+
; I/O Rules Statistic              ; Total ;
+----------------------------------+-------+
; Total I/O Rules                  ; 30    ;
; Number of I/O Rules Passed       ; 10    ;
; Number of I/O Rules Failed       ; 0     ;
; Number of I/O Rules Unchecked    ; 0     ;
; Number of I/O Rules Inapplicable ; 20    ;
+----------------------------------+-------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; I/O Rules Details                                                                                                                                                                                                                                                                    ;
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+
; Status       ; ID        ; Category                          ; Rule Description                                                                                     ; Severity ; Information                                                              ; Area ; Extra Information ;
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+
; Pass         ; IO_000001 ; Capacity Checks                   ; Number of pins in an I/O bank should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000002 ; Capacity Checks                   ; Number of clocks in an I/O bank should not exceed the number of clocks available.                    ; Critical ; No Global Signal assignments found.                                      ; I/O  ;                   ;
; Pass         ; IO_000003 ; Capacity Checks                   ; Number of pins in a Vrefgroup should not exceed the number of locations available.                   ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000004 ; Voltage Compatibility Checks      ; The I/O bank should support the requested VCCIO.                                                     ; Critical ; No IOBANK_VCCIO assignments found.                                       ; I/O  ;                   ;
; Inapplicable ; IO_000005 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VREF values.                                                  ; Critical ; No VREF I/O Standard assignments found.                                  ; I/O  ;                   ;
; Pass         ; IO_000006 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VCCIO values.                                                 ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Pass         ; IO_000007 ; Valid Location Checks             ; Checks for unavailable locations.                                                                    ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000008 ; Valid Location Checks             ; Checks for reserved locations.                                                                       ; Critical ; No reserved LogicLock region found.                                      ; I/O  ;                   ;
; Pass         ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard.                                              ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Pass         ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction.                                             ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength.                                          ; Critical ; No Current Strength assignments found.                                   ; I/O  ;                   ;
; Inapplicable ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value.                                 ; Critical ; No Termination assignments found.                                        ; I/O  ;                   ;
; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value.                                            ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O  ;                   ;
; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value.                                        ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O  ;                   ;
; Pass         ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode.                                           ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength.                                      ; Critical ; No Current Strength assignments found.                                   ; I/O  ;                   ;
; Inapplicable ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value.                             ; Critical ; No Termination assignments found.                                        ; I/O  ;                   ;
; Pass         ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode.                                       ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value.                                    ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O  ;                   ;
; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value.                                        ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O  ;                   ;
; Pass         ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value.                                                ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value.                                      ; Critical ; No Termination assignments found.                                        ; I/O  ;                   ;
; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time.                        ; Critical ; No Current Strength or Termination assignments found.                    ; I/O  ;                   ;
; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time.                                       ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O  ;                   ;
; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value.                                       ; Critical ; No Slew Rate assignments found.                                          ; I/O  ;                   ;
; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value.                                           ; Critical ; No Slew Rate assignments found.                                          ; I/O  ;                   ;
; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time.                               ; Critical ; No Slew Rate assignments found.                                          ; I/O  ;                   ;
; Pass         ; IO_000033 ; Electromigration Checks           ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found.                                                   ; I/O  ;                   ;
; Inapplicable ; IO_000034 ; SI Related Distance Checks        ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O.                            ; High     ; No Differential I/O Standard assignments found.                          ; I/O  ;                   ;
; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks       ; No more than 20 outputs are allowed in a VREF group when VREF is being read from.                    ; High     ; No VREF I/O Standard assignments found.                                  ; I/O  ;                   ;
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; I/O Rules Matrix                                                                                                                                                                                                                                                                                                                                                                                                                                                         ;
+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
; Pin/Rules          ; IO_000001    ; IO_000002    ; IO_000003    ; IO_000004    ; IO_000005    ; IO_000006 ; IO_000007    ; IO_000008    ; IO_000009 ; IO_000010 ; IO_000011    ; IO_000012    ; IO_000013    ; IO_000014    ; IO_000015    ; IO_000018    ; IO_000019    ; IO_000020    ; IO_000021    ; IO_000022    ; IO_000023    ; IO_000024    ; IO_000026    ; IO_000027    ; IO_000045    ; IO_000046    ; IO_000047    ; IO_000033 ; IO_000034    ; IO_000042    ;
+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
; Total Pass         ; 142          ; 0            ; 142          ; 0            ; 0            ; 143       ; 142          ; 0            ; 143       ; 143       ; 0            ; 0            ; 0            ; 0            ; 66           ; 0            ; 0            ; 66           ; 0            ; 0            ; 30           ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 143       ; 0            ; 0            ;
; Total Unchecked    ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
; Total Inapplicable ; 1            ; 143          ; 1            ; 143          ; 143          ; 0         ; 1            ; 143          ; 0         ; 0         ; 143          ; 143          ; 143          ; 143          ; 77           ; 143          ; 143          ; 77           ; 143          ; 143          ; 113          ; 143          ; 143          ; 143          ; 143          ; 143          ; 143          ; 0         ; 143          ; 143          ;
; Total Fail         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
; DRAM_LDQM          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1_CLKIN[1]    ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[9]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[8]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_UDQM          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_BA_1          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_BA_0          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CAS_N         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CKE           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CS_N          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_RAS_N         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_WE_N          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_CLK           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_CLK            ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_HS             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_VS             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[11]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[10]      ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[9]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[8]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[7]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[6]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[5]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[4]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[3]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[2]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[1]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_ADDR[0]       ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1_CLKOUT[1]   ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1_CLKOUT[0]   ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[6]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[5]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[4]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[3]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[2]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[1]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX0[0]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[6]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[5]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[4]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[3]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[2]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[1]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX1[0]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[6]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[5]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[4]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[3]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[2]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[1]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX2[0]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[6]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[5]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[4]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[3]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[2]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[1]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; HEX3[0]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[9]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[8]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[7]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[6]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[5]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[4]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[3]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[2]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[1]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; LEDG[0]            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[3]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[2]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[1]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_B[0]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[3]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[2]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[1]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_G[0]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[3]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[2]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[1]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; VGA_R[0]           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[15]        ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[14]        ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[13]        ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[12]        ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[11]        ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[10]        ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[9]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[8]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[7]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[6]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[5]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[4]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[3]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[2]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[1]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; DRAM_DQ[0]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[31]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[30]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[29]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[28]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[27]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[26]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[25]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[24]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[23]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[22]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[21]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[20]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[19]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[18]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[17]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[16]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[15]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[14]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[13]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[12]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[11]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[10]         ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[9]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[8]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[7]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[6]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[5]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[4]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[3]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[2]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[1]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1[0]          ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; PS2_DAT            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; PS2_CLK            ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[4]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[5]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; CLOCK_50           ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[0]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[7]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[6]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[3]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[2]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[1]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; SW[0]              ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[1]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; GPIO_1_CLKIN[0]    ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
; KEY[2]             ; Pass         ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass      ; Pass         ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+


+---------------------------------------------------------------------------------------------+
; Fitter Device Options                                                                       ;
+------------------------------------------------------------------+--------------------------+
; Option                                                           ; Setting                  ;
+------------------------------------------------------------------+--------------------------+
; Enable user-supplied start-up clock (CLKUSR)                     ; Off                      ;
; Enable device-wide reset (DEV_CLRn)                              ; Off                      ;
; Enable device-wide output enable (DEV_OE)                        ; Off                      ;
; Enable INIT_DONE output                                          ; Off                      ;
; Configuration scheme                                             ; Active Serial            ;
; Error detection CRC                                              ; Off                      ;
; Enable open drain on CRC_ERROR pin                               ; Off                      ;
; Enable input tri-state on active configuration pins in user mode ; Off                      ;
; Configuration Voltage Level                                      ; Auto                     ;
; Force Configuration Voltage Level                                ; Off                      ;
; nCEO                                                             ; Unreserved               ;
; Data[0]                                                          ; As input tri-stated      ;
; Data[1]/ASDO                                                     ; As input tri-stated      ;
; Data[7..2]                                                       ; Unreserved               ;
; FLASH_nCE/nCSO                                                   ; As input tri-stated      ;
; Other Active Parallel pins                                       ; Unreserved               ;
; DCLK                                                             ; As output driving ground ;
; Base pin-out file on sameframe device                            ; Off                      ;
+------------------------------------------------------------------+--------------------------+


+------------------------------------+
; Operating Settings and Conditions  ;
+---------------------------+--------+
; Setting                   ; Value  ;
+---------------------------+--------+
; Nominal Core Voltage      ; 1.20 V ;
; Low Junction Temperature  ; 0 °C   ;
; High Junction Temperature ; 85 °C  ;
+---------------------------+--------+


+------------------------------------------------------------+
; Estimated Delay Added for Hold Timing Summary              ;
+-----------------+----------------------+-------------------+
; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
+-----------------+----------------------+-------------------+
Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer.


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Estimated Delay Added for Hold Timing Details                                                                                                                                                                                                                                                                                                      ;
+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+
; Source Register                                                                                                                                      ; Destination Register                                                                                                                                                    ; Delay Added in ns ;
+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|wrptr_g[0]                              ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ram_block11a10~porta_address_reg0 ; 0.202             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[13]                                                                                                     ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ram_block11a13~porta_datain_reg0  ; 0.095             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|mDATAOUT[14]                                                                                                     ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|altsyncram_de51:fifo_ram|ram_block11a14~porta_datain_reg0  ; 0.027             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a7  ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a8                     ; 0.017             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a7  ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|sub_parity10a[1]               ; 0.015             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1  ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|sub_parity10a[0]               ; 0.014             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6  ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a8                     ; 0.013             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0 ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1                    ; 0.013             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|rdptr_g[8]                             ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo2|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|dffpipe_oe9:rs_brp|dffe12a[7]                             ; 0.012             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1  ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|sub_parity10a[0]               ; 0.012             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1 ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:write_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_s57:rdptr_g1p|sub_parity7a[0]               ; 0.012             ;
; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6  ; DE0_D5M:inst|Sdram_Control_4Port:u7|Sdram_FIFO:read_fifo1|dcfifo:dcfifo_component|dcfifo_v5o1:auto_generated|a_graycounter_ojc:wrptr_g1p|sub_parity10a[1]               ; 0.011             ;
+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+
Note: This table only shows the top 12 path(s) that have the largest delay added for hold.


+-----------------+
; Fitter Messages ;
+-----------------+
Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
Info (119006): Selected device EP3C16F484C6 for design "DE0_D5M"
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (15535): Implemented PLL "DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|pll1" as Cyclone III PLL type
    Info (15099): Implementing clock multiplication of 5, clock division of 2, and phase shift of 0 degrees (0 ps) for DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[0] port
    Info (15099): Implementing clock multiplication of 5, clock division of 2, and phase shift of -117 degrees (-2600 ps) for DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[1] port
Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
    Info (176445): Device EP3C40F484C6 is compatible
    Info (176445): Device EP3C55F484C6 is compatible
    Info (176445): Device EP3C80F484C6 is compatible
Info (169124): Fitter converted 4 user pins into dedicated programming pins
    Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1
    Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2
    Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2
    Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 143 total pins
    Info (169086): Pin VGA_CLK not assigned to an exact location on the device
Info (332164): Evaluating HDL-embedded SDC commands
    Info (332165): Entity dcfifo_v5o1
        Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_qe9:dffpipe16|dffe17a* 
        Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_pe9:dffpipe13|dffe14a* 
Info (332104): Reading SDC File: 'DE0_D5M.sdc'
Info (332110): Deriving PLL clocks
    Info (332110): create_generated_clock -source {inst|u6|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name {inst|u6|altpll_component|auto_generated|pll1|clk[0]} {inst|u6|altpll_component|auto_generated|pll1|clk[0]}
    Info (332110): create_generated_clock -source {inst|u6|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 2 -multiply_by 5 -phase -117.00 -duty_cycle 50.00 -name {inst|u6|altpll_component|auto_generated|pll1|clk[1]} {inst|u6|altpll_component|auto_generated|pll1|clk[1]}
Warning (332060): Node: ps2:inst6|clk_div[8] was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: ps2:inst6|ps2_clk_in was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: DE0_D5M:inst|rClk[0] was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: GPIO_1_CLKIN[0] was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_CTRL_CLK was determined to be a clock but was found without an associated clock assignment.
Critical Warning (332168): The following clock transfers have no clock uncertainty assignment. For more accurate results, apply clock uncertainty assignments or use the derive_clock_uncertainty command.
    Critical Warning (332169): From CLOCK_50 (Rise) to CLOCK_50 (Rise) (setup and hold)
    Critical Warning (332169): From CLOCK_50 (Rise) to inst|u6|altpll_component|auto_generated|pll1|clk[0] (Rise) (setup and hold)
    Critical Warning (332169): From inst|u6|altpll_component|auto_generated|pll1|clk[0] (Rise) to inst|u6|altpll_component|auto_generated|pll1|clk[0] (Rise) (setup and hold)
Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
Info (332111): Found 3 clocks
    Info (332111):   Period   Clock Name
    Info (332111): ======== ============
    Info (332111):   20.000     CLOCK_50
    Info (332111):    8.000 inst|u6|altpll_component|auto_generated|pll1|clk[0]
    Info (332111):    8.000 inst|u6|altpll_component|auto_generated|pll1|clk[1]
Info (176353): Automatically promoted node CLOCK_50~input (placed in PIN G21 (CLK4, DIFFCLK_2p))
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G7
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node DE0_D5M:inst|rClk[0]
        Info (176357): Destination node ps2:inst6|clk_div[8]
        Info (176357): Destination node DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_CTRL_CLK
Info (176353): Automatically promoted node DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[0] (placed in counter C0 of PLL_2)
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8
Info (176353): Automatically promoted node DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|clk[1] (placed in counter C1 of PLL_2)
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G9
Info (176353): Automatically promoted node GPIO_1_CLKIN[0]~input (placed in PIN AB11 (CLK14, DIFFCLK_6n))
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G19
Info (176353): Automatically promoted node DE0_D5M:inst|rClk[0] 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node DE0_D5M:inst|rClk[0]~0
        Info (176357): Destination node GPIO_1_CLKOUT[0]~output
        Info (176357): Destination node VGA_CLK~output
Info (176353): Automatically promoted node DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_CTRL_CLK 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node DE0_D5M:inst|I2C_CCD_Config:u8|I2C_Controller:u0|I2C_SCLK~1
        Info (176357): Destination node DE0_D5M:inst|I2C_CCD_Config:u8|mI2C_CTRL_CLK~0
Info (176353): Automatically promoted node ps2:inst6|ps2_clk_in 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node ps2:inst6|Equal2~0
Info (176353): Automatically promoted node ps2:inst6|clk_div[8] 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node ps2:inst6|clk_div[8]~22
        Info (176357): Destination node ps2:inst6|ps2_clk_in
Info (176353): Automatically promoted node DE0_D5M:inst|Reset_Delay:u2|oRST_0 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|RD_MASK[1]~6
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]~43
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rWR1_ADDR[10]~46
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]~46
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rRD2_ADDR[13]~47
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]~46
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rWR2_ADDR[18]~47
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]~43
        Info (176357): Destination node DE0_D5M:inst|Sdram_Control_4Port:u7|rRD1_ADDR[9]~46
        Info (176357): Destination node DE0_D5M:inst|Reset_Delay:u2|oRST_0~2
Info (176353): Automatically promoted node DE0_D5M:inst|Reset_Delay:u2|oRST_1 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
    Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
        Info (176357): Destination node GPIO_1[14]~output
        Info (176357): Destination node DE0_D5M:inst|Reset_Delay:u2|oRST_1~1
Info (176353): Automatically promoted node ps2:inst6|Equal3~2 
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
Info (176233): Starting register packing
Info (176235): Finished register packing
    Extra Info (176219): No registers were packed into other blocks
Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
    Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)
        Info (176212): I/O standards used: 3.3-V LVTTL.
Info (176215): I/O bank details before I/O pin placement
    Info (176214): Statistics of I/O banks
        Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 27 total pin(s) used --  6 pins available
        Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  48 pins available
        Info (176213): I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 16 total pin(s) used --  30 pins available
        Info (176213): I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 20 total pin(s) used --  21 pins available
        Info (176213): I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used --  44 pins available
        Info (176213): I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 15 total pin(s) used --  28 pins available
        Info (176213): I/O bank number 7 does not use VREF pins and has 3.3V VCCIO pins. 28 total pin(s) used --  19 pins available
        Info (176213): I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used --  5 pins available
Warning (15064): PLL "DE0_D5M:inst|sdram_pll:u6|altpll:altpll_component|altpll_9ee2:auto_generated|pll1" output port clk[1] feeds output pin "DRAM_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
Warning (15709): Ignored I/O standard assignments to the following nodes
    Warning (15710): Ignored I/O standard assignment to node "AUD_ADCDAT"
    Warning (15710): Ignored I/O standard assignment to node "AUD_ADCLRCK"
    Warning (15710): Ignored I/O standard assignment to node "AUD_BCLK"
    Warning (15710): Ignored I/O standard assignment to node "AUD_DACDAT"
    Warning (15710): Ignored I/O standard assignment to node "AUD_DACLRCK"
    Warning (15710): Ignored I/O standard assignment to node "AUD_XCK"
    Warning (15710): Ignored I/O standard assignment to node "BUTTON[0]"
    Warning (15710): Ignored I/O standard assignment to node "BUTTON[1]"
    Warning (15710): Ignored I/O standard assignment to node "BUTTON[2]"
    Warning (15710): Ignored I/O standard assignment to node "CLOCK_50_2"
    Warning (15710): Ignored I/O standard assignment to node "DRAM_ADDR[12]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[0]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[10]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[11]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[12]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[13]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[14]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[15]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[16]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[17]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[18]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[19]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[1]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[20]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[21]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[2]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[3]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[4]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[5]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[6]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[7]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[8]"
    Warning (15710): Ignored I/O standard assignment to node "FL_ADDR[9]"
    Warning (15710): Ignored I/O standard assignment to node "FL_BYTE_N"
    Warning (15710): Ignored I/O standard assignment to node "FL_CE_N"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ15_AM1"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[0]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[10]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[11]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[12]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[13]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[14]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[1]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[2]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[3]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[4]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[5]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[6]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[7]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[8]"
    Warning (15710): Ignored I/O standard assignment to node "FL_DQ[9]"
    Warning (15710): Ignored I/O standard assignment to node "FL_OE_N"
    Warning (15710): Ignored I/O standard assignment to node "FL_RST_N"
    Warning (15710): Ignored I/O standard assignment to node "FL_RY"
    Warning (15710): Ignored I/O standard assignment to node "FL_WE_N"
    Warning (15710): Ignored I/O standard assignment to node "FL_WP_N"
    Warning (15710): Ignored I/O standard assignment to node "GPIO0_CLKIN[0]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO0_CLKIN[1]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO0_CLKOUT[0]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO0_CLKOUT[1]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO1_CLKIN[0]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO1_CLKIN[1]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO1_CLKOUT[0]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO1_CLKOUT[1]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO_1[32]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO_1[33]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO_1[34]"
    Warning (15710): Ignored I/O standard assignment to node "GPIO_1[35]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_DP"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[0]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[1]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[2]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[3]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[4]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[5]"
    Warning (15710): Ignored I/O standard assignment to node "HEX0_D[6]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_DP"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[0]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[1]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[2]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[3]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[4]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[5]"
    Warning (15710): Ignored I/O standard assignment to node "HEX1_D[6]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_DP"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[0]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[1]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[2]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[3]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[4]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[5]"
    Warning (15710): Ignored I/O standard assignment to node "HEX2_D[6]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_DP"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[0]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[1]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[2]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[3]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[4]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[5]"
    Warning (15710): Ignored I/O standard assignment to node "HEX3_D[6]"
    Warning (15710): Ignored I/O standard assignment to node "I2C_SCLK"
    Warning (15710): Ignored I/O standard assignment to node "I2C_SDAT"
    Warning (15710): Ignored I/O standard assignment to node "KEY[3]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_BLON"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[0]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[1]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[2]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[3]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[4]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[5]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[6]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_DATA[7]"
    Warning (15710): Ignored I/O standard assignment to node "LCD_EN"
    Warning (15710): Ignored I/O standard assignment to node "LCD_RS"
    Warning (15710): Ignored I/O standard assignment to node "LCD_RW"
    Warning (15710): Ignored I/O standard assignment to node "PS2_KBCLK"
    Warning (15710): Ignored I/O standard assignment to node "PS2_KBDAT"
    Warning (15710): Ignored I/O standard assignment to node "SD_CLK"
    Warning (15710): Ignored I/O standard assignment to node "SD_CMD"
    Warning (15710): Ignored I/O standard assignment to node "SD_DAT0"
    Warning (15710): Ignored I/O standard assignment to node "SD_DAT3"
    Warning (15710): Ignored I/O standard assignment to node "SD_WP_N"
    Warning (15710): Ignored I/O standard assignment to node "UART_CTS"
    Warning (15710): Ignored I/O standard assignment to node "UART_RTS"
    Warning (15710): Ignored I/O standard assignment to node "UART_RXD"
    Warning (15710): Ignored I/O standard assignment to node "UART_TXD"
Warning (15705): Ignored locations or region assignments to the following nodes
    Warning (15706): Node "CLOCK_50_2" is assigned to location or region, but does not exist in design
    Warning (15706): Node "DRAM_ADDR[12]" is assigned to location or region, but does not exist in design
    Warning (15706): Node "HEX0_DP" is assigned to location or region, but does not exist in design
    Warning (15706): Node "HEX1_DP" is assigned to location or region, but does not exist in design
    Warning (15706): Node "HEX2_DP" is assigned to location or region, but does not exist in design
    Warning (15706): Node "HEX3_DP" is assigned to location or region, but does not exist in design
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:10
Info (170189): Fitter placement preparation operations beginning
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01
Info (170191): Fitter placement operations beginning
Info (170137): Fitter placement was successful
Info (170192): Fitter placement operations ending: elapsed time is 00:00:03
Info (170193): Fitter routing operations beginning
Info (170195): Router estimated average interconnect usage is 5% of the available device resources
    Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X21_Y10 to location X30_Y19
Info (170194): Fitter routing operations ending: elapsed time is 00:00:04
Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
    Info (170201): Optimizations that may affect the design's routability were skipped
Info (11888): Total time spent on timing analysis during the Fitter is 2.11 seconds.
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:06
Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
Warning (169177): 66 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
    Info (169178): Pin GPIO_1_CLKIN[1] uses I/O standard 3.3-V LVTTL at AA11
    Info (169178): Pin SW[9] uses I/O standard 3.3-V LVTTL at D2
    Info (169178): Pin SW[8] uses I/O standard 3.3-V LVTTL at E4
    Info (169178): Pin DRAM_DQ[15] uses I/O standard 3.3-V LVTTL at F10
    Info (169178): Pin DRAM_DQ[14] uses I/O standard 3.3-V LVTTL at E10
    Info (169178): Pin DRAM_DQ[13] uses I/O standard 3.3-V LVTTL at A10
    Info (169178): Pin DRAM_DQ[12] uses I/O standard 3.3-V LVTTL at B10
    Info (169178): Pin DRAM_DQ[11] uses I/O standard 3.3-V LVTTL at C10
    Info (169178): Pin DRAM_DQ[10] uses I/O standard 3.3-V LVTTL at A9
    Info (169178): Pin DRAM_DQ[9] uses I/O standard 3.3-V LVTTL at B9
    Info (169178): Pin DRAM_DQ[8] uses I/O standard 3.3-V LVTTL at A8
    Info (169178): Pin DRAM_DQ[7] uses I/O standard 3.3-V LVTTL at F8
    Info (169178): Pin DRAM_DQ[6] uses I/O standard 3.3-V LVTTL at H9
    Info (169178): Pin DRAM_DQ[5] uses I/O standard 3.3-V LVTTL at G9
    Info (169178): Pin DRAM_DQ[4] uses I/O standard 3.3-V LVTTL at F9
    Info (169178): Pin DRAM_DQ[3] uses I/O standard 3.3-V LVTTL at E9
    Info (169178): Pin DRAM_DQ[2] uses I/O standard 3.3-V LVTTL at H10
    Info (169178): Pin DRAM_DQ[1] uses I/O standard 3.3-V LVTTL at G10
    Info (169178): Pin DRAM_DQ[0] uses I/O standard 3.3-V LVTTL at D10
    Info (169178): Pin GPIO_1[31] uses I/O standard 3.3-V LVTTL at V7
    Info (169178): Pin GPIO_1[30] uses I/O standard 3.3-V LVTTL at V6
    Info (169178): Pin GPIO_1[29] uses I/O standard 3.3-V LVTTL at U8
    Info (169178): Pin GPIO_1[28] uses I/O standard 3.3-V LVTTL at Y7
    Info (169178): Pin GPIO_1[27] uses I/O standard 3.3-V LVTTL at T9
    Info (169178): Pin GPIO_1[26] uses I/O standard 3.3-V LVTTL at U9
    Info (169178): Pin GPIO_1[25] uses I/O standard 3.3-V LVTTL at T10
    Info (169178): Pin GPIO_1[24] uses I/O standard 3.3-V LVTTL at U10
    Info (169178): Pin GPIO_1[23] uses I/O standard 3.3-V LVTTL at R12
    Info (169178): Pin GPIO_1[22] uses I/O standard 3.3-V LVTTL at R11
    Info (169178): Pin GPIO_1[21] uses I/O standard 3.3-V LVTTL at T12
    Info (169178): Pin GPIO_1[20] uses I/O standard 3.3-V LVTTL at U12
    Info (169178): Pin GPIO_1[19] uses I/O standard 3.3-V LVTTL at R14
    Info (169178): Pin GPIO_1[18] uses I/O standard 3.3-V LVTTL at T14
    Info (169178): Pin GPIO_1[17] uses I/O standard 3.3-V LVTTL at AB7
    Info (169178): Pin GPIO_1[16] uses I/O standard 3.3-V LVTTL at AA7
    Info (169178): Pin GPIO_1[15] uses I/O standard 3.3-V LVTTL at AA9
    Info (169178): Pin GPIO_1[14] uses I/O standard 3.3-V LVTTL at AB9
    Info (169178): Pin GPIO_1[13] uses I/O standard 3.3-V LVTTL at V15
    Info (169178): Pin GPIO_1[12] uses I/O standard 3.3-V LVTTL at W15
    Info (169178): Pin GPIO_1[11] uses I/O standard 3.3-V LVTTL at T15
    Info (169178): Pin GPIO_1[10] uses I/O standard 3.3-V LVTTL at U15
    Info (169178): Pin GPIO_1[9] uses I/O standard 3.3-V LVTTL at W17
    Info (169178): Pin GPIO_1[8] uses I/O standard 3.3-V LVTTL at Y17
    Info (169178): Pin GPIO_1[7] uses I/O standard 3.3-V LVTTL at AB17
    Info (169178): Pin GPIO_1[6] uses I/O standard 3.3-V LVTTL at AA17
    Info (169178): Pin GPIO_1[5] uses I/O standard 3.3-V LVTTL at AA18
    Info (169178): Pin GPIO_1[4] uses I/O standard 3.3-V LVTTL at AB18
    Info (169178): Pin GPIO_1[3] uses I/O standard 3.3-V LVTTL at AB19
    Info (169178): Pin GPIO_1[2] uses I/O standard 3.3-V LVTTL at AA19
    Info (169178): Pin GPIO_1[1] uses I/O standard 3.3-V LVTTL at AB20
    Info (169178): Pin GPIO_1[0] uses I/O standard 3.3-V LVTTL at AA20
    Info (169178): Pin PS2_DAT uses I/O standard 3.3-V LVTTL at P21
    Info (169178): Pin PS2_CLK uses I/O standard 3.3-V LVTTL at P22
    Info (169178): Pin SW[4] uses I/O standard 3.3-V LVTTL at G5
    Info (169178): Pin SW[5] uses I/O standard 3.3-V LVTTL at J7
    Info (169178): Pin CLOCK_50 uses I/O standard 3.3-V LVTTL at G21
    Info (169178): Pin KEY[0] uses I/O standard 3.3-V LVTTL at H2
    Info (169178): Pin SW[7] uses I/O standard 3.3-V LVTTL at E3
    Info (169178): Pin SW[6] uses I/O standard 3.3-V LVTTL at H7
    Info (169178): Pin SW[3] uses I/O standard 3.3-V LVTTL at G4
    Info (169178): Pin SW[2] uses I/O standard 3.3-V LVTTL at H6
    Info (169178): Pin SW[1] uses I/O standard 3.3-V LVTTL at H5
    Info (169178): Pin SW[0] uses I/O standard 3.3-V LVTTL at J6
    Info (169178): Pin KEY[1] uses I/O standard 3.3-V LVTTL at G3
    Info (169178): Pin GPIO_1_CLKIN[0] uses I/O standard 3.3-V LVTTL at AB11
    Info (169178): Pin KEY[2] uses I/O standard 3.3-V LVTTL at F1
Warning (169064): Following 31 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
    Info (169065): Pin GPIO_1[31] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[30] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[29] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[28] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[27] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[26] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[25] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[24] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[23] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[22] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[21] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[20] has a permanently enabled output enable
    Info (169065): Pin GPIO_1[18] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[17] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[16] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[15] has a permanently enabled output enable
    Info (169065): Pin GPIO_1[14] has a permanently enabled output enable
    Info (169065): Pin GPIO_1[13] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[12] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[11] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[10] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[9] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[8] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[7] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[6] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[5] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[4] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[3] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[2] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[1] has a permanently disabled output enable
    Info (169065): Pin GPIO_1[0] has a permanently disabled output enable
Info (144001): Generated suppressed messages file //icnas3.cc.ic.ac.uk/mg3115/EIE1 FPGA/Sobel Filter Catapult/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.fit.smsg
Info: Quartus II 64-Bit Fitter was successful. 0 errors, 149 warnings
    Info: Peak virtual memory: 1193 megabytes
    Info: Processing ended: Tue Mar 08 16:24:34 2016
    Info: Elapsed time: 00:00:39
    Info: Total CPU time (on all processors): 00:00:27


+----------------------------+
; Fitter Suppressed Messages ;
+----------------------------+
The suppressed messages can be found in //icnas3.cc.ic.ac.uk/mg3115/EIE1 FPGA/Sobel Filter Catapult/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.fit.smsg.