summaryrefslogtreecommitdiffstats
path: root/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/TOP_DE0_CAMERA_MOUSE.bdf
blob: 7e163070045e3ea66e7a1892445aae6762c7179a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 424 88 592 104)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "CLOCK_50" (rect 5 0 60 12)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 288 232 352 248))
)
(pin
	(input)
	(rect 512 120 680 136)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "SW[9..0]" (rect 5 0 48 12)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 448 136 504 152))
)
(pin
	(input)
	(rect 480 136 704 152)
	(text "INPUT" (rect 180 6 208 16)(font "Arial" (font_size 6)))
	(text "GPIO_1_CLKIN[1..0]" (rect 6 4 109 16)(font "Arial" ))
	(pt 224 8)
	(drawing
		(line (pt 139 4)(pt 164 4))
		(line (pt 139 12)(pt 164 12))
		(line (pt 168 8)(pt 223 8))
		(line (pt 139 4)(pt 139 12))
		(line (pt 164 12)(pt 168 8))
		(line (pt 164 4)(pt 168 8))
	)
	(flipx)
	(text "VCC" (rect 183 -1 203 9)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 664 192 720 208))
)
(pin
	(input)
	(rect 424 104 592 120)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "KEY[2..0]" (rect 5 0 53 12)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 336 344 392 360))
)
(pin
	(output)
	(rect 504 24 680 40)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "LEDG[9..0]" (rect 5 0 60 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 96 168 160 184))
)
(pin
	(output)
	(rect 1144 200 1320 216)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_LDQM" (rect 103 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1008 296 1072 312))
)
(pin
	(output)
	(rect 1144 216 1320 232)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_UDQM" (rect 101 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 192 1416 208))
)
(pin
	(output)
	(rect 1144 312 1320 328)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_BA_1" (rect 107 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 288 1416 304))
)
(pin
	(output)
	(rect 1144 296 1320 312)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_BA_0" (rect 107 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1008 264 1072 280))
)
(pin
	(output)
	(rect 1144 248 1320 264)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CAS_N" (rect 96 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 224 1424 240))
)
(pin
	(output)
	(rect 1144 344 1320 360)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CKE" (rect 110 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 320 1424 336))
)
(pin
	(output)
	(rect 1144 280 1320 296)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CS_N" (rect 103 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 256 1416 272))
)
(pin
	(output)
	(rect 1144 264 1320 280)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_RAS_N" (rect 96 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 240 1416 256))
)
(pin
	(output)
	(rect 1144 232 1320 248)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_WE_N" (rect 101 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1360 208 1416 224))
)
(pin
	(output)
	(rect 1144 328 1320 344)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CLK" (rect 111 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 96 688 160 704))
)
(pin
	(output)
	(rect 736 1272 912 1288)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_CLK" (rect 37 0 86 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 912 1288 968 1304))
)
(pin
	(output)
	(rect 736 1224 912 1240)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_HS" (rect 43 0 86 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 616 1240 672 1256))
)
(pin
	(output)
	(rect 736 1240 912 1256)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_VS" (rect 44 0 86 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 624 1256 680 1272))
)
(pin
	(output)
	(rect 736 1176 912 1192)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_R[3..0]" (rect 5 0 67 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 648 1152 704 1168))
)
(pin
	(output)
	(rect 736 1192 912 1208)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_G[3..0]" (rect 5 0 67 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 624 1200 680 1216))
)
(pin
	(output)
	(rect 736 1208 912 1224)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_B[3..0]" (rect 5 0 66 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 624 1216 680 1232))
)
(pin
	(output)
	(rect 1144 184 1353 200)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_ADDR[11..0] " (rect 98 0 203 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1296 144 1360 160))
)
(pin
	(output)
	(rect 504 -64 680 -48)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "HEX3[6..0]" (rect 5 0 58 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 360 -72 424 -56))
)
(pin
	(output)
	(rect 504 -48 680 -32)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "HEX2[6..0]" (rect 5 0 58 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 416 32 480 48))
)
(pin
	(output)
	(rect 504 -32 680 -16)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "HEX1[6..0]" (rect 5 0 58 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 208 56 272 72))
)
(pin
	(output)
	(rect 504 -16 680 0)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "HEX0[6..0]" (rect 5 0 58 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 552 32 616 48))
)
(pin
	(output)
	(rect 1144 504 1354 520)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "GPIO_1_CLKOUT[1..0]" (rect 90 0 204 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1416 504 1472 520))
)
(pin
	(bidir)
	(rect 1144 168 1328 184)
	(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "DRAM_DQ[15..0] " (rect 90 0 179 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 56 4)(pt 78 4))
		(line (pt 0 8)(pt 52 8))
		(line (pt 56 12)(pt 78 12))
		(line (pt 78 4)(pt 82 8))
		(line (pt 78 12)(pt 82 8))
		(line (pt 56 4)(pt 52 8))
		(line (pt 52 8)(pt 56 12))
	)
	(text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 1448 96 1512 112))
)
(pin
	(bidir)
	(rect 376 576 552 592)
	(text "BIDIR" (rect 151 6 175 16)(font "Arial" (font_size 6)))
	(text "PS2_DAT" (rect 5 4 52 16)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 120 12)(pt 98 12))
		(line (pt 176 8)(pt 124 8))
		(line (pt 120 4)(pt 98 4))
		(line (pt 98 12)(pt 94 8))
		(line (pt 98 4)(pt 94 8))
		(line (pt 120 12)(pt 124 8))
		(line (pt 124 8)(pt 120 4))
	)
	(rotate180)
	(text "VCC" (rect 152 -1 172 9)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 120 504 176 520))
)
(pin
	(bidir)
	(rect 376 600 552 616)
	(text "BIDIR" (rect 151 6 175 16)(font "Arial" (font_size 6)))
	(text "PS2_CLK" (rect 5 4 52 16)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 120 12)(pt 98 12))
		(line (pt 176 8)(pt 124 8))
		(line (pt 120 4)(pt 98 4))
		(line (pt 98 12)(pt 94 8))
		(line (pt 98 4)(pt 94 8))
		(line (pt 120 12)(pt 124 8))
		(line (pt 124 8)(pt 120 4))
	)
	(rotate180)
	(text "VCC" (rect 152 -1 172 9)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 504 632 560 648))
)
(pin
	(bidir)
	(rect 1144 520 1320 536)
	(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "GPIO_1[31..0]" (rect 90 0 160 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 56 4)(pt 78 4))
		(line (pt 0 8)(pt 52 8))
		(line (pt 56 12)(pt 78 12))
		(line (pt 78 4)(pt 82 8))
		(line (pt 78 12)(pt 82 8))
		(line (pt 56 4)(pt 52 8))
		(line (pt 52 8)(pt 56 12))
	)
	(text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 1368 584 1424 600))
)
(symbol
	(rect 992 952 1024 984)
	(text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6)))
	(text "inst5" (rect 3 21 26 33)(font "Arial" )(invisible))
	(port
		(pt 16 0)
		(output)
		(text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
		(text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
		(line (pt 16 8)(pt 16 0))
	)
	(drawing
		(line (pt 8 8)(pt 16 16))
		(line (pt 16 16)(pt 24 8))
		(line (pt 8 8)(pt 24 8))
	)
)
(symbol
	(rect 1496 944 1528 960)
	(text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6)))
	(text "inst4" (rect 3 5 26 17)(font "Arial" )(invisible))
	(port
		(pt 16 16)
		(output)
		(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
		(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
		(line (pt 16 16)(pt 16 8))
	)
	(drawing
		(line (pt 8 8)(pt 24 8))
	)
)
(symbol
	(rect 760 704 968 944)
	(text "ps2" (rect 5 0 22 12)(font "Arial" ))
	(text "inst6" (rect 8 224 31 236)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "iSTART" (rect 0 0 36 12)(font "Arial" ))
		(text "iSTART" (rect 21 27 57 39)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "iRST_n" (rect 0 0 35 12)(font "Arial" ))
		(text "iRST_n" (rect 21 43 56 55)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "iCLK_50" (rect 0 0 41 12)(font "Arial" ))
		(text "iCLK_50" (rect 21 59 62 71)(font "Arial" ))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 208 64)
		(output)
		(text "oLEFBUT" (rect 0 0 47 12)(font "Arial" ))
		(text "oLEFBUT" (rect 148 59 195 71)(font "Arial" ))
		(line (pt 208 64)(pt 192 64))
	)
	(port
		(pt 208 80)
		(output)
		(text "oRIGBUT" (rect 0 0 47 12)(font "Arial" ))
		(text "oRIGBUT" (rect 148 75 195 87)(font "Arial" ))
		(line (pt 208 80)(pt 192 80))
	)
	(port
		(pt 208 96)
		(output)
		(text "oMIDBUT" (rect 0 0 47 12)(font "Arial" ))
		(text "oMIDBUT" (rect 148 91 195 103)(font "Arial" ))
		(line (pt 208 96)(pt 192 96))
	)
	(port
		(pt 208 112)
		(output)
		(text "oX[7..0]" (rect 0 0 37 12)(font "Arial" ))
		(text "oX[7..0]" (rect 156 107 193 119)(font "Arial" ))
		(line (pt 208 112)(pt 192 112)(line_width 3))
	)
	(port
		(pt 208 128)
		(output)
		(text "oY[7..0]" (rect 0 0 40 12)(font "Arial" ))
		(text "oY[7..0]" (rect 154 123 194 135)(font "Arial" ))
		(line (pt 208 128)(pt 192 128)(line_width 3))
	)
	(port
		(pt 208 144)
		(output)
		(text "oX_MOV1[6..0]" (rect 0 0 73 12)(font "Arial" ))
		(text "oX_MOV1[6..0]" (rect 126 139 199 151)(font "Arial" ))
		(line (pt 208 144)(pt 192 144)(line_width 3))
	)
	(port
		(pt 208 160)
		(output)
		(text "oX_MOV2[6..0]" (rect 0 0 73 12)(font "Arial" ))
		(text "oX_MOV2[6..0]" (rect 126 155 199 167)(font "Arial" ))
		(line (pt 208 160)(pt 192 160)(line_width 3))
	)
	(port
		(pt 208 176)
		(output)
		(text "oY_MOV1[6..0]" (rect 0 0 75 12)(font "Arial" ))
		(text "oY_MOV1[6..0]" (rect 124 171 199 183)(font "Arial" ))
		(line (pt 208 176)(pt 192 176)(line_width 3))
	)
	(port
		(pt 208 192)
		(output)
		(text "oY_MOV2[6..0]" (rect 0 0 75 12)(font "Arial" ))
		(text "oY_MOV2[6..0]" (rect 124 187 199 199)(font "Arial" ))
		(line (pt 208 192)(pt 192 192)(line_width 3))
	)
	(port
		(pt 208 32)
		(bidir)
		(text "PS2_CLK" (rect 0 0 47 12)(font "Arial" ))
		(text "PS2_CLK" (rect 145 27 192 39)(font "Arial" ))
		(line (pt 208 32)(pt 192 32))
	)
	(port
		(pt 208 48)
		(bidir)
		(text "PS2_DAT" (rect 0 0 47 12)(font "Arial" ))
		(text "PS2_DAT" (rect 144 43 191 55)(font "Arial" ))
		(line (pt 208 48)(pt 192 48))
	)
	(parameter
		"enable_byte"
		"011110100"
		""
		(type "PARAMETER_UNSIGNED_BIN")	)
	(parameter
		"listen"
		"00"
		""
		(type "PARAMETER_UNSIGNED_BIN")	)
	(parameter
		"pullclk"
		"01"
		""
		(type "PARAMETER_UNSIGNED_BIN")	)
	(parameter
		"pulldat"
		"10"
		""
		(type "PARAMETER_UNSIGNED_BIN")	)
	(parameter
		"trans"
		"11"
		""
		(type "PARAMETER_UNSIGNED_BIN")	)
	(drawing
		(rectangle (rect 16 16 192 224))
	)
	(annotation_block (parameter)(rect 736 616 960 704))
)
(symbol
	(rect 2304 1056 2448 1168)
	(text "vga_mux" (rect 48 0 108 16)(font "Arial" (font_size 10)))
	(text "inst10" (rect 8 96 37 108)(font "Arial" ))
	(port
		(pt 0 40)
		(input)
		(text "data3x[29..0]" (rect 0 0 74 14)(font "Arial" (font_size 8)))
		(text "data3x[29..0]" (rect 4 26 78 40)(font "Arial" (font_size 8)))
		(line (pt 0 40)(pt 64 40)(line_width 3))
	)
	(port
		(pt 0 56)
		(input)
		(text "data2x[29..0]" (rect 0 0 74 14)(font "Arial" (font_size 8)))
		(text "data2x[29..0]" (rect 4 42 78 56)(font "Arial" (font_size 8)))
		(line (pt 0 56)(pt 64 56)(line_width 3))
	)
	(port
		(pt 0 72)
		(input)
		(text "data1x[29..0]" (rect 0 0 74 14)(font "Arial" (font_size 8)))
		(text "data1x[29..0]" (rect 4 58 78 72)(font "Arial" (font_size 8)))
		(line (pt 0 72)(pt 64 72)(line_width 3))
	)
	(port
		(pt 0 88)
		(input)
		(text "data0x[29..0]" (rect 0 0 74 14)(font "Arial" (font_size 8)))
		(text "data0x[29..0]" (rect 4 74 78 88)(font "Arial" (font_size 8)))
		(line (pt 0 88)(pt 64 88)(line_width 3))
	)
	(port
		(pt 72 112)
		(input)
		(text "sel[1..0]" (rect 0 0 14 44)(font "Arial" (font_size 8))(vertical))
		(text "sel[1..0]" (rect 65 59 79 103)(font "Arial" (font_size 8))(vertical))
		(line (pt 72 112)(pt 72 100)(line_width 3))
	)
	(port
		(pt 144 64)
		(output)
		(text "result[29..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
		(text "result[29..0]" (rect 84 50 151 64)(font "Arial" (font_size 8)))
		(line (pt 144 64)(pt 80 64)(line_width 3))
	)
	(drawing
		(line (pt 64 24)(pt 64 104))
		(line (pt 64 24)(pt 80 32))
		(line (pt 64 104)(pt 80 96))
		(line (pt 80 32)(pt 80 96))
		(line (pt 0 0)(pt 146 0))
		(line (pt 146 0)(pt 146 114))
		(line (pt 0 114)(pt 146 114))
		(line (pt 0 0)(pt 0 114))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
		(line (pt 0 0)(pt 0 0))
	)
)
(symbol
	(rect 760 64 1048 560)
	(text "DE0_D5M" (rect 5 0 54 12)(font "Arial" ))
	(text "inst" (rect 8 480 25 492)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "CLOCK_50" (rect 0 0 55 12)(font "Arial" ))
		(text "CLOCK_50" (rect 21 27 76 39)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "KEY[2..0]" (rect 0 0 48 12)(font "Arial" ))
		(text "KEY[2..0]" (rect 21 43 69 55)(font "Arial" ))
		(line (pt 0 48)(pt 16 48)(line_width 3))
	)
	(port
		(pt 0 64)
		(input)
		(text "SW[9..0]" (rect 0 0 43 12)(font "Arial" ))
		(text "SW[9..0]" (rect 21 59 64 71)(font "Arial" ))
		(line (pt 0 64)(pt 16 64)(line_width 3))
	)
	(port
		(pt 0 80)
		(input)
		(text "GPIO_1_CLKIN[1..0]" (rect 0 0 103 12)(font "Arial" ))
		(text "GPIO_1_CLKIN[1..0]" (rect 21 75 124 87)(font "Arial" ))
		(line (pt 0 80)(pt 16 80)(line_width 3))
	)
	(port
		(pt 288 32)
		(output)
		(text "LEDG[9..0]" (rect 0 0 55 12)(font "Arial" ))
		(text "LEDG[9..0]" (rect 221 27 276 39)(font "Arial" ))
		(line (pt 288 32)(pt 272 32)(line_width 3))
	)
	(port
		(pt 288 48)
		(output)
		(text "HEX0[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX0[6..0]" (rect 223 43 276 55)(font "Arial" ))
		(line (pt 288 48)(pt 272 48)(line_width 3))
	)
	(port
		(pt 288 64)
		(output)
		(text "HEX1[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX1[6..0]" (rect 223 59 276 71)(font "Arial" ))
		(line (pt 288 64)(pt 272 64)(line_width 3))
	)
	(port
		(pt 288 80)
		(output)
		(text "HEX2[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX2[6..0]" (rect 223 75 276 87)(font "Arial" ))
		(line (pt 288 80)(pt 272 80)(line_width 3))
	)
	(port
		(pt 288 96)
		(output)
		(text "HEX3[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX3[6..0]" (rect 223 91 276 103)(font "Arial" ))
		(line (pt 288 96)(pt 272 96)(line_width 3))
	)
	(port
		(pt 288 128)
		(output)
		(text "DRAM_ADDR[11..0]" (rect 0 0 101 12)(font "Arial" ))
		(text "DRAM_ADDR[11..0]" (rect 182 123 283 135)(font "Arial" ))
		(line (pt 288 128)(pt 272 128)(line_width 3))
	)
	(port
		(pt 288 144)
		(output)
		(text "DRAM_LDQM" (rect 0 0 68 12)(font "Arial" ))
		(text "DRAM_LDQM" (rect 210 139 278 151)(font "Arial" ))
		(line (pt 288 144)(pt 272 144))
	)
	(port
		(pt 288 160)
		(output)
		(text "DRAM_UDQM" (rect 0 0 70 12)(font "Arial" ))
		(text "DRAM_UDQM" (rect 208 155 278 167)(font "Arial" ))
		(line (pt 288 160)(pt 272 160))
	)
	(port
		(pt 288 176)
		(output)
		(text "DRAM_WE_N" (rect 0 0 69 12)(font "Arial" ))
		(text "DRAM_WE_N" (rect 209 171 278 183)(font "Arial" ))
		(line (pt 288 176)(pt 272 176))
	)
	(port
		(pt 288 192)
		(output)
		(text "DRAM_CAS_N" (rect 0 0 74 12)(font "Arial" ))
		(text "DRAM_CAS_N" (rect 205 187 279 199)(font "Arial" ))
		(line (pt 288 192)(pt 272 192))
	)
	(port
		(pt 288 208)
		(output)
		(text "DRAM_RAS_N" (rect 0 0 74 12)(font "Arial" ))
		(text "DRAM_RAS_N" (rect 205 203 279 215)(font "Arial" ))
		(line (pt 288 208)(pt 272 208))
	)
	(port
		(pt 288 224)
		(output)
		(text "DRAM_CS_N" (rect 0 0 67 12)(font "Arial" ))
		(text "DRAM_CS_N" (rect 211 219 278 231)(font "Arial" ))
		(line (pt 288 224)(pt 272 224))
	)
	(port
		(pt 288 240)
		(output)
		(text "DRAM_BA_0" (rect 0 0 63 12)(font "Arial" ))
		(text "DRAM_BA_0" (rect 214 235 277 247)(font "Arial" ))
		(line (pt 288 240)(pt 272 240))
	)
	(port
		(pt 288 256)
		(output)
		(text "DRAM_BA_1" (rect 0 0 63 12)(font "Arial" ))
		(text "DRAM_BA_1" (rect 214 251 277 263)(font "Arial" ))
		(line (pt 288 256)(pt 272 256))
	)
	(port
		(pt 288 272)
		(output)
		(text "DRAM_CLK" (rect 0 0 59 12)(font "Arial" ))
		(text "DRAM_CLK" (rect 218 267 277 279)(font "Arial" ))
		(line (pt 288 272)(pt 272 272))
	)
	(port
		(pt 288 288)
		(output)
		(text "DRAM_CKE" (rect 0 0 60 12)(font "Arial" ))
		(text "DRAM_CKE" (rect 217 283 277 295)(font "Arial" ))
		(line (pt 288 288)(pt 272 288))
	)
	(port
		(pt 288 304)
		(output)
		(text "VGA_HS" (rect 0 0 43 12)(font "Arial" ))
		(text "VGA_HS" (rect 231 299 274 311)(font "Arial" ))
		(line (pt 288 304)(pt 272 304))
	)
	(port
		(pt 288 320)
		(output)
		(text "VGA_VS" (rect 0 0 42 12)(font "Arial" ))
		(text "VGA_VS" (rect 232 315 274 327)(font "Arial" ))
		(line (pt 288 320)(pt 272 320))
	)
	(port
		(pt 288 336)
		(output)
		(text "VGA_R[9..0]" (rect 0 0 62 12)(font "Arial" ))
		(text "VGA_R[9..0]" (rect 215 331 277 343)(font "Arial" ))
		(line (pt 288 336)(pt 272 336)(line_width 3))
	)
	(port
		(pt 288 352)
		(output)
		(text "VGA_G[9..0]" (rect 0 0 62 12)(font "Arial" ))
		(text "VGA_G[9..0]" (rect 215 347 277 359)(font "Arial" ))
		(line (pt 288 352)(pt 272 352)(line_width 3))
	)
	(port
		(pt 288 368)
		(output)
		(text "VGA_B[9..0]" (rect 0 0 61 12)(font "Arial" ))
		(text "VGA_B[9..0]" (rect 216 363 277 375)(font "Arial" ))
		(line (pt 288 368)(pt 272 368)(line_width 3))
	)
	(port
		(pt 288 384)
		(output)
		(text "VGA_CLK" (rect 0 0 49 12)(font "Arial" ))
		(text "VGA_CLK" (rect 226 379 275 391)(font "Arial" ))
		(line (pt 288 384)(pt 272 384))
	)
	(port
		(pt 288 400)
		(output)
		(text "VGA_X[11..0]" (rect 0 0 66 12)(font "Arial" ))
		(text "VGA_X[11..0]" (rect 212 395 278 407)(font "Arial" ))
		(line (pt 288 400)(pt 272 400)(line_width 3))
	)
	(port
		(pt 288 416)
		(output)
		(text "VGA_Y[11..0]" (rect 0 0 68 12)(font "Arial" ))
		(text "VGA_Y[11..0]" (rect 210 411 278 423)(font "Arial" ))
		(line (pt 288 416)(pt 272 416)(line_width 3))
	)
	(port
		(pt 288 432)
		(output)
		(text "VGA_ACTIVE" (rect 0 0 67 12)(font "Arial" ))
		(text "VGA_ACTIVE" (rect 211 427 278 439)(font "Arial" ))
		(line (pt 288 432)(pt 272 432))
	)
	(port
		(pt 288 448)
		(output)
		(text "GPIO_1_CLKOUT[1..0]" (rect 0 0 114 12)(font "Arial" ))
		(text "GPIO_1_CLKOUT[1..0]" (rect 171 443 285 455)(font "Arial" ))
		(line (pt 288 448)(pt 272 448)(line_width 3))
	)
	(port
		(pt 288 112)
		(bidir)
		(text "DRAM_DQ[15..0]" (rect 0 0 86 12)(font "Arial" ))
		(text "DRAM_DQ[15..0]" (rect 192 107 278 119)(font "Arial" ))
		(line (pt 288 112)(pt 272 112)(line_width 3))
	)
	(port
		(pt 288 464)
		(bidir)
		(text "GPIO_1[31..0]" (rect 0 0 70 12)(font "Arial" ))
		(text "GPIO_1[31..0]" (rect 212 459 282 471)(font "Arial" ))
		(line (pt 288 464)(pt 272 464)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 272 480))
	)
)
(symbol
	(rect 1800 536 1832 568)
	(text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6)))
	(text "inst7" (rect 3 21 26 33)(font "Arial" )(invisible))
	(port
		(pt 16 0)
		(output)
		(text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
		(text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
		(line (pt 16 8)(pt 16 0))
	)
	(drawing
		(line (pt 8 8)(pt 16 16))
		(line (pt 16 16)(pt 24 8))
		(line (pt 8 8)(pt 24 8))
	)
)
(symbol
	(rect 1672 848 1960 1024)
	(text "vga_mouse_square" (rect 5 0 101 12)(font "Arial" ))
	(text "vga_mouse_catapult_inst" (rect 8 160 134 172)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "vga_xy_rsc_z[19..0]" (rect 0 0 101 12)(font "Arial" ))
		(text "vga_xy_rsc_z[19..0]" (rect 21 27 122 39)(font "Arial" ))
		(line (pt 0 32)(pt 16 32)(line_width 3))
	)
	(port
		(pt 0 48)
		(input)
		(text "mouse_xy_rsc_z[19..0]" (rect 0 0 115 12)(font "Arial" ))
		(text "mouse_xy_rsc_z[19..0]" (rect 21 43 136 55)(font "Arial" ))
		(line (pt 0 48)(pt 16 48)(line_width 3))
	)
	(port
		(pt 0 64)
		(input)
		(text "cursor_size_rsc_z[7..0]" (rect 0 0 113 12)(font "Arial" ))
		(text "cursor_size_rsc_z[7..0]" (rect 21 59 134 71)(font "Arial" ))
		(line (pt 0 64)(pt 16 64)(line_width 3))
	)
	(port
		(pt 0 80)
		(input)
		(text "video_in_rsc_z[29..0]" (rect 0 0 105 12)(font "Arial" ))
		(text "video_in_rsc_z[29..0]" (rect 21 75 126 87)(font "Arial" ))
		(line (pt 0 80)(pt 16 80)(line_width 3))
	)
	(port
		(pt 0 96)
		(input)
		(text "clk" (rect 0 0 14 12)(font "Arial" ))
		(text "clk" (rect 21 91 35 103)(font "Arial" ))
		(line (pt 0 96)(pt 16 96))
	)
	(port
		(pt 0 112)
		(input)
		(text "en" (rect 0 0 11 12)(font "Arial" ))
		(text "en" (rect 21 107 32 119)(font "Arial" ))
		(line (pt 0 112)(pt 16 112))
	)
	(port
		(pt 0 128)
		(input)
		(text "arst_n" (rect 0 0 30 12)(font "Arial" ))
		(text "arst_n" (rect 21 123 51 135)(font "Arial" ))
		(line (pt 0 128)(pt 16 128))
	)
	(port
		(pt 288 32)
		(output)
		(text "video_out_rsc_z[29..0]" (rect 0 0 112 12)(font "Arial" ))
		(text "video_out_rsc_z[29..0]" (rect 173 27 285 39)(font "Arial" ))
		(line (pt 288 32)(pt 272 32)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 272 160))
	)
)
(symbol
	(rect 1528 1128 1560 1144)
	(text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6)))
	(text "inst8" (rect 3 5 26 17)(font "Arial" )(invisible))
	(port
		(pt 16 16)
		(output)
		(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
		(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
		(line (pt 16 16)(pt 16 8))
	)
	(drawing
		(line (pt 8 8)(pt 24 8))
	)
)
(symbol
	(rect 1896 456 2040 560)
	(text "ALTSHIFT_TAPS" (rect 23 1 141 17)(font "Arial" (font_size 10)))
	(text "fifo_inst2" (rect 8 88 55 100)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "shiftin[WIDTH-1..0]" (rect 0 0 96 12)(font "Arial" ))
		(text "shiftin[]" (rect 21 25 62 39)(font "Arial" (font_size 8)))
		(line (pt 0 32)(pt 16 32)(line_width 3))
	)
	(port
		(pt 0 64)
		(input)
		(text "clken" (rect 0 0 25 12)(font "Arial" ))
		(text "clken" (rect 21 57 50 71)(font "Arial" (font_size 8)))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 0 48)
		(input)
		(text "clock" (rect 0 0 25 12)(font "Arial" ))
		(text "clock" (rect 21 41 50 55)(font "Arial" (font_size 8))(invisible))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 80)
		(input)
		(text "aclr" (rect 24 72 45 86)(font "Arial" (font_size 8)))
		(text "aclr" (rect 24 72 45 86)(font "Arial" (font_size 8)))
		(line (pt 0 80)(pt 16 80))
	)
	(port
		(pt 144 32)
		(output)
		(text "shiftout[WIDTH-1..0]" (rect -208 0 -105 12)(font "Arial" ))
		(text "shiftout[]" (rect 82 25 131 39)(font "Arial" (font_size 8)))
		(line (pt 144 32)(pt 128 32)(line_width 3))
	)
	(port
		(pt 144 48)
		(output)
		(text "taps[(WIDTH*NUMBER_OF_TAPS) -1..0]" (rect -208 0 -4 12)(font "Arial" ))
		(text "taps[]" (rect 97 41 128 55)(font "Arial" (font_size 8)))
		(line (pt 144 48)(pt 128 48)(line_width 3))
	)
	(parameter
		"NUMBER_OF_TAPS"
		"3"
		"Number of output taps, each separated by TAP_DISTANCE clock cycles"
	)
	(parameter
		"TAP_DISTANCE"
		"800"
		"Number of shift positions between output taps"
	)
	(parameter
		"WIDTH"
		"30"
		"Number of bits for the shiftin input"
	)
	(drawing
		(line (pt 16 56)(pt 24 48))
		(line (pt 16 40)(pt 24 48))
		(rectangle (rect 16 16 128 88))
	)
	(annotation_block (parameter)(rect 1880 400 2049 456))
)
(symbol
	(rect 1704 1080 1944 1192)
	(text "sobel" (rect 5 0 30 12)(font "Arial" ))
	(text "inst1" (rect 8 96 31 108)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "vin_rsc_z[89..0]" (rect 0 0 79 12)(font "Arial" ))
		(text "vin_rsc_z[89..0]" (rect 21 27 100 39)(font "Arial" ))
		(line (pt 0 32)(pt 16 32)(line_width 3))
	)
	(port
		(pt 0 48)
		(input)
		(text "clk" (rect 0 0 14 12)(font "Arial" ))
		(text "clk" (rect 21 43 35 55)(font "Arial" ))
		(line (pt 0 48)(pt 16 48))
	)
	(port
		(pt 0 64)
		(input)
		(text "en" (rect 0 0 11 12)(font "Arial" ))
		(text "en" (rect 21 59 32 71)(font "Arial" ))
		(line (pt 0 64)(pt 16 64))
	)
	(port
		(pt 0 80)
		(input)
		(text "arst_n" (rect 0 0 30 12)(font "Arial" ))
		(text "arst_n" (rect 21 75 51 87)(font "Arial" ))
		(line (pt 0 80)(pt 16 80))
	)
	(port
		(pt 240 32)
		(output)
		(text "vout_rsc_z[29..0]" (rect 0 0 86 12)(font "Arial" ))
		(text "vout_rsc_z[29..0]" (rect 147 27 233 39)(font "Arial" ))
		(line (pt 240 32)(pt 224 32)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 224 96))
	)
)
(connector
	(pt 1048 96)
	(pt 1080 96)
	(bus)
)
(connector
	(pt 1048 112)
	(pt 1096 112)
	(bus)
)
(connector
	(pt 1112 128)
	(pt 1048 128)
	(bus)
)
(connector
	(pt 1128 144)
	(pt 1048 144)
	(bus)
)
(connector
	(pt 1144 160)
	(pt 1048 160)
	(bus)
)
(connector
	(pt 1048 176)
	(pt 1144 176)
	(bus)
)
(connector
	(pt 1048 192)
	(pt 1144 192)
	(bus)
)
(connector
	(pt 1048 208)
	(pt 1144 208)
)
(connector
	(pt 1048 224)
	(pt 1144 224)
)
(connector
	(pt 1048 240)
	(pt 1144 240)
)
(connector
	(pt 1048 256)
	(pt 1144 256)
)
(connector
	(pt 1048 272)
	(pt 1144 272)
)
(connector
	(pt 1048 288)
	(pt 1144 288)
)
(connector
	(pt 1048 304)
	(pt 1144 304)
)
(connector
	(pt 1048 320)
	(pt 1144 320)
)
(connector
	(pt 1048 336)
	(pt 1144 336)
)
(connector
	(pt 1048 352)
	(pt 1144 352)
)
(connector
	(pt 1080 96)
	(pt 1080 32)
	(bus)
)
(connector
	(pt 1096 112)
	(pt 1096 -8)
	(bus)
)
(connector
	(pt 1112 128)
	(pt 1112 -24)
	(bus)
)
(connector
	(pt 1128 144)
	(pt 1128 -40)
	(bus)
)
(connector
	(pt 1144 160)
	(pt 1144 -56)
	(bus)
)
(connector
	(pt 656 736)
	(pt 656 728)
)
(connector
	(text "HEX1[6..0]" (rect 978 848 1031 860)(font "Arial" ))
	(pt 968 864)
	(pt 1096 864)
	(bus)
)
(connector
	(text "HEX2[6..0]" (rect 978 864 1031 876)(font "Arial" ))
	(pt 968 880)
	(pt 1096 880)
	(bus)
)
(connector
	(text "HEX3[6..0]" (rect 978 880 1031 892)(font "Arial" ))
	(pt 968 896)
	(pt 1096 896)
	(bus)
)
(connector
	(text "MOUSE_X[1..0]" (rect 1018 912 1094 924)(font "Arial" ))
	(pt 1008 928)
	(pt 1120 928)
	(bus)
)
(connector
	(text "MOUSE_Y[1..0]" (rect 1018 928 1097 940)(font "Arial" ))
	(pt 1008 944)
	(pt 1120 944)
	(bus)
)
(connector
	(text "KEY[1]" (rect 666 720 701 732)(font "Arial" ))
	(pt 656 736)
	(pt 760 736)
)
(connector
	(text "KEY[0]" (rect 666 736 701 748)(font "Arial" ))
	(pt 656 752)
	(pt 760 752)
)
(connector
	(pt 1008 928)
	(pt 1008 944)
	(bus)
)
(connector
	(pt 1008 944)
	(pt 1008 952)
	(bus)
)
(connector
	(text "PS2_CLK" (rect 986 720 1033 732)(font "Arial" ))
	(pt 968 736)
	(pt 1072 736)
)
(connector
	(text "PS2_DAT" (rect 978 736 1025 748)(font "Arial" ))
	(pt 968 752)
	(pt 1072 752)
)
(connector
	(text "HEX0[6..0]" (rect 978 832 1031 844)(font "Arial" ))
	(pt 968 848)
	(pt 1096 848)
	(bus)
)
(connector
	(text "LEDG[2]" (rect 978 752 1020 764)(font "Arial" ))
	(pt 968 768)
	(pt 1096 768)
)
(connector
	(text "VGA_CLK" (rect 1058 432 1107 444)(font "Arial" ))
	(pt 1048 448)
	(pt 1232 448)
)
(connector
	(pt 760 144)
	(pt 704 144)
	(bus)
)
(connector
	(text "oLEDG[9..0]" (rect 978 16 1039 28)(font "Arial" ))
	(pt 1080 32)
	(pt 968 32)
	(bus)
)
(connector
	(text "X[11..0]" (rect 1056 448 1093 460)(font "Arial" ))
	(pt 1048 464)
	(pt 1256 464)
	(bus)
)
(connector
	(text "Y[11..0]" (rect 1056 464 1096 476)(font "Arial" ))
	(pt 1048 480)
	(pt 1256 480)
	(bus)
)
(connector
	(pt 760 128)
	(pt 680 128)
	(bus)
)
(connector
	(text "CLOCK_50" (rect 666 752 721 764)(font "Arial" ))
	(pt 656 768)
	(pt 760 768)
)
(connector
	(pt 552 608)
	(pt 640 608)
)
(connector
	(pt 640 608)
	(pt 640 616)
)
(connector
	(pt 552 584)
	(pt 640 584)
)
(connector
	(text "CLOCK_50" (rect 602 80 657 92)(font "Arial" ))
	(pt 592 96)
	(pt 760 96)
)
(connector
	(text "oHEX0[6..0]" (rect 978 -24 1037 -12)(font "Arial" ))
	(pt 1096 -8)
	(pt 968 -8)
	(bus)
)
(connector
	(text "oHEX0[6..0]" (rect 978 -40 1037 -28)(font "Arial" ))
	(pt 1112 -24)
	(pt 968 -24)
	(bus)
)
(connector
	(text "oHEX0[6..0]" (rect 978 -56 1037 -44)(font "Arial" ))
	(pt 1128 -40)
	(pt 968 -40)
	(bus)
)
(connector
	(text "oHEX0[6..0]" (rect 978 -72 1037 -60)(font "Arial" ))
	(pt 1144 -56)
	(pt 968 -56)
	(bus)
)
(connector
	(text "KEY[2..0]" (rect 602 96 650 108)(font "Arial" ))
	(pt 592 112)
	(pt 760 112)
	(bus)
)
(connector
	(pt 2376 1168)
	(pt 2376 1216)
	(bus)
)
(connector
	(text "SW[5..4]" (rect 2306 1200 2349 1212)(font "Arial" ))
	(pt 2376 1216)
	(pt 2296 1216)
	(bus)
)
(connector
	(pt 1048 512)
	(pt 1144 512)
	(bus)
)
(connector
	(pt 1048 528)
	(pt 1144 528)
	(bus)
)
(connector
	(text "VGA_HS" (rect 1058 352 1101 364)(font "Arial" ))
	(pt 1048 368)
	(pt 1128 368)
)
(connector
	(text "VGA_VS" (rect 1058 368 1100 380)(font "Arial" ))
	(pt 1048 384)
	(pt 1128 384)
)
(connector
	(text "R[9..0],G[9..0],B[9..0]" (rect 2122 1128 2230 1140)(font "Arial" ))
	(pt 2304 1144)
	(pt 2112 1144)
	(bus)
)
(connector
	(text "VGA_MOUSE_OUT[29..0]" (rect 2130 1112 2257 1124)(font "Arial" ))
	(pt 2120 1128)
	(pt 2304 1128)
	(bus)
)
(connector
	(text "VGA_MUX_OUT[29..0]" (rect 2458 1104 2568 1116)(font "Arial" ))
	(pt 2448 1120)
	(pt 2600 1120)
	(bus)
)
(connector
	(text "VGA_MUX_OUT[29..26]" (rect 922 1168 1038 1180)(font "Arial" ))
	(pt 912 1184)
	(pt 1120 1184)
	(bus)
)
(connector
	(text "VGA_MUX_OUT[19..16]" (rect 922 1184 1038 1196)(font "Arial" ))
	(pt 912 1200)
	(pt 1120 1200)
	(bus)
)
(connector
	(text "VGA_MUX_OUT[9..6]" (rect 922 1200 1027 1212)(font "Arial" ))
	(pt 912 1216)
	(pt 1120 1216)
	(bus)
)
(connector
	(text "R[9..0]" (rect 1058 384 1092 396)(font "Arial" ))
	(pt 1048 400)
	(pt 1256 400)
	(bus)
)
(connector
	(text "G[9..0]" (rect 1058 400 1092 412)(font "Arial" ))
	(pt 1048 416)
	(pt 1256 416)
	(bus)
)
(connector
	(text "B[9..0]" (rect 1058 416 1091 428)(font "Arial" ))
	(pt 1048 432)
	(pt 1256 432)
	(bus)
)
(connector
	(text "LEDG[0]" (rect 978 768 1020 780)(font "Arial" ))
	(pt 968 784)
	(pt 1096 784)
)
(connector
	(text "LEDG[1]" (rect 978 784 1020 796)(font "Arial" ))
	(pt 968 800)
	(pt 1096 800)
)
(connector
	(text "MOUSE_Y[9..2]" (rect 978 800 1057 812)(font "Arial" ))
	(pt 968 816)
	(pt 1096 816)
	(bus)
)
(connector
	(text "MOUSE_X[9..2]" (rect 978 816 1054 828)(font "Arial" ))
	(pt 968 832)
	(pt 1096 832)
	(bus)
)
(connector
	(text "VGA_CLK" (rect 1842 488 1891 500)(font "Arial" ))
	(pt 1840 504)
	(pt 1896 504)
)
(connector
	(text "R[9..0],G[9..0],B[9..0]" (rect 1706 472 1814 484)(font "Arial" ))
	(pt 1896 488)
	(pt 1696 488)
	(bus)
)
(connector
	(pt 1816 536)
	(pt 1896 536)
)
(connector
	(text "VGA_ACTIVE" (rect 1634 504 1701 516)(font "Arial" ))
	(pt 1624 520)
	(pt 1896 520)
)
(connector
	(text "VGA_ACTIVE" (rect 1058 480 1125 492)(font "Arial" ))
	(pt 1048 496)
	(pt 1192 496)
)
(connector
	(text "RGB_TAP[59..30]" (rect 2202 1080 2289 1092)(font "Arial" ))
	(pt 2304 1096)
	(pt 2192 1096)
	(bus)
)
(connector
	(text "X[9..0],Y[9..0]" (rect 1474 864 1543 876)(font "Arial" ))
	(pt 1464 880)
	(pt 1672 880)
	(bus)
)
(connector
	(text "SW[7..0]" (rect 1474 896 1517 908)(font "Arial" ))
	(pt 1464 912)
	(pt 1672 912)
	(bus)
)
(connector
	(text "R[9..0],G[9..0],B[9..0]" (rect 1474 912 1582 924)(font "Arial" ))
	(pt 1464 928)
	(pt 1672 928)
	(bus)
)
(connector
	(text "VGA_CLK" (rect 1594 928 1643 940)(font "Arial" ))
	(pt 1584 944)
	(pt 1672 944)
)
(connector
	(text "MOUSE_X[9..0],MOUSE_Y[9..0]" (rect 1472 880 1631 892)(font "Arial" ))
	(pt 1464 896)
	(pt 1672 896)
	(bus)
)
(connector
	(pt 1512 960)
	(pt 1672 960)
)
(connector
	(text "KEY[0]" (rect 1618 960 1653 972)(font "Arial" ))
	(pt 1608 976)
	(pt 1672 976)
)
(connector
	(text "VGA_MOUSE_OUT[29..0]" (rect 2002 864 2129 876)(font "Arial" ))
	(pt 1960 880)
	(pt 2192 880)
	(bus)
)
(connector
	(text "<<__$DEF_ALIAS133>>" (rect 1954 1096 2072 1108)(font "Arial" )(invisible))
	(pt 2304 1112)
	(pt 1944 1112)
	(bus)
)
(connector
	(text "VGA_CLK" (rect 1626 1112 1675 1124)(font "Arial" ))
	(pt 1616 1128)
	(pt 1704 1128)
)
(connector
	(pt 1544 1144)
	(pt 1704 1144)
)
(connector
	(text "KEY[0]" (rect 1650 1144 1685 1156)(font "Arial" ))
	(pt 1640 1160)
	(pt 1704 1160)
)
(connector
	(text "RGB_TAP[89..0]" (rect 1602 1096 1683 1108)(font "Arial" ))
	(pt 1704 1112)
	(pt 1592 1112)
	(bus)
)
(connector
	(text "RGB_TAP[89..0]" (rect 2050 488 2131 500)(font "Arial" ))
	(pt 2040 504)
	(pt 2152 504)
	(bus)
)
(junction (pt 1008 944))
(text "MEMORY" (rect 1464 192 1517 206)(font "Arial" (font_size 8)))
(text "PS/2 MOUSE CONTROLLER" (rect 760 992 1078 1014)(font "Arial" (font_size 14)))
(text "PS/2 Interface" (rect 1088 736 1168 750)(font "Arial" (font_size 8)))
(text "Mouse Buttons" (rect 1112 768 1196 782)(font "Arial" (font_size 8)))
(text "Mouse XY Coordinates" (rect 1112 816 1242 830)(font "Arial" (font_size 8)))
(text "7-Segments Mouse XY Coordinates" (rect 1112 864 1314 878)(font "Arial" (font_size 8)))
(text "DIGITAL CAMERA" (rect 1416 488 1517 502)(font "Arial" (font_size 8)))
(text "Hardware Block generated by the High-Level Synthesis Tool" (rect 1568 816 2229 838)(font "Arial" (font_size 14)(bold)))
(text "Line Buffer" (rect 1912 376 2024 398)(font "Arial" (font_size 14)))
(text "RED     GREEN    BLUE" (rect 2368 392 2580 410)(font "Arial" (font_size 12)))
(text "RED     GREEN    BLUE" (rect 2368 584 2580 602)(font "Arial" (font_size 12)))
(text "RED     GREEN    BLUE" (rect 2368 536 2580 554)(font "Arial" (font_size 12)))
(text "RED     GREEN    BLUE" (rect 2368 488 2580 506)(font "Arial" (font_size 12)))
(text "RED     GREEN    BLUE" (rect 2368 440 2580 458)(font "Arial" (font_size 12)))
(text "149..120" (rect 2288 392 2347 408)(font "Arial" (font_size 10)(bold)))
(text "119..90" (rect 2296 440 2346 456)(font "Arial" (font_size 10)(bold)))
(text "89..60" (rect 2304 488 2346 504)(font "Arial" (font_size 10)(bold)))
(text "59..30" (rect 2304 536 2346 552)(font "Arial" (font_size 10)(bold)))
(text "29..0" (rect 2312 584 2346 600)(font "Arial" (font_size 10)(bold)))
(text "RGB_TAP: 10 bits/colour x 3 colours x 5 rows" (rect 2296 352 2670 370)(font "Arial" (font_size 11)(bold)))
(text "Current Row - 4" (rect 2560 584 2690 602)(font "Arial" (font_size 11)(bold)))
(text "Current Row - 3" (rect 2560 536 2690 554)(font "Arial" (font_size 11)(bold)))
(text "Current Row - 2" (rect 2560 488 2690 506)(font "Arial" (font_size 11)(bold)))
(text "Current Row - 1" (rect 2560 440 2690 458)(font "Arial" (font_size 11)(bold)))
(text "Current Row" (rect 2560 392 2666 410)(font "Arial" (font_size 11)(bold)))
(text "29..20            19..10             9..0" (rect 2368 616 2548 630)(font "Arial" (font_size 8)))
(line (pt 2416 616)(pt 2416 376))
(line (pt 2480 616)(pt 2480 376))
(line (pt 2352 616)(pt 2352 376)(color 0 0 0)(line_width 2))
(line (pt 2544 616)(pt 2544 376)(color 0 0 0)(line_width 2))
(line (pt 2352 376)(pt 2544 376)(color 0 0 0)(line_width 2))
(line (pt 2352 616)(pt 2544 616)(color 0 0 0)(line_width 2))
(line (pt 2352 568)(pt 2544 568)(color 0 0 0)(line_width 2))
(line (pt 2352 520)(pt 2544 520)(color 0 0 0)(line_width 2))
(line (pt 2352 472)(pt 2544 472)(color 0 0 0)(line_width 2))
(line (pt 2352 424)(pt 2544 424)(color 0 0 0)(line_width 2))