aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJörg Mische <bobbl@gmx.de>2018-10-01 14:00:21 +0200
committerJörg Mische <bobbl@gmx.de>2018-10-01 14:00:21 +0200
commitcb766a3757772b39c0f78094bb64b6c8d87e658d (patch)
treef7be520e413d1ce022b10740ca92e93662fd5034
parent0d573311ce081a1eb4f4bbed69a8f4b2de4d656a (diff)
downloadpicorv32-cb766a3757772b39c0f78094bb64b6c8d87e658d.tar.gz
picorv32-cb766a3757772b39c0f78094bb64b6c8d87e658d.zip
Fix PicoSoC firmware filename for simulation
-rw-r--r--picosoc/Makefile16
1 files changed, 8 insertions, 8 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
index 3f4ef1e..aee1195 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -1,11 +1,11 @@
# ---- iCE40 HX8K Breakout Board ----
-hx8ksim: hx8kdemo_tb.vvp firmware.hex
- vvp -N $<
+hx8ksim: hx8kdemo_tb.vvp hx8kdemo_fw.hex
+ vvp -N $< +firmware=hx8kdemo_fw.hex
-hx8ksynsim: hx8kdemo_syn_tb.vvp firmware.hex
- vvp -N $<
+hx8ksynsim: hx8kdemo_syn_tb.vvp hx8kdemo_fw.hex
+ vvp -N $< +firmware=hx8kdemo_fw.hex
hx8kdemo.blif: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -blif hx8kdemo.blif' $^
@@ -44,11 +44,11 @@ hx8kdemo_fw.bin: hx8kdemo_fw.elf
# ---- iCE40 IceBreaker Board ----
-icebsim: icebreaker_tb.vvp firmware.hex
- vvp -N $<
+icebsim: icebreaker_tb.vvp icebreaker_fw.hex
+ vvp -N $< +firmware=icebreaker_fw.hex
-icebsynsim: icebreaker_syn_tb.vvp firmware.hex
- vvp -N $<
+icebsynsim: icebreaker_syn_tb.vvp icebreaker_fw.hex
+ vvp -N $< +firmware=icebreaker_fw.hex
icebreaker.json: icebreaker.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
yosys -ql icebreaker.log -p 'synth_ice40 -top icebreaker -json icebreaker.json' $^