aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-03-02 12:52:06 +0100
committerClifford Wolf <clifford@clifford.at>2016-03-02 12:52:06 +0100
commite630bedda4f16d5f061f93879177a2d6b2a66d29 (patch)
treeddd1455704f4212a12ad517447bd674a319148f2 /Makefile
parentc4c477180e1ce829d231765e32e80db4bfc8b137 (diff)
parent714f7d9cfae594fe596522024dfdf4bc1c91f535 (diff)
downloadpicorv32-e630bedda4f16d5f061f93879177a2d6b2a66d29.tar.gz
picorv32-e630bedda4f16d5f061f93879177a2d6b2a66d29.zip
Merge branch 'refactoring'
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile14
1 files changed, 5 insertions, 9 deletions
diff --git a/Makefile b/Makefile
index 5ded656..5b2520f 100644
--- a/Makefile
+++ b/Makefile
@@ -27,24 +27,20 @@ check.smt2: picorv32.v
test_sp: testbench_sp.exe firmware/firmware.hex
vvp -N testbench_sp.exe
-test_axi: testbench_axi.exe firmware/firmware.hex
- vvp -N testbench_axi.exe
+test_axi: testbench.exe firmware/firmware.hex
+ vvp -N testbench.exe +axi_test
test_synth: testbench_synth.exe firmware/firmware.hex
vvp -N testbench_synth.exe
testbench.exe: testbench.v picorv32.v
- iverilog -o testbench.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) testbench.v picorv32.v
+ iverilog -o testbench.exe $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) testbench.v picorv32.v
chmod -x testbench.exe
testbench_sp.exe: testbench.v picorv32.v
- iverilog -o testbench_sp.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) -DSP_TEST testbench.v picorv32.v
+ iverilog -o testbench_sp.exe $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) -DSP_TEST testbench.v picorv32.v
chmod -x testbench_sp.exe
-testbench_axi.exe: testbench.v picorv32.v
- iverilog -o testbench_axi.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) -DAXI_TEST testbench.v picorv32.v
- chmod -x testbench_axi.exe
-
testbench_synth.exe: testbench.v synth.v
iverilog -o testbench_synth.exe testbench.v synth.v
chmod -x testbench_synth.exe
@@ -81,7 +77,7 @@ toc:
clean:
rm -vrf $(FIRMWARE_OBJS) $(TEST_OBJS) check.smt2 check.vcd synth.v synth.log \
firmware/firmware.elf firmware/firmware.bin firmware/firmware.hex firmware/firmware.map \
- testbench.exe testbench_sp.exe testbench_axi.exe testbench_synth.exe testbench.vcd
+ testbench.exe testbench_sp.exe testbench_synth.exe testbench.vcd
.PHONY: test view test_sp test_axi test_synth toc clean