aboutsummaryrefslogtreecommitdiffstats
path: root/picorv32.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-02-17 15:23:58 +0100
committerClifford Wolf <clifford@clifford.at>2017-02-17 15:23:58 +0100
commitc7cc32ed95644b50a1cd22e2005cacdba5b63388 (patch)
tree007de2bb814819f44b17ac8797eb3b9238949eda /picorv32.v
parente4312b0fab053cda38cb46623341db85e9f8a060 (diff)
downloadpicorv32-c7cc32ed95644b50a1cd22e2005cacdba5b63388.tar.gz
picorv32-c7cc32ed95644b50a1cd22e2005cacdba5b63388.zip
Fix verilog code for modelsim
Diffstat (limited to 'picorv32.v')
-rw-r--r--picorv32.v8
1 files changed, 7 insertions, 1 deletions
diff --git a/picorv32.v b/picorv32.v
index e6fde6d..b6c8707 100644
--- a/picorv32.v
+++ b/picorv32.v
@@ -34,7 +34,7 @@
`define assert(assert_expr) assert(assert_expr)
`else
`define FORMAL_KEEP
- `define assert(assert_expr)
+ `define assert(assert_expr) empty_statement
`endif
/***************************************************************
@@ -176,6 +176,12 @@ module picorv32 #(
end
end
+ task empty_statement;
+ // This task is used by the `assert directive in non-formal mode to
+ // avoid empty statement (which are unsupported by plain Verilog syntax).
+ begin end
+ endtask
+
`ifdef DEBUGREGS
wire [31:0] dbg_reg_x0 = cpuregs[0];
wire [31:0] dbg_reg_x1 = cpuregs[1];