aboutsummaryrefslogtreecommitdiffstats
path: root/picorv32.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-04-09 14:09:43 +0200
committerClifford Wolf <clifford@clifford.at>2016-04-09 14:09:43 +0200
commitef8014eebd35552aa8dde4bfddf9d7e27b5d1645 (patch)
treec806228f62989c8637fe61309dc434b47ec7df89 /picorv32.v
parentf7435eca9639b1a5639867364d88c4fd957a034d (diff)
downloadpicorv32-ef8014eebd35552aa8dde4bfddf9d7e27b5d1645.tar.gz
picorv32-ef8014eebd35552aa8dde4bfddf9d7e27b5d1645.zip
Bugfix in C.ADDI4SPN implementation
Diffstat (limited to 'picorv32.v')
-rw-r--r--picorv32.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/picorv32.v b/picorv32.v
index 8a6dbbb..8d40052 100644
--- a/picorv32.v
+++ b/picorv32.v
@@ -240,7 +240,7 @@ module picorv32 #(
case (mem_rdata_latched[15:13])
3'b000: begin // C.ADDI4SPN
mem_rdata_q[14:12] <= 3'b000;
- mem_rdata_q[31:20] <= {mem_rdata_latched[10:7], mem_rdata_latched[12:11], mem_rdata_latched[5], mem_rdata_latched[6]};
+ mem_rdata_q[31:20] <= {mem_rdata_latched[10:7], mem_rdata_latched[12:11], mem_rdata_latched[5], mem_rdata_latched[6], 2'b00};
end
3'b010: begin // C.LW
mem_rdata_q[31:20] <= {mem_rdata_latched[5], mem_rdata_latched[12:10], mem_rdata_latched[6], 2'b00};
@@ -565,7 +565,7 @@ module picorv32 #(
3'b000: begin // C.ADDI4SPN
is_alu_reg_imm <= |mem_rdata_latched[12:5];
decoded_rs1 <= 2;
- decoded_rd <= 8 + mem_rdata_latched[9:7];
+ decoded_rd <= 8 + mem_rdata_latched[4:2];
end
3'b010: begin // C.LW
is_lb_lh_lw_lbu_lhu <= 1;