aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/example_tb.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-21 17:43:33 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-21 17:43:33 +0200
commit484fa4ac5fa4eb73e4f6503d7643cc8e7426635e (patch)
tree641767389ff977abf74758e627a1d77f261e1c5f /scripts/icestorm/example_tb.v
parentf4842be8bbbf0ba6b44b6a5aaf69647e5007924a (diff)
downloadpicorv32-484fa4ac5fa4eb73e4f6503d7643cc8e7426635e.tar.gz
picorv32-484fa4ac5fa4eb73e4f6503d7643cc8e7426635e.zip
icestorm sim improvements
Diffstat (limited to 'scripts/icestorm/example_tb.v')
-rw-r--r--scripts/icestorm/example_tb.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/scripts/icestorm/example_tb.v b/scripts/icestorm/example_tb.v
index 7fda120..db14aa9 100644
--- a/scripts/icestorm/example_tb.v
+++ b/scripts/icestorm/example_tb.v
@@ -24,7 +24,7 @@ module testbench;
end
$monitor(LED7, LED6, LED5, LED4, LED3, LED2, LED1, LED0);
- repeat (10000000) @(posedge clk_pin);
+ repeat (10000) @(posedge clk_pin);
$finish;
end
endmodule