aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/example_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/icestorm/example_tb.v')
-rw-r--r--scripts/icestorm/example_tb.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/scripts/icestorm/example_tb.v b/scripts/icestorm/example_tb.v
index 7fda120..db14aa9 100644
--- a/scripts/icestorm/example_tb.v
+++ b/scripts/icestorm/example_tb.v
@@ -24,7 +24,7 @@ module testbench;
end
$monitor(LED7, LED6, LED5, LED4, LED3, LED2, LED1, LED0);
- repeat (10000000) @(posedge clk_pin);
+ repeat (10000) @(posedge clk_pin);
$finish;
end
endmodule