aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-10-08 11:58:59 +0200
committerClifford Wolf <clifford@clifford.at>2015-10-08 11:58:59 +0200
commita1b0d36432da6962bac967d95df0eaac65905a77 (patch)
treecceb785aea7bc9ee8ab02320af1ecc19edadc6b1 /scripts/icestorm
parent39b01ae24f709129d0cc86d0071ea0250c359349 (diff)
downloadpicorv32-a1b0d36432da6962bac967d95df0eaac65905a77.tar.gz
picorv32-a1b0d36432da6962bac967d95df0eaac65905a77.zip
Minor scripts/icestorm changes
Diffstat (limited to 'scripts/icestorm')
-rw-r--r--scripts/icestorm/Makefile2
-rw-r--r--scripts/icestorm/example.pcf7
-rw-r--r--scripts/icestorm/example.v8
3 files changed, 3 insertions, 14 deletions
diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile
index bd20a41..6f075cc 100644
--- a/scripts/icestorm/Makefile
+++ b/scripts/icestorm/Makefile
@@ -1,5 +1,5 @@
-TOOLCHAIN_PREFIX = riscv64-unknown-elf-
+TOOLCHAIN_PREFIX = riscv32-unknown-elf-
all: example.bin
diff --git a/scripts/icestorm/example.pcf b/scripts/icestorm/example.pcf
index 8190282..a5c7398 100644
--- a/scripts/icestorm/example.pcf
+++ b/scripts/icestorm/example.pcf
@@ -1,3 +1,4 @@
+set_io clk J3
set_io LED0 B5
set_io LED1 B4
set_io LED2 A2
@@ -6,9 +7,3 @@ set_io LED4 C5
set_io LED5 C4
set_io LED6 B3
set_io LED7 C3
-
-# on-board oscillator (12.0 MHz)
-set_io clk_pin J3
-
-# external clock on PIO1_01 (pin 4 on 40 pin header)
-#set_io clk_pin R15
diff --git a/scripts/icestorm/example.v b/scripts/icestorm/example.v
index cd52c76..e1c64b4 100644
--- a/scripts/icestorm/example.v
+++ b/scripts/icestorm/example.v
@@ -1,16 +1,10 @@
`timescale 1 ns / 1 ps
module top (
- input clk_pin,
+ input clk,
output reg LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7
);
// -------------------------------
- // Clock Buffer
-
- wire clk = clk_pin;
-
-
- // -------------------------------
// Reset Generator
reg [7:0] resetn_counter = 0;