aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_speed.sdc
diff options
context:
space:
mode:
authorTom Verbeure <tom_verbeure@yahoo.com>2016-08-30 23:02:31 -0700
committerTom Verbeure <tom_verbeure@yahoo.com>2016-08-30 23:02:31 -0700
commit41918ee26533daaf2b22dbbf79656cfad914b3f3 (patch)
tree349299ccb196b77d1b9b593ca6da1ff6123712f8 /scripts/quartus/synth_speed.sdc
parent91deccd3a18ad6edcb793424f7c56f2f724d4b3f (diff)
downloadpicorv32-41918ee26533daaf2b22dbbf79656cfad914b3f3.tar.gz
picorv32-41918ee26533daaf2b22dbbf79656cfad914b3f3.zip
synth_speed
Diffstat (limited to 'scripts/quartus/synth_speed.sdc')
-rw-r--r--scripts/quartus/synth_speed.sdc1
1 files changed, 1 insertions, 0 deletions
diff --git a/scripts/quartus/synth_speed.sdc b/scripts/quartus/synth_speed.sdc
new file mode 100644
index 0000000..fef5704
--- /dev/null
+++ b/scripts/quartus/synth_speed.sdc
@@ -0,0 +1 @@
+create_clock -period 2.5 [get_ports clk]