aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/synth_vivado.xdc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-06 20:14:58 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-06 20:14:58 +0200
commit9df9d7ff905d1d6c49221607efc9a04f042ea003 (patch)
tree6fd540920847108e6a21b4edb61be7f78308060e /scripts/synth_vivado.xdc
parentabe046575321153c0bed10525fe8d12b92e776b1 (diff)
downloadpicorv32-9df9d7ff905d1d6c49221607efc9a04f042ea003.tar.gz
picorv32-9df9d7ff905d1d6c49221607efc9a04f042ea003.zip
Improved Xilinx example
Diffstat (limited to 'scripts/synth_vivado.xdc')
-rw-r--r--scripts/synth_vivado.xdc1
1 files changed, 1 insertions, 0 deletions
diff --git a/scripts/synth_vivado.xdc b/scripts/synth_vivado.xdc
new file mode 100644
index 0000000..f2c7ea2
--- /dev/null
+++ b/scripts/synth_vivado.xdc
@@ -0,0 +1 @@
+create_clock -period 4.00 [get_ports clk]