aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_speed.tcl
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-28 13:49:36 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-28 13:51:37 +0200
commit094dc690bbd7d1d4a6ffcb74e3385a9dfbb29905 (patch)
tree57f139304ffb9c875f980f6fcb90e833d1708eef /scripts/vivado/synth_speed.tcl
parent1f99de511760b3acb546e62623c65d6143b30a77 (diff)
downloadpicorv32-094dc690bbd7d1d4a6ffcb74e3385a9dfbb29905.tar.gz
picorv32-094dc690bbd7d1d4a6ffcb74e3385a9dfbb29905.zip
Added resource utilization to xilinx eval
Diffstat (limited to 'scripts/vivado/synth_speed.tcl')
-rw-r--r--scripts/vivado/synth_speed.tcl2
1 files changed, 0 insertions, 2 deletions
diff --git a/scripts/vivado/synth_speed.tcl b/scripts/vivado/synth_speed.tcl
index ae81560..f3874e4 100644
--- a/scripts/vivado/synth_speed.tcl
+++ b/scripts/vivado/synth_speed.tcl
@@ -11,5 +11,3 @@ route_design
report_utilization
report_timing
-write_verilog -force synth_speed.v
-