aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-08 10:16:10 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-08 10:16:10 +0200
commita97a7159876cea113b2c115498bd8b5d8b5e1be7 (patch)
tree845dbfe4697bed3b05f9d357b7111294b27157e2 /scripts/vivado
parentbd1cc3466f66b16a3e6f821379e1e4ac98213cb8 (diff)
downloadpicorv32-a97a7159876cea113b2c115498bd8b5d8b5e1be7.tar.gz
picorv32-a97a7159876cea113b2c115498bd8b5d8b5e1be7.zip
Enabled report_timing in vivado synth_area scripts
Diffstat (limited to 'scripts/vivado')
-rw-r--r--scripts/vivado/synth_area.tcl2
-rw-r--r--scripts/vivado/synth_area_large.tcl2
-rw-r--r--scripts/vivado/synth_area_regular.tcl2
-rw-r--r--scripts/vivado/synth_area_small.tcl2
4 files changed, 4 insertions, 4 deletions
diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl
index b2c11e9..c222a00 100644
--- a/scripts/vivado/synth_area.tcl
+++ b/scripts/vivado/synth_area.tcl
@@ -5,4 +5,4 @@ synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design -resynth_seq_area
report_utilization
-# report_timing
+report_timing
diff --git a/scripts/vivado/synth_area_large.tcl b/scripts/vivado/synth_area_large.tcl
index 32d90f8..55b0248 100644
--- a/scripts/vivado/synth_area_large.tcl
+++ b/scripts/vivado/synth_area_large.tcl
@@ -6,4 +6,4 @@ synth_design -part xc7k70t-fbg676 -top top_large
opt_design -resynth_seq_area
report_utilization
-# report_timing
+report_timing
diff --git a/scripts/vivado/synth_area_regular.tcl b/scripts/vivado/synth_area_regular.tcl
index a352ac4..d7a8e34 100644
--- a/scripts/vivado/synth_area_regular.tcl
+++ b/scripts/vivado/synth_area_regular.tcl
@@ -6,4 +6,4 @@ synth_design -part xc7k70t-fbg676 -top top_regular
opt_design -resynth_seq_area
report_utilization
-# report_timing
+report_timing
diff --git a/scripts/vivado/synth_area_small.tcl b/scripts/vivado/synth_area_small.tcl
index 4a809b6..c9a8a22 100644
--- a/scripts/vivado/synth_area_small.tcl
+++ b/scripts/vivado/synth_area_small.tcl
@@ -6,4 +6,4 @@ synth_design -part xc7k70t-fbg676 -top top_small
opt_design -resynth_seq_area
report_utilization
-# report_timing
+report_timing