aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado
Commit message (Expand)AuthorAgeFilesLines
* use 32 bit toolchainEmilio Rojas2018-11-081-1/+1
* update to current vivado versionEmilio Rojas2018-11-081-1/+1
* scripts: remove old -m32 argument to riscv-gccAustin Seipp2018-02-141-1/+1
* Update evaluation results to Vivado 2017.3Clifford Wolf2017-10-211-1/+1
* Update vivado evaluationsClifford Wolf2017-07-203-17/+34
* RISC-V ISA 2.1 now calls "sbreak" officially "ebreak"Clifford Wolf2016-06-061-1/+1
* Updated stats for Vivado 2016.1Clifford Wolf2016-04-201-1/+1
* Fixed scripts/vivado/table.sh output formattingClifford Wolf2016-04-131-3/+3
* Added xcku/xcvu handling to scripts/vivado/table.shClifford Wolf2016-04-131-0/+2
* Added BARREL_SHIFTER config parameterClifford Wolf2016-04-121-0/+1
* Added Kintex/Virtex UltraScale to "make table.txt" in scripts/vivado/Clifford Wolf2016-04-122-1/+11
* Updated area tableClifford Wolf2016-04-091-0/+1
* Added $(VIVADO_BASE) to vivado MakefileClifford Wolf2015-07-161-4/+5
* Improved firmware for vivado "system" exampleClifford Wolf2015-07-162-4/+33
* Improved vivado "system" exampleClifford Wolf2015-07-122-16/+56
* Vivado "system" exampleClifford Wolf2015-07-0910-21/+142
* Updated evaluationClifford Wolf2015-07-085-5/+9
* Enabled report_timing in vivado synth_area scriptsClifford Wolf2015-07-084-4/+4
* Updated eval dataClifford Wolf2015-07-082-2/+16
* Updated area and timing statsClifford Wolf2015-07-021-0/+1
* Back to Vivado 2015.1Clifford Wolf2015-07-011-1/+1
* Vivado 2015.2 area evaluationClifford Wolf2015-07-015-14/+9
* Added vivado synth_area_{small,regular,large}.tcl scriptsClifford Wolf2015-07-014-4/+33
* Updated Xilinx 7-Series area statsClifford Wolf2015-07-011-13/+7
* Added resource utilization to xilinx evalClifford Wolf2015-06-284-6/+148
* Minor vivado script changesClifford Wolf2015-06-272-0/+4
* Added "make table.txt" vivado scriptsClifford Wolf2015-06-275-1/+216
* Updated vivado scriptsClifford Wolf2015-06-263-6/+4
* Changed chip package in vivado examplesClifford Wolf2015-06-262-2/+2
* Updated Vivado SoC exampleClifford Wolf2015-06-105-18/+47
* Makefile for Vivado scriptsClifford Wolf2015-06-0910-23/+58
* Small improvements in vivado_soc demoClifford Wolf2015-06-081-5/+4
* Added osu018 yosys synthesis scriptClifford Wolf2015-06-084-0/+97