diff options
author | Clifford Wolf <clifford@clifford.at> | 2015-06-26 10:46:51 +0200 |
---|---|---|
committer | Clifford Wolf <clifford@clifford.at> | 2015-06-26 10:46:51 +0200 |
commit | 1a664f9b971aef61d327447d8d6de85f19e0dfe4 (patch) | |
tree | dd26ff5e68eeca8e5d9ccb864dceb0531a4c1f02 /scripts/vivado | |
parent | 5d4ce82050445770bb022778cbc6738d25cfe583 (diff) | |
download | picorv32-1a664f9b971aef61d327447d8d6de85f19e0dfe4.tar.gz picorv32-1a664f9b971aef61d327447d8d6de85f19e0dfe4.zip |
Changed chip package in vivado examples
Diffstat (limited to 'scripts/vivado')
-rw-r--r-- | scripts/vivado/synth_area.tcl | 2 | ||||
-rw-r--r-- | scripts/vivado/synth_speed.tcl | 2 |
2 files changed, 2 insertions, 2 deletions
diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl index b9376af..0acddb9 100644 --- a/scripts/vivado/synth_area.tcl +++ b/scripts/vivado/synth_area.tcl @@ -2,7 +2,7 @@ read_verilog ../../picorv32.v read_xdc synth_area.xdc -synth_design -part xc7a15t-csg324 -top picorv32_axi +synth_design -part xc7a15t-fgg484 -top picorv32_axi opt_design place_design route_design diff --git a/scripts/vivado/synth_speed.tcl b/scripts/vivado/synth_speed.tcl index 8e1c8b4..d951523 100644 --- a/scripts/vivado/synth_speed.tcl +++ b/scripts/vivado/synth_speed.tcl @@ -2,7 +2,7 @@ read_verilog ../../picorv32.v read_xdc synth_speed.xdc -synth_design -part xc7a15t-csg324 -top picorv32_axi +synth_design -part xc7a15t-fgg484 -top picorv32_axi opt_design place_design route_design |