aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-01 21:51:15 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-01 21:51:15 +0200
commite72abc0284bb6a04b9a6f1ea8e5cab601181cbb4 (patch)
tree109b70376d2adbaa8b63c8fa44efcb971b141b65 /scripts/vivado
parent553b1ef1430ecb7a57d4120eedecd4e39121389b (diff)
downloadpicorv32-e72abc0284bb6a04b9a6f1ea8e5cab601181cbb4.tar.gz
picorv32-e72abc0284bb6a04b9a6f1ea8e5cab601181cbb4.zip
Added vivado synth_area_{small,regular,large}.tcl scripts
Diffstat (limited to 'scripts/vivado')
-rw-r--r--scripts/vivado/synth_area.tcl5
-rw-r--r--scripts/vivado/synth_area_large.tcl10
-rw-r--r--scripts/vivado/synth_area_regular.tcl11
-rw-r--r--scripts/vivado/synth_area_small.tcl11
4 files changed, 33 insertions, 4 deletions
diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl
index f77be21..804fd9a 100644
--- a/scripts/vivado/synth_area.tcl
+++ b/scripts/vivado/synth_area.tcl
@@ -3,10 +3,7 @@ read_verilog ../../picorv32.v
read_verilog synth_area_top.v
read_xdc synth_area.xdc
-synth_design -part xc7k70t-fbg676 -top picorv32_axi
-# synth_design -part xc7k70t-fbg676 -top top_small
-# synth_design -part xc7k70t-fbg676 -top top_regular
-# synth_design -part xc7k70t-fbg676 -top top_large
+synth_design -part xc7k70t-fbg676 -top top_large
opt_design -resynth_seq_area
report_utilization
diff --git a/scripts/vivado/synth_area_large.tcl b/scripts/vivado/synth_area_large.tcl
new file mode 100644
index 0000000..9c3633e
--- /dev/null
+++ b/scripts/vivado/synth_area_large.tcl
@@ -0,0 +1,10 @@
+
+read_verilog ../../picorv32.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top picorv32_axi
+opt_design -resynth_seq_area
+
+report_utilization
+# report_timing
+
diff --git a/scripts/vivado/synth_area_regular.tcl b/scripts/vivado/synth_area_regular.tcl
new file mode 100644
index 0000000..06b064c
--- /dev/null
+++ b/scripts/vivado/synth_area_regular.tcl
@@ -0,0 +1,11 @@
+
+read_verilog ../../picorv32.v
+read_verilog synth_area_top.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top top_small
+opt_design -resynth_seq_area
+
+report_utilization
+# report_timing
+
diff --git a/scripts/vivado/synth_area_small.tcl b/scripts/vivado/synth_area_small.tcl
new file mode 100644
index 0000000..f8506b3
--- /dev/null
+++ b/scripts/vivado/synth_area_small.tcl
@@ -0,0 +1,11 @@
+
+read_verilog ../../picorv32.v
+read_verilog synth_area_top.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top top_regular
+opt_design -resynth_seq_area
+
+report_utilization
+# report_timing
+