aboutsummaryrefslogtreecommitdiffstats
path: root/scripts
diff options
context:
space:
mode:
authorTom Verbeure <tom_verbeure@yahoo.com>2016-08-31 07:36:32 -0700
committerTom Verbeure <tom_verbeure@yahoo.com>2016-08-31 07:36:32 -0700
commit35b0a592e20f04b1f8954af06d019c351cbb3d20 (patch)
tree17b21a54c0256caa7d49249912a4397d77ec8c2f /scripts
parent41918ee26533daaf2b22dbbf79656cfad914b3f3 (diff)
downloadpicorv32-35b0a592e20f04b1f8954af06d019c351cbb3d20.tar.gz
picorv32-35b0a592e20f04b1f8954af06d019c351cbb3d20.zip
Delete unusused file
Diffstat (limited to 'scripts')
-rw-r--r--scripts/quartus/synth_area.tcl8
1 files changed, 0 insertions, 8 deletions
diff --git a/scripts/quartus/synth_area.tcl b/scripts/quartus/synth_area.tcl
deleted file mode 100644
index c222a00..0000000
--- a/scripts/quartus/synth_area.tcl
+++ /dev/null
@@ -1,8 +0,0 @@
-read_verilog ../../picorv32.v
-read_xdc synth_area.xdc
-
-synth_design -part xc7k70t-fbg676 -top picorv32_axi
-opt_design -resynth_seq_area
-
-report_utilization
-report_timing