aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-02-03 16:33:01 +0100
committerClifford Wolf <clifford@clifford.at>2016-02-03 16:33:01 +0100
commitc4c477180e1ce829d231765e32e80db4bfc8b137 (patch)
treed95e46be76b0570ec118b97a5ab512710a7b62af /testbench.v
parentb1a24f4f89fcd5e4790dee961fd6a35b75650b73 (diff)
downloadpicorv32-c4c477180e1ce829d231765e32e80db4bfc8b137.tar.gz
picorv32-c4c477180e1ce829d231765e32e80db4bfc8b137.zip
Merged various testbench changes from compressed ISA branch
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v1
1 files changed, 0 insertions, 1 deletions
diff --git a/testbench.v b/testbench.v
index 4703f3a..f03fce3 100644
--- a/testbench.v
+++ b/testbench.v
@@ -7,7 +7,6 @@
`timescale 1 ns / 1 ps
// `define VERBOSE
-// `define AXI_TEST
module testbench;