aboutsummaryrefslogtreecommitdiffstats
path: root/testbench_wb.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-07-27 21:36:38 +0200
committerClifford Wolf <clifford@clifford.at>2017-07-27 21:36:38 +0200
commit98ee8098b9d64eacc42a5d1b5d9764d2a2b635e3 (patch)
treef7dddbb4820623755e92138ba8ce5ba414fd11ba /testbench_wb.v
parent9dbc96e3302db5b45cbcf4b14dc54d53b5b46aa6 (diff)
downloadpicorv32-98ee8098b9d64eacc42a5d1b5d9764d2a2b635e3.tar.gz
picorv32-98ee8098b9d64eacc42a5d1b5d9764d2a2b635e3.zip
Add testbench_ez
Diffstat (limited to 'testbench_wb.v')
-rw-r--r--testbench_wb.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/testbench_wb.v b/testbench_wb.v
index 5bccc0b..4af9004 100644
--- a/testbench_wb.v
+++ b/testbench_wb.v
@@ -17,7 +17,7 @@ module testbench #(
initial begin
if ($test$plusargs("vcd")) begin
- $dumpfile("testbench_wb.vcd");
+ $dumpfile("testbench.vcd");
$dumpvars(0, testbench);
end
repeat (1000000) @(posedge clk);