aboutsummaryrefslogtreecommitdiffstats
path: root/dhrystone/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'dhrystone/Makefile')
-rw-r--r--dhrystone/Makefile10
1 files changed, 9 insertions, 1 deletions
diff --git a/dhrystone/Makefile b/dhrystone/Makefile
index 412ffda..7e077b1 100644
--- a/dhrystone/Makefile
+++ b/dhrystone/Makefile
@@ -5,10 +5,18 @@ CFLAGS = -MD -O3 -m32 -march=RV32I -ffreestanding -nostdlib -DTIME -DRISCV
test: testbench.exe dhry.hex
vvp -N testbench.exe
+timing: timing.exe dhry.hex
+ vvp -N timing.exe > timing.txt
+ sed 's,.*## ,,' timing.txt | gawk 'x != "" {print x,$$2-y;} {x=$$1;y=$$2;}' | sort | uniq -c | sort -k3 -n
+
testbench.exe: testbench.v ../picorv32.v
iverilog -o testbench.exe testbench.v ../picorv32.v
chmod -x testbench.exe
+timing.exe: testbench.v ../picorv32.v
+ iverilog -o timing.exe -DTIMING testbench.v ../picorv32.v
+ chmod -x timing.exe
+
dhry.hex: dhry.bin ../firmware/makehex.py
python3 ../firmware/makehex.py $< > $@
@@ -27,7 +35,7 @@ dhry.elf: $(OBJS) ../firmware/sections.lds
riscv64-unknown-elf-gcc -c $(CFLAGS) $<
clean:
- rm -rf *.o *.d dhry.elf dhry.map dhry.bin dhry.hex testbench.exe testbench.vcd
+ rm -rf *.o *.d dhry.elf dhry.map dhry.bin dhry.hex testbench.exe testbench.vcd timing.exe timing.txt
.PHONY: test clean