aboutsummaryrefslogtreecommitdiffstats
path: root/dhrystone/Makefile
Commit message (Expand)AuthorAgeFilesLines
* Fix dhrystoneMiodrag Milanovic2021-12-271-1/+1
* Add tracing support to dhrystone testTom Verbeure2019-08-181-0/+4
* Added riscv.ld linker script (static entry point at 0x10000)Clifford Wolf2017-01-131-1/+1
* Some build fixes for new riscv-gnu-toolchainClifford Wolf2016-12-171-1/+1
* Renamed testbench_slow_mem to testbench_nola (no look ahead)Clifford Wolf2016-09-041-6/+6
* testbench_slow_memTom Verbeure2016-09-021-1/+8
* Dhrystone: Add toolchain prefix to objcopyTom Verbeure2016-08-301-1/+1
* Using newlib libc from riscv-tools in dhrystone benchmarkClifford Wolf2016-06-071-11/+20
* Using .vvp instead of .exe for iverilog executablesClifford Wolf2016-05-041-11/+11
* Improvements in dhrystone "make timing"Clifford Wolf2016-04-141-2/+5
* Updates dhrystone resultsClifford Wolf2016-04-101-2/+2
* Using riscv32-unknown-elf- toolchainClifford Wolf2015-11-031-4/+7
* Improved firmware for vivado "system" exampleClifford Wolf2015-07-161-1/+1
* Major redesign of main FSMClifford Wolf2015-06-071-1/+9
* Using libc assembler code in dhrystone stdlib.cClifford Wolf2015-06-071-1/+1
* Initial importClifford Wolf2015-06-061-0/+35