aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_area.tcl')
-rw-r--r--scripts/vivado/synth_area.tcl8
1 files changed, 5 insertions, 3 deletions
diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl
index 3f52644..f77be21 100644
--- a/scripts/vivado/synth_area.tcl
+++ b/scripts/vivado/synth_area.tcl
@@ -1,12 +1,14 @@
read_verilog ../../picorv32.v
+read_verilog synth_area_top.v
read_xdc synth_area.xdc
synth_design -part xc7k70t-fbg676 -top picorv32_axi
-opt_design
+# synth_design -part xc7k70t-fbg676 -top top_small
+# synth_design -part xc7k70t-fbg676 -top top_regular
+# synth_design -part xc7k70t-fbg676 -top top_large
+opt_design -resynth_seq_area
report_utilization
# report_timing
-write_verilog -force synth_area.v
-