diff options
Diffstat (limited to 'synth_vivado.tcl')
-rw-r--r-- | synth_vivado.tcl | 16 |
1 files changed, 16 insertions, 0 deletions
diff --git a/synth_vivado.tcl b/synth_vivado.tcl new file mode 100644 index 0000000..2dd4fce --- /dev/null +++ b/synth_vivado.tcl @@ -0,0 +1,16 @@ + +# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl + +read_verilog picorv32.v +read_xdc synth_vivado.xdc + +synth_design -part xc7a15t-csg324 -top picorv32_axi +opt_design +place_design +route_design + +report_utilization +report_timing + +write_verilog -force synth_vivado.v + |