aboutsummaryrefslogtreecommitdiffstats
path: root/synth_vivado.tcl
blob: 2dd4fcedcf493abbebbc3336f1c455d9622fb72f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl

read_verilog picorv32.v
read_xdc synth_vivado.xdc

synth_design -part xc7a15t-csg324 -top picorv32_axi
opt_design
place_design
route_design

report_utilization
report_timing

write_verilog -force synth_vivado.v