aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v3
1 files changed, 3 insertions, 0 deletions
diff --git a/testbench.v b/testbench.v
index dc7a7f3..18cce40 100644
--- a/testbench.v
+++ b/testbench.v
@@ -48,6 +48,7 @@ module testbench #(
$fwrite(trace_file, "%x\n", trace_data);
end
$fclose(trace_file);
+ $display("Finished writing testbench.trace.");
end
end
@@ -194,6 +195,8 @@ module picorv32_wrapper #(
$finish;
end else begin
$display("ERROR!");
+ if ($test$plusargs("noerror"))
+ $finish;
$stop;
end
end