aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-26 14:54:27 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-26 14:54:27 +0200
commit98d248d2c2f785f1b35ce7a08df7705e1945597f (patch)
tree67560a9a31fd39220d27c6bef2afd665dc50e59a /testbench.v
parent7094e61af7dfe3c24ff4218557b209a1b09e5793 (diff)
downloadpicorv32-98d248d2c2f785f1b35ce7a08df7705e1945597f.tar.gz
picorv32-98d248d2c2f785f1b35ce7a08df7705e1945597f.zip
Finalized tracer support
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v3
1 files changed, 3 insertions, 0 deletions
diff --git a/testbench.v b/testbench.v
index dc7a7f3..18cce40 100644
--- a/testbench.v
+++ b/testbench.v
@@ -48,6 +48,7 @@ module testbench #(
$fwrite(trace_file, "%x\n", trace_data);
end
$fclose(trace_file);
+ $display("Finished writing testbench.trace.");
end
end
@@ -194,6 +195,8 @@ module picorv32_wrapper #(
$finish;
end else begin
$display("ERROR!");
+ if ($test$plusargs("noerror"))
+ $finish;
$stop;
end
end