diff options
Diffstat (limited to 'testbench.v')
-rw-r--r-- | testbench.v | 6 |
1 files changed, 4 insertions, 2 deletions
diff --git a/testbench.v b/testbench.v index 53badd3..3a1c119 100644 --- a/testbench.v +++ b/testbench.v @@ -237,8 +237,10 @@ module testbench; end initial begin - $dumpfile("testbench.vcd"); - $dumpvars(0, testbench); + if ($test$plusargs("vcd")) begin + $dumpfile("testbench.vcd"); + $dumpvars(0, testbench); + end repeat (1000000) @(posedge clk); $display("TIMEOUT"); $finish; |