aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-02 10:45:35 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-02 10:45:35 +0200
commita7f9b7fbf37eb23847d113b907ae4385ccfefa19 (patch)
tree5bf868d8f8705ea743d309a71c183a9fa2f2ff8c /testbench.v
parent9d3b0a96922c70a25f0e8e1782bc6583dde80078 (diff)
downloadpicorv32-a7f9b7fbf37eb23847d113b907ae4385ccfefa19.tar.gz
picorv32-a7f9b7fbf37eb23847d113b907ae4385ccfefa19.zip
Some testbench-related improvements
Patch by Larry Doolittle
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v6
1 files changed, 4 insertions, 2 deletions
diff --git a/testbench.v b/testbench.v
index 53badd3..3a1c119 100644
--- a/testbench.v
+++ b/testbench.v
@@ -237,8 +237,10 @@ module testbench;
end
initial begin
- $dumpfile("testbench.vcd");
- $dumpvars(0, testbench);
+ if ($test$plusargs("vcd")) begin
+ $dumpfile("testbench.vcd");
+ $dumpvars(0, testbench);
+ end
repeat (1000000) @(posedge clk);
$display("TIMEOUT");
$finish;