summaryrefslogtreecommitdiffstats
path: root/algorithm.tex
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2021-09-09 21:00:41 +0100
committerYann Herklotz <git@yannherklotz.com>2021-09-09 21:00:41 +0100
commit6f7b7f66f0b420a318aa5c3287cbd7c8250d3dd1 (patch)
tree349be76159a425d9bad14ed5d18028c1f72c44c8 /algorithm.tex
parentf4d791dbd4fdb4985c017c58c45ca583626a484a (diff)
downloadoopsla21_fvhls-6f7b7f66f0b420a318aa5c3287cbd7c8250d3dd1.tar.gz
oopsla21_fvhls-6f7b7f66f0b420a318aa5c3287cbd7c8250d3dd1.zip
Fix some more titles
Diffstat (limited to 'algorithm.tex')
-rw-r--r--algorithm.tex2
1 files changed, 1 insertions, 1 deletions
diff --git a/algorithm.tex b/algorithm.tex
index 81763d7..1783c29 100644
--- a/algorithm.tex
+++ b/algorithm.tex
@@ -223,7 +223,7 @@ endmodule
% \NR{Is the default in line 41 of (c) supposed to be empty?}\YH{Yep, that's how it's generated.}
\end{figure}
-\subsection{Translating C to Verilog, by Example}
+\subsection{Translating C to Verilog by Example}
Figure~\ref{fig:accumulator_c_rtl} illustrates the translation of a simple program that stores and retrieves values from an array.
In this section, we describe the stages of the \vericert{} translation, referring to this program as an example.