aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorYann Herklotz <ymherklotz@gmail.com>2018-11-16 19:54:36 +0000
committerYann Herklotz <ymherklotz@gmail.com>2018-11-16 19:54:36 +0000
commit2c47075d0834c7f75c61759014c8021b720d8d7f (patch)
tree6c48a812e3ad5f87d673b39ae4fa3e019abdca4e /examples
parentd455be3b924fea2d42d593a6709557e637e83ad9 (diff)
downloadverismith-2c47075d0834c7f75c61759014c8021b720d8d7f.tar.gz
verismith-2c47075d0834c7f75c61759014c8021b720d8d7f.zip
Better format for the Verilog file
Diffstat (limited to 'examples')
-rw-r--r--examples/simple.v12
1 files changed, 6 insertions, 6 deletions
diff --git a/examples/simple.v b/examples/simple.v
index 10b7f0a..86c2f8b 100644
--- a/examples/simple.v
+++ b/examples/simple.v
@@ -1,9 +1,10 @@
-module and_comb(in1, in2, out);
- input in1;
- input in2;
- output out;
+module and_comb(input wire in1,
+ input wire in2,
+ output wire out
+ );
and and1(out, in1, in2);
+
endmodule
module main;
@@ -16,8 +17,7 @@ module main;
begin
a = 1'b1;
b = 1'b1;
- #1
- $display("%d & %d = %d", a, b, c);
+ #1 $display("%d & %d = %d", a, b, c);
$finish;
end
endmodule