aboutsummaryrefslogtreecommitdiffstats
path: root/src/Verismith/Fuzz.hs
Commit message (Expand)AuthorAgeFilesLines
* Add functions and fix EMIYann Herklotz2021-05-211-12/+28
* Add new simulation for EMIYann Herklotz2021-05-191-18/+28
* Add more top-level changesYann Herklotz2021-05-191-0/+52
* Add debug to reductionYann Herklotz2020-05-121-2/+2
* Format with ormoluYann Herklotz2020-05-121-353/+389
* Fix types with annotationsYann Herklotz2020-05-111-9/+10
* Remove DRBG dependencyYann Herklotz2020-04-071-15/+2
* Add annotations and make it compile againYann Herklotz2020-04-071-5/+5
* WIP changes to the AST typesYann Herklotz2020-03-161-9/+9
* Update license noticesYann Herklotz2020-01-061-1/+1
* Update license to dual license GPLv3Yann Herklotz2020-01-061-1/+1
* Add configuration for default Yosys locationYann Herklotz2019-12-261-5/+8
* Do not run counter example if no rerunner is specifiedYann Herklotz2019-12-031-8/+13
* More minimisationYann Herklotz2019-12-031-3/+9
* Add output of v file during reductionYann Herklotz2019-11-241-22/+4
* Show the result as it is runYann Herklotz2019-11-241-4/+4
* Add cross-check between netlistsYann Herklotz2019-11-241-9/+11
* Fix counter-example simulation runYann Herklotz2019-11-241-1/+1
* Add reduction for simulation failuresYann Herklotz2019-11-121-12/+55
* Add counter example parsingYann Herklotz2019-11-101-2/+2
* Add data-file installation pathYann Herklotz2019-10-291-13/+16
* Run simulation on all tools passing synthesisYann Herklotz2019-10-281-20/+27
* Add mtl dependency to enable easier use of transformersYann Herklotz2019-10-271-104/+140
* Change location of the html reportsYann Herklotz2019-10-181-3/+5
* Add -k functionalityYann Herklotz2019-10-181-4/+26
* Rename Sim to ToolYann Herklotz2019-10-181-21/+16
* [Fix #63] Make build pass againYann Herklotz2019-10-061-9/+5
* Rename main modulesYann Herklotz2019-09-181-0/+466