aboutsummaryrefslogtreecommitdiffstats
path: root/blinken_lights/db/blinken_Lights.map.qmsg
diff options
context:
space:
mode:
Diffstat (limited to 'blinken_lights/db/blinken_Lights.map.qmsg')
-rw-r--r--blinken_lights/db/blinken_Lights.map.qmsg13
1 files changed, 13 insertions, 0 deletions
diff --git a/blinken_lights/db/blinken_Lights.map.qmsg b/blinken_lights/db/blinken_Lights.map.qmsg
new file mode 100644
index 0000000..cb44932
--- /dev/null
+++ b/blinken_lights/db/blinken_Lights.map.qmsg
@@ -0,0 +1,13 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1455829490522 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1455829490522 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Feb 18 21:04:50 2016 " "Processing started: Thu Feb 18 21:04:50 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1455829490522 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1455829490522 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off blinken_Lights -c blinken_Lights " "Command: quartus_map --read_settings_files=on --write_settings_files=off blinken_Lights -c blinken_Lights" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1455829490522 ""}
+{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1455829490817 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "altclkctrl0.vhd 4 2 " "Found 4 design units, including 2 entities, in source file altclkctrl0.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 altclkctrl0_altclkctrl_uhi-RTL " "Found design unit 1: altclkctrl0_altclkctrl_uhi-RTL" { } { { "altclkctrl0.vhd" "" { Text "C:/Users/Asus/Documents/GitHub/blinken_lights/altclkctrl0.vhd" 56 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1455829491282 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 altclkctrl0-RTL " "Found design unit 2: altclkctrl0-RTL" { } { { "altclkctrl0.vhd" "" { Text "C:/Users/Asus/Documents/GitHub/blinken_lights/altclkctrl0.vhd" 109 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1455829491282 ""} { "Info" "ISGN_ENTITY_NAME" "1 altclkctrl0_altclkctrl_uhi " "Found entity 1: altclkctrl0_altclkctrl_uhi" { } { { "altclkctrl0.vhd" "" { Text "C:/Users/Asus/Documents/GitHub/blinken_lights/altclkctrl0.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1455829491282 ""} { "Info" "ISGN_ENTITY_NAME" "2 altclkctrl0 " "Found entity 2: altclkctrl0" { } { { "altclkctrl0.vhd" "" { Text "C:/Users/Asus/Documents/GitHub/blinken_lights/altclkctrl0.vhd" 100 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1455829491282 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1455829491282 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "blinken_lights.bdf 1 1 " "Found 1 design units, including 1 entities, in source file blinken_lights.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 blinken_Lights " "Found entity 1: blinken_Lights" { } { { "blinken_Lights.bdf" "" { Schematic "C:/Users/Asus/Documents/GitHub/blinken_lights/blinken_Lights.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1455829491285 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1455829491285 ""}
+{ "Info" "ISGN_START_ELABORATION_TOP" "blinken_Lights " "Elaborating entity \"blinken_Lights\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1455829491317 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altclkctrl0 altclkctrl0:inst " "Elaborating entity \"altclkctrl0\" for hierarchy \"altclkctrl0:inst\"" { } { { "blinken_Lights.bdf" "inst" { Schematic "C:/Users/Asus/Documents/GitHub/blinken_lights/blinken_Lights.bdf" { { 232 736 896 296 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1455829491321 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altclkctrl0_altclkctrl_uhi altclkctrl0:inst\|altclkctrl0_altclkctrl_uhi:altclkctrl0_altclkctrl_uhi_component " "Elaborating entity \"altclkctrl0_altclkctrl_uhi\" for hierarchy \"altclkctrl0:inst\|altclkctrl0_altclkctrl_uhi:altclkctrl0_altclkctrl_uhi_component\"" { } { { "altclkctrl0.vhd" "altclkctrl0_altclkctrl_uhi_component" { Text "C:/Users/Asus/Documents/GitHub/blinken_lights/altclkctrl0.vhd" 141 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1455829491323 ""}
+{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1455829491925 ""}
+{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1455829492154 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1455829492154 ""}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "42 " "Implemented 42 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "1 " "Implemented 1 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1455829492220 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1455829492220 ""} { "Info" "ICUT_CUT_TM_LCELLS" "30 " "Implemented 30 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1455829492220 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1455829492220 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "542 " "Peak virtual memory: 542 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1455829492240 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Feb 18 21:04:52 2016 " "Processing ended: Thu Feb 18 21:04:52 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1455829492240 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1455829492240 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1455829492240 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1455829492240 ""}