aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg
diff options
context:
space:
mode:
Diffstat (limited to 'dot_product/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg')
-rw-r--r--dot_product/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg32
1 files changed, 0 insertions, 32 deletions
diff --git a/dot_product/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg b/dot_product/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg
deleted file mode 100644
index a8373a9..0000000
--- a/dot_product/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/db/ise_proj.map.qmsg
+++ /dev/null
@@ -1,32 +0,0 @@
-{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456848304780 ""}
-{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456848304782 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 01 16:05:04 2016 " "Processing started: Tue Mar 01 16:05:04 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456848304782 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456848304782 ""}
-{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off ise_proj -c ise_proj " "Command: quartus_map --read_settings_files=on --write_settings_files=off ise_proj -c ise_proj" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456848304783 ""}
-{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." { } { } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456848305055 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/catapult c/dot_product/dot_product/rtl_mgc_ioport_v2001.v 7 7 " "Found 7 design units, including 7 entities, in source file /catapult c/dot_product/dot_product/rtl_mgc_ioport_v2001.v" { { "Info" "ISGN_ENTITY_NAME" "1 mgc_out_reg_pos " "Found entity 1: mgc_out_reg_pos" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} { "Info" "ISGN_ENTITY_NAME" "2 mgc_out_reg_neg " "Found entity 2: mgc_out_reg_neg" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 68 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} { "Info" "ISGN_ENTITY_NAME" "3 mgc_out_reg " "Found entity 3: mgc_out_reg" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 133 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} { "Info" "ISGN_ENTITY_NAME" "4 mgc_out_buf_wait " "Found entity 4: mgc_out_buf_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 210 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} { "Info" "ISGN_ENTITY_NAME" "5 mgc_out_fifo_wait " "Found entity 5: mgc_out_fifo_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 296 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} { "Info" "ISGN_ENTITY_NAME" "6 mgc_out_fifo_wait_core " "Found entity 6: mgc_out_fifo_wait_core" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 353 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} { "Info" "ISGN_ENTITY_NAME" "7 mgc_pipe " "Found entity 7: mgc_pipe" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport_v2001.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport_v2001.v" 644 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848305094 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/catapult c/dot_product/dot_product/rtl_mgc_ioport.v 20 20 " "Found 20 design units, including 20 entities, in source file /catapult c/dot_product/dot_product/rtl_mgc_ioport.v" { { "Info" "ISGN_ENTITY_NAME" "1 mgc_in_wire " "Found entity 1: mgc_in_wire" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 13 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "2 mgc_in_wire_en " "Found entity 2: mgc_in_wire_en" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 29 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "3 mgc_in_wire_wait " "Found entity 3: mgc_in_wire_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "4 mgc_chan_in " "Found entity 4: mgc_chan_in" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 72 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "5 mgc_out_stdreg " "Found entity 5: mgc_out_stdreg" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 109 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "6 mgc_out_stdreg_en " "Found entity 6: mgc_out_stdreg_en" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 125 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "7 mgc_out_stdreg_wait " "Found entity 7: mgc_out_stdreg_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 145 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "8 mgc_out_prereg_en " "Found entity 8: mgc_out_prereg_en" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 169 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "9 mgc_inout_stdreg_en " "Found entity 9: mgc_inout_stdreg_en" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 191 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "10 hid_tribuf " "Found entity 10: hid_tribuf" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 217 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "11 mgc_inout_stdreg_wait " "Found entity 11: mgc_inout_stdreg_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 229 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "12 mgc_inout_buf_wait " "Found entity 12: mgc_inout_buf_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 269 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "13 mgc_inout_fifo_wait " "Found entity 13: mgc_inout_fifo_wait" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 339 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "14 mgc_io_sync " "Found entity 14: mgc_io_sync" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 419 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "15 mgc_bsync_rdy " "Found entity 15: mgc_bsync_rdy" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 428 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "16 mgc_bsync_vld " "Found entity 16: mgc_bsync_vld" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 443 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "17 mgc_bsync_rv " "Found entity 17: mgc_bsync_rv" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 458 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "18 mgc_sync " "Found entity 18: mgc_sync" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 479 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "19 funccall_inout " "Found entity 19: funccall_inout" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 498 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} { "Info" "ISGN_ENTITY_NAME" "20 modulario_en_in " "Found entity 20: modulario_en_in" { } { { "../../../../dot_product/dot_product/rtl_mgc_ioport.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl_mgc_ioport.v" 526 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848305097 ""}
-{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/catapult c/dot_product/dot_product/rtl.v 3 3 " "Found 3 design units, including 3 entities, in source file /catapult c/dot_product/dot_product/rtl.v" { { "Info" "ISGN_ENTITY_NAME" "1 dot_product_core_fsm " "Found entity 1: dot_product_core_fsm" { } { { "../../../../dot_product/dot_product/rtl.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl.v" 17 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305100 ""} { "Info" "ISGN_ENTITY_NAME" "2 dot_product_core " "Found entity 2: dot_product_core" { } { { "../../../../dot_product/dot_product/rtl.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl.v" 92 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305100 ""} { "Info" "ISGN_ENTITY_NAME" "3 dot_product " "Found entity 3: dot_product" { } { { "../../../../dot_product/dot_product/rtl.v" "" { Text "C:/Catapult C/dot_product/dot_product/rtl.v" 175 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305100 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1456848305100 ""}
-{ "Warning" "WSGN_SEARCH_FILE" "ise_proj.bdf 1 1 " "Using design file ise_proj.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 ise_proj " "Found entity 1: ise_proj" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1456848305129 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1456848305129 ""}
-{ "Info" "ISGN_START_ELABORATION_TOP" "ise_proj " "Elaborating entity \"ise_proj\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1456848305131 ""}
-{ "Warning" "WGDFX_NO_SUPERSET_FOUND" "" "No superset bus at connection" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 80 432 432 104 "" "" } { 48 432 432 64 "" "" } { 64 432 432 80 "" "" } { 32 432 499 48 "A\[7..4\]" "" } { 48 432 505 64 "B\[7..4\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } } } } } 0 275002 "No superset bus at connection" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_CLK " "Pin \"VGA_CLK\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 680 32 208 696 "VGA_CLK" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_SYNC " "Pin \"VGA_SYNC\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 656 32 208 672 "VGA_SYNC" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_BLANK " "Pin \"VGA_BLANK\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 632 32 208 648 "VGA_BLANK" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_VS " "Pin \"VGA_VS\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 608 32 208 624 "VGA_VS" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_HS " "Pin \"VGA_HS\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 584 32 208 600 "VGA_HS" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "HEX0_D\[6..0\] " "Pin \"HEX0_D\[6..0\]\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_B\[3..0\] " "Pin \"VGA_B\[3..0\]\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_G\[3..0\] " "Pin \"VGA_G\[3..0\]\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_NO_SOURCE_FOR_PIN" "VGA_R\[3..0\] " "Pin \"VGA_R\[3..0\]\" is missing source" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } } } 0 275043 "Pin \"%1!s!\" is missing source" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_PIN_IGNORED" "PS2_MSDAT " "Pin \"PS2_MSDAT\" not connected" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 416 40 208 432 "PS2_MSDAT" "" } } } } } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_PIN_IGNORED" "PS2_MSCLK " "Pin \"PS2_MSCLK\" not connected" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 440 40 208 456 "PS2_MSCLK" "" } } } } } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_PIN_IGNORED" "CLOCK_50 " "Pin \"CLOCK_50\" not connected" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 88 40 208 104 "CLOCK_50" "" } } } } } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848305132 ""}
-{ "Warning" "WGDFX_PIN_IGNORED" "CLOCK_50_2 " "Pin \"CLOCK_50_2\" not connected" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 56 40 208 72 "CLOCK_50_2" "" } } } } } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848305133 ""}
-{ "Warning" "WGDFX_PIN_IGNORED" "BUTTON " "Pin \"BUTTON\" not connected" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 120 40 208 136 "BUTTON\[2..0\]" "" } { 208 212 296 220 "BUTTON\[2\]" "" } { 112 208 280 128 "BUTTON\[2..0\]" "" } } } } } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848305133 ""}
-{ "Warning" "WGDFX_PIN_IGNORED" "SW " "Pin \"SW\" not connected" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW\[9\]" "" } { 224 40 208 240 "SW\[8\]" "" } { 176 40 208 192 "SW\[7..4\]" "" } { 152 40 208 168 "SW\[3..0\]" "" } } } } } 0 275009 "Pin \"%1!s!\" not connected" 0 0 "Quartus II" 0 -1 1456848305133 ""}
-{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_CLK GND " "Pin \"VGA_CLK\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 680 32 208 696 "VGA_CLK" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_CLK"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_SYNC GND " "Pin \"VGA_SYNC\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 656 32 208 672 "VGA_SYNC" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_SYNC"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_BLANK GND " "Pin \"VGA_BLANK\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 632 32 208 648 "VGA_BLANK" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_BLANK"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_VS GND " "Pin \"VGA_VS\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 608 32 208 624 "VGA_VS" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_VS"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_HS GND " "Pin \"VGA_HS\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 584 32 208 600 "VGA_HS" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_HS"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[6\] GND " "Pin \"HEX0_D\[6\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[5\] GND " "Pin \"HEX0_D\[5\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[4\] GND " "Pin \"HEX0_D\[4\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[3\] GND " "Pin \"HEX0_D\[3\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[2\] GND " "Pin \"HEX0_D\[2\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[1\] GND " "Pin \"HEX0_D\[1\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "HEX0_D\[0\] GND " "Pin \"HEX0_D\[0\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 360 32 208 376 "HEX0_D\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|HEX0_D[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[9\] GND " "Pin \"LEDG\[9\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[9]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[8\] GND " "Pin \"LEDG\[8\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[8]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[7\] GND " "Pin \"LEDG\[7\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[7]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[6\] GND " "Pin \"LEDG\[6\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[6]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[5\] GND " "Pin \"LEDG\[5\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[5]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[4\] GND " "Pin \"LEDG\[4\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[4]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[3\] GND " "Pin \"LEDG\[3\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[2\] GND " "Pin \"LEDG\[2\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[1\] GND " "Pin \"LEDG\[1\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "LEDG\[0\] GND " "Pin \"LEDG\[0\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 312 32 208 328 "LEDG\[9..0\]" "" } { 64 432 525 80 "LEDG\[9..8\]" "" } { 304 208 281 320 "LEDG\[9..0\]" "" } { 168 544 607 184 "LEDG\[7..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|LEDG[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[3\] GND " "Pin \"VGA_B\[3\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_B[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[2\] GND " "Pin \"VGA_B\[2\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_B[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[1\] GND " "Pin \"VGA_B\[1\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_B[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_B\[0\] GND " "Pin \"VGA_B\[0\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 560 32 208 576 "VGA_B\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_B[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[3\] GND " "Pin \"VGA_G\[3\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_G[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[2\] GND " "Pin \"VGA_G\[2\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_G[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[1\] GND " "Pin \"VGA_G\[1\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_G[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_G\[0\] GND " "Pin \"VGA_G\[0\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 536 32 208 552 "VGA_G\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_G[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[3\] GND " "Pin \"VGA_R\[3\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_R[3]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[2\] GND " "Pin \"VGA_R\[2\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_R[2]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[1\] GND " "Pin \"VGA_R\[1\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_R[1]"} { "Warning" "WMLS_MLS_STUCK_PIN" "VGA_R\[0\] GND " "Pin \"VGA_R\[0\]\" is stuck at GND" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 504 32 208 520 "VGA_R\[3..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1456848305386 "|ise_proj|VGA_R[0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1456848305386 ""}
-{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DE0_TOP " "Ignored assignments for entity \"DE0_TOP\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_ROOT_REGION ON -entity DE0_TOP -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_ROOT_REGION ON -entity DE0_TOP -section_id \"Root Region\" was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_TOP -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_TOP -section_id \"Root Region\" was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_TOP -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_TOP -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_TOP -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305395 ""} } { } 0 20013 "Ignored assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Quartus II" 0 -1 1456848305395 ""}
-{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DE0_VGA " "Ignored assignments for entity \"DE0_VGA\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_ROOT_REGION ON -entity DE0_VGA -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_ROOT_REGION ON -entity DE0_VGA -section_id \"Root Region\" was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_VGA -section_id \"Root Region\" " "Assignment for entity set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_VGA -section_id \"Root Region\" was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_VGA -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_VGA -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_VGA -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Quartus II" 0 -1 1456848305396 ""} } { } 0 20013 "Ignored assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Quartus II" 0 -1 1456848305396 ""}
-{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1456848305504 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305504 ""}
-{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "17 " "Design contains 17 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PS2_MSDAT " "No output dependent on input pin \"PS2_MSDAT\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 416 40 208 432 "PS2_MSDAT" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|PS2_MSDAT"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PS2_MSCLK " "No output dependent on input pin \"PS2_MSCLK\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 440 40 208 456 "PS2_MSCLK" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|PS2_MSCLK"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_50 " "No output dependent on input pin \"CLOCK_50\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 88 40 208 104 "CLOCK_50" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|CLOCK_50"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "CLOCK_50_2 " "No output dependent on input pin \"CLOCK_50_2\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 56 40 208 72 "CLOCK_50_2" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|CLOCK_50_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "BUTTON\[2\] " "No output dependent on input pin \"BUTTON\[2\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 120 40 208 136 "BUTTON" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|BUTTON[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "BUTTON\[1\] " "No output dependent on input pin \"BUTTON\[1\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 120 40 208 136 "BUTTON" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|BUTTON[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "BUTTON\[0\] " "No output dependent on input pin \"BUTTON\[0\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 120 40 208 136 "BUTTON" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|BUTTON[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[9\] " "No output dependent on input pin \"SW\[9\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[9]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[8\] " "No output dependent on input pin \"SW\[8\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[8]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[7\] " "No output dependent on input pin \"SW\[7\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[7]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[6\] " "No output dependent on input pin \"SW\[6\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[6]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[5\] " "No output dependent on input pin \"SW\[5\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[5]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[4\] " "No output dependent on input pin \"SW\[4\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[4]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[3\] " "No output dependent on input pin \"SW\[3\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[3]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[2\] " "No output dependent on input pin \"SW\[2\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[1\] " "No output dependent on input pin \"SW\[1\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SW\[0\] " "No output dependent on input pin \"SW\[0\]\"" { } { { "ise_proj.bdf" "" { Schematic "C:/Catapult C/student_files_2015/student_files_2015/prj1/quartus_proj_DE0/ise_proj.bdf" { { 240 40 208 256 "SW" "" } } } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1456848305528 "|ise_proj|SW[0]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1456848305528 ""}
-{ "Info" "ICUT_CUT_TM_SUMMARY" "51 " "Implemented 51 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "17 " "Implemented 17 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1456848305529 ""} { "Info" "ICUT_CUT_TM_OPINS" "34 " "Implemented 34 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1456848305529 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1456848305529 ""}
-{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 120 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 120 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "448 " "Peak virtual memory: 448 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456848305543 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 01 16:05:05 2016 " "Processing ended: Tue Mar 01 16:05:05 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456848305543 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456848305543 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456848305543 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456848305543 ""}