aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/sdram_pll.bsf
diff options
context:
space:
mode:
Diffstat (limited to 'dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/sdram_pll.bsf')
-rw-r--r--dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/sdram_pll.bsf81
1 files changed, 81 insertions, 0 deletions
diff --git a/dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/sdram_pll.bsf b/dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/sdram_pll.bsf
new file mode 100644
index 0000000..a895305
--- /dev/null
+++ b/dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/V/sdram_pll.bsf
@@ -0,0 +1,81 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2013 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions
+and other software and tools, and its AMPP partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Altera Program License
+Subscription Agreement, Altera MegaCore Function License
+Agreement, or other applicable license agreement, including,
+without limitation, that your use is for the sole purpose of
+programming logic devices manufactured by Altera and sold by
+Altera or its authorized distributors. Please refer to the
+applicable agreement for further details.
+*/
+(header "symbol" (version "1.2"))
+(symbol
+ (rect 0 0 240 168)
+ (text "sdram_pll" (rect 92 0 158 16)(font "Arial" (font_size 10)))
+ (text "inst" (rect 8 152 25 164)(font "Arial" ))
+ (port
+ (pt 0 64)
+ (input)
+ (text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8)))
+ (text "inclk0" (rect 4 50 29 63)(font "Arial" (font_size 8)))
+ (line (pt 0 64)(pt 40 64))
+ )
+ (port
+ (pt 240 64)
+ (output)
+ (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
+ (text "c0" (rect 224 50 234 63)(font "Arial" (font_size 8)))
+ )
+ (port
+ (pt 240 80)
+ (output)
+ (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
+ (text "c1" (rect 224 66 232 79)(font "Arial" (font_size 8)))
+ )
+ (drawing
+ (text "Cyclone III" (rect 178 152 401 315)(font "Arial" ))
+ (text "inclk0 frequency: 50.000 MHz" (rect 50 59 223 129)(font "Arial" ))
+ (text "Operation Mode: Normal" (rect 50 72 199 155)(font "Arial" ))
+ (text "Clk " (rect 51 93 116 197)(font "Arial" ))
+ (text "Ratio" (rect 72 93 164 197)(font "Arial" ))
+ (text "Ph (dg)" (rect 98 93 225 197)(font "Arial" ))
+ (text "DC (%)" (rect 132 93 294 197)(font "Arial" ))
+ (text "c0" (rect 54 107 116 225)(font "Arial" ))
+ (text "5/2" (rect 77 107 165 225)(font "Arial" ))
+ (text "0.00" (rect 104 107 224 225)(font "Arial" ))
+ (text "50.00" (rect 136 107 293 225)(font "Arial" ))
+ (text "c1" (rect 54 121 115 253)(font "Arial" ))
+ (text "5/2" (rect 77 121 165 253)(font "Arial" ))
+ (text "-117.00" (rect 98 121 224 253)(font "Arial" ))
+ (text "50.00" (rect 136 121 293 253)(font "Arial" ))
+ (line (pt 0 0)(pt 241 0))
+ (line (pt 241 0)(pt 241 169))
+ (line (pt 0 169)(pt 241 169))
+ (line (pt 0 0)(pt 0 169))
+ (line (pt 48 91)(pt 164 91))
+ (line (pt 48 104)(pt 164 104))
+ (line (pt 48 118)(pt 164 118))
+ (line (pt 48 132)(pt 164 132))
+ (line (pt 48 91)(pt 48 132))
+ (line (pt 69 91)(pt 69 132)(line_width 3))
+ (line (pt 95 91)(pt 95 132)(line_width 3))
+ (line (pt 129 91)(pt 129 132)(line_width 3))
+ (line (pt 163 91)(pt 163 132))
+ (line (pt 40 48)(pt 207 48))
+ (line (pt 207 48)(pt 207 151))
+ (line (pt 40 151)(pt 207 151))
+ (line (pt 40 48)(pt 40 151))
+ (line (pt 239 64)(pt 207 64))
+ (line (pt 239 80)(pt 207 80))
+ )
+)