aboutsummaryrefslogtreecommitdiffstats
path: root/sobel_filter/Sobel/sobel.v10/schematic.nlv
diff options
context:
space:
mode:
Diffstat (limited to 'sobel_filter/Sobel/sobel.v10/schematic.nlv')
-rw-r--r--sobel_filter/Sobel/sobel.v10/schematic.nlv12161
1 files changed, 12161 insertions, 0 deletions
diff --git a/sobel_filter/Sobel/sobel.v10/schematic.nlv b/sobel_filter/Sobel/sobel.v10/schematic.nlv
new file mode 100644
index 0000000..1bbff8d
--- /dev/null
+++ b/sobel_filter/Sobel/sobel.v10/schematic.nlv
@@ -0,0 +1,12161 @@
+
+# Program: Catapult University Version
+# Version: 2011a.126
+# File: Nlview netlist
+
+module new "sobel:core" "orig"
+load port {clk} input -attr xrf 44125 -attr oid 1 -attr vt d -attr @path {/sobel/sobel:core/clk}
+load port {en} input -attr xrf 44126 -attr oid 2 -attr vt d -attr @path {/sobel/sobel:core/en}
+load port {arst_n} input -attr xrf 44127 -attr oid 3 -attr vt d -attr @path {/sobel/sobel:core/arst_n}
+load portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} -attr xrf 44128 -attr oid 4 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} -attr xrf 44129 -attr oid 5 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load symbol "add(5,-1,5,-1,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,0,5,1,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(9,0,8,1,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(10,-1,10,-1,10)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "or(2,10)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "or(2,6)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(5:0)} input 6 {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(5:0)} input 6 {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "nand(3,1)" "INTERFACE" NAND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {A2(0:0)} input 1 {A2(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "mux(2,30)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(29:0)} input 30 {A0(29)} {A0(28)} {A0(27)} {A0(26)} {A0(25)} {A0(24)} {A0(23)} {A0(22)} {A0(21)} {A0(20)} {A0(19)} {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(29:0)} input 30 {A1(29)} {A1(28)} {A1(27)} {A1(26)} {A1(25)} {A1(24)} {A1(23)} {A1(22)} {A1(21)} {A1(20)} {A1(19)} {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(30,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(29:0)} input 30 {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(29:0)} input 30 {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,-1,1,1,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "reg(2,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(1:0)} input 2 {D(1)} {D(0)} \
+ portBus {DRa(1:0)} input 2 {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,5,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(6,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(5:0)} input 6 {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(5:0)} input 6 {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(3,0,6,0,9)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(9,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(8:0)} input 9 {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(8:0)} input 9 {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "not(1)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(0:0)} input 1 {A(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "not(3)" "INTERFACE" INV boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,-1,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,0,3,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(5,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(4:0)} input 5 {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(4:0)} input 5 {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(1,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(0:0)} input 1 {D(0)} \
+ portBus {DRa(0:0)} input 1 {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "mul(2,0,9,0,11)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(11,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(10:0)} input 11 {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(10:0)} input 11 {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "and(2,2)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(1:0)} input 2 {A0(1)} {A0(0)} \
+ portBus {A1(1:0)} input 2 {A1(1)} {A1(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "mux(2,2)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(1:0)} input 2 {A0(1)} {A0(0)} \
+ portBus {A1(1:0)} input 2 {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "mux(2,1)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "and(2,1)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "reg(90,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(89:0)} input 90 {D(89)} {D(88)} {D(87)} {D(86)} {D(85)} {D(84)} {D(83)} {D(82)} {D(81)} {D(80)} {D(79)} {D(78)} {D(77)} {D(76)} {D(75)} {D(74)} {D(73)} {D(72)} {D(71)} {D(70)} {D(69)} {D(68)} {D(67)} {D(66)} {D(65)} {D(64)} {D(63)} {D(62)} {D(61)} {D(60)} {D(59)} {D(58)} {D(57)} {D(56)} {D(55)} {D(54)} {D(53)} {D(52)} {D(51)} {D(50)} {D(49)} {D(48)} {D(47)} {D(46)} {D(45)} {D(44)} {D(43)} {D(42)} {D(41)} {D(40)} {D(39)} {D(38)} {D(37)} {D(36)} {D(35)} {D(34)} {D(33)} {D(32)} {D(31)} {D(30)} {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(89:0)} input 90 {DRa(89)} {DRa(88)} {DRa(87)} {DRa(86)} {DRa(85)} {DRa(84)} {DRa(83)} {DRa(82)} {DRa(81)} {DRa(80)} {DRa(79)} {DRa(78)} {DRa(77)} {DRa(76)} {DRa(75)} {DRa(74)} {DRa(73)} {DRa(72)} {DRa(71)} {DRa(70)} {DRa(69)} {DRa(68)} {DRa(67)} {DRa(66)} {DRa(65)} {DRa(64)} {DRa(63)} {DRa(62)} {DRa(61)} {DRa(60)} {DRa(59)} {DRa(58)} {DRa(57)} {DRa(56)} {DRa(55)} {DRa(54)} {DRa(53)} {DRa(52)} {DRa(51)} {DRa(50)} {DRa(49)} {DRa(48)} {DRa(47)} {DRa(46)} {DRa(45)} {DRa(44)} {DRa(43)} {DRa(42)} {DRa(41)} {DRa(40)} {DRa(39)} {DRa(38)} {DRa(37)} {DRa(36)} {DRa(35)} {DRa(34)} {DRa(33)} {DRa(32)} {DRa(31)} {DRa(30)} {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(89:0)} output 90 {Z(89)} {Z(88)} {Z(87)} {Z(86)} {Z(85)} {Z(84)} {Z(83)} {Z(82)} {Z(81)} {Z(80)} {Z(79)} {Z(78)} {Z(77)} {Z(76)} {Z(75)} {Z(74)} {Z(73)} {Z(72)} {Z(71)} {Z(70)} {Z(69)} {Z(68)} {Z(67)} {Z(66)} {Z(65)} {Z(64)} {Z(63)} {Z(62)} {Z(61)} {Z(60)} {Z(59)} {Z(58)} {Z(57)} {Z(56)} {Z(55)} {Z(54)} {Z(53)} {Z(52)} {Z(51)} {Z(50)} {Z(49)} {Z(48)} {Z(47)} {Z(46)} {Z(45)} {Z(44)} {Z(43)} {Z(42)} {Z(41)} {Z(40)} {Z(39)} {Z(38)} {Z(37)} {Z(36)} {Z(35)} {Z(34)} {Z(33)} {Z(32)} {Z(31)} {Z(30)} {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(4,10)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {A2(9:0)} input 10 {A2(9)} {A2(8)} {A2(7)} {A2(6)} {A2(5)} {A2(4)} {A2(3)} {A2(2)} {A2(1)} {A2(0)} \
+ portBus {A3(9:0)} input 10 {A3(9)} {A3(8)} {A3(7)} {A3(6)} {A3(5)} {A3(4)} {A3(3)} {A3(2)} {A3(1)} {A3(0)} \
+ portBus {S(1:0)} input.top 2 {S(1)} {S(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(10,1,2,1,11)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(15,-1,11,1,15)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(14:0)} input 15 {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,15)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(14:0)} input 15 {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(14:0)} input 15 {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(15,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(14:0)} input 15 {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(14:0)} input 15 {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(16,-1,11,1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mux(2,16)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(15:0)} input 16 {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(15:0)} input 16 {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(16,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(15:0)} input 16 {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(15:0)} input 16 {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "and(3,1)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {A2(0:0)} input 1 {A2(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "mux(2,19)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(18:0)} input 19 {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(18:0)} input 19 {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "reg(19,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
+ portBus {D(18:0)} input 19 {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
+ portBus {DRa(18:0)} input 19 {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
+ port {clk} input.clk \
+ portBus {en(0:0)} input 1 {en(0)} \
+ portBus {Ra(0:0)} input 1 {Ra(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(11,0,10,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(12,-1,11,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(2,-1,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
+
+load symbol "or(2,1)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "mux(2,90)" "INTERFACE" MUX boxcolor 0 \
+ portBus {A0(89:0)} input 90 {A0(89)} {A0(88)} {A0(87)} {A0(86)} {A0(85)} {A0(84)} {A0(83)} {A0(82)} {A0(81)} {A0(80)} {A0(79)} {A0(78)} {A0(77)} {A0(76)} {A0(75)} {A0(74)} {A0(73)} {A0(72)} {A0(71)} {A0(70)} {A0(69)} {A0(68)} {A0(67)} {A0(66)} {A0(65)} {A0(64)} {A0(63)} {A0(62)} {A0(61)} {A0(60)} {A0(59)} {A0(58)} {A0(57)} {A0(56)} {A0(55)} {A0(54)} {A0(53)} {A0(52)} {A0(51)} {A0(50)} {A0(49)} {A0(48)} {A0(47)} {A0(46)} {A0(45)} {A0(44)} {A0(43)} {A0(42)} {A0(41)} {A0(40)} {A0(39)} {A0(38)} {A0(37)} {A0(36)} {A0(35)} {A0(34)} {A0(33)} {A0(32)} {A0(31)} {A0(30)} {A0(29)} {A0(28)} {A0(27)} {A0(26)} {A0(25)} {A0(24)} {A0(23)} {A0(22)} {A0(21)} {A0(20)} {A0(19)} {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(89:0)} input 90 {A1(89)} {A1(88)} {A1(87)} {A1(86)} {A1(85)} {A1(84)} {A1(83)} {A1(82)} {A1(81)} {A1(80)} {A1(79)} {A1(78)} {A1(77)} {A1(76)} {A1(75)} {A1(74)} {A1(73)} {A1(72)} {A1(71)} {A1(70)} {A1(69)} {A1(68)} {A1(67)} {A1(66)} {A1(65)} {A1(64)} {A1(63)} {A1(62)} {A1(61)} {A1(60)} {A1(59)} {A1(58)} {A1(57)} {A1(56)} {A1(55)} {A1(54)} {A1(53)} {A1(52)} {A1(51)} {A1(50)} {A1(49)} {A1(48)} {A1(47)} {A1(46)} {A1(45)} {A1(44)} {A1(43)} {A1(42)} {A1(41)} {A1(40)} {A1(39)} {A1(38)} {A1(37)} {A1(36)} {A1(35)} {A1(34)} {A1(33)} {A1(32)} {A1(31)} {A1(30)} {A1(29)} {A1(28)} {A1(27)} {A1(26)} {A1(25)} {A1(24)} {A1(23)} {A1(22)} {A1(21)} {A1(20)} {A1(19)} {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {S(0:0)} input.top 1 {S(0)} \
+ portBus {Z(89:0)} output 90 {Z(89)} {Z(88)} {Z(87)} {Z(86)} {Z(85)} {Z(84)} {Z(83)} {Z(82)} {Z(81)} {Z(80)} {Z(79)} {Z(78)} {Z(77)} {Z(76)} {Z(75)} {Z(74)} {Z(73)} {Z(72)} {Z(71)} {Z(70)} {Z(69)} {Z(68)} {Z(67)} {Z(66)} {Z(65)} {Z(64)} {Z(63)} {Z(62)} {Z(61)} {Z(60)} {Z(59)} {Z(58)} {Z(57)} {Z(56)} {Z(55)} {Z(54)} {Z(53)} {Z(52)} {Z(51)} {Z(50)} {Z(49)} {Z(48)} {Z(47)} {Z(46)} {Z(45)} {Z(44)} {Z(43)} {Z(42)} {Z(41)} {Z(40)} {Z(39)} {Z(38)} {Z(37)} {Z(36)} {Z(35)} {Z(34)} {Z(33)} {Z(32)} {Z(31)} {Z(30)} {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(7,0,8,-1,8)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(19,-1,1,0,19)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(18:0)} input 19 {A(18)} {A(17)} {A(16)} {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(0:0)} input 1 {B(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "and(2,19)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(18:0)} input 19 {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(18:0)} input 19 {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(18:0)} output 19 {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(3,0,3,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
+ portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
+ portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(4,0,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(5,0,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(6,-1,6,-1,6)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(15,-1,15,-1,15)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(14:0)} input 15 {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(14:0)} input 15 {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(16,-1,16,-1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(2,0,9,0,10)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(1:0)} input 2 {A(1)} {A(0)} \
+ portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "and(2,15)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(14:0)} input 15 {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(14:0)} input 15 {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "mul(10,1,2,1,12)" "INTERFACE" RTL(*) boxcolor 0 \
+ portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(1:0)} input 2 {B(1)} {B(0)} \
+ portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "add(16,-1,12,1,16)" "INTERFACE" RTL(+) boxcolor 0 \
+ portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
+ portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "and(2,16)" "INTERFACE" AND boxcolor 0 \
+ portBus {A0(15:0)} input 16 {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
+ portBus {A1(15:0)} input 16 {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
+ portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
+
+load symbol "nor(2,1)" "INTERFACE" NOR boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "nand(2,1)" "INTERFACE" NAND boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load symbol "or(3,1)" "INTERFACE" OR boxcolor 0 \
+ portBus {A0(0:0)} input 1 {A0(0)} \
+ portBus {A1(0:0)} input 1 {A1(0)} \
+ portBus {A2(0:0)} input 1 {A2(0)} \
+ portBus {Z(0:0)} output 1 {Z(0)} \
+
+load net {FRAME:p#1.lpi#1(0)} -attr vt d
+load net {FRAME:p#1.lpi#1(1)} -attr vt d
+load net {FRAME:p#1.lpi#1(2)} -attr vt d
+load net {FRAME:p#1.lpi#1(3)} -attr vt d
+load net {FRAME:p#1.lpi#1(4)} -attr vt d
+load net {FRAME:p#1.lpi#1(5)} -attr vt d
+load net {FRAME:p#1.lpi#1(6)} -attr vt d
+load net {FRAME:p#1.lpi#1(7)} -attr vt d
+load net {FRAME:p#1.lpi#1(8)} -attr vt d
+load net {FRAME:p#1.lpi#1(9)} -attr vt d
+load net {FRAME:p#1.lpi#1(10)} -attr vt d
+load net {FRAME:p#1.lpi#1(11)} -attr vt d
+load net {FRAME:p#1.lpi#1(12)} -attr vt d
+load net {FRAME:p#1.lpi#1(13)} -attr vt d
+load net {FRAME:p#1.lpi#1(14)} -attr vt d
+load net {FRAME:p#1.lpi#1(15)} -attr vt d
+load net {FRAME:p#1.lpi#1(16)} -attr vt d
+load net {FRAME:p#1.lpi#1(17)} -attr vt d
+load net {FRAME:p#1.lpi#1(18)} -attr vt d
+load netBundle {FRAME:p#1.lpi#1} 19 {FRAME:p#1.lpi#1(0)} {FRAME:p#1.lpi#1(1)} {FRAME:p#1.lpi#1(2)} {FRAME:p#1.lpi#1(3)} {FRAME:p#1.lpi#1(4)} {FRAME:p#1.lpi#1(5)} {FRAME:p#1.lpi#1(6)} {FRAME:p#1.lpi#1(7)} {FRAME:p#1.lpi#1(8)} {FRAME:p#1.lpi#1(9)} {FRAME:p#1.lpi#1(10)} {FRAME:p#1.lpi#1(11)} {FRAME:p#1.lpi#1(12)} {FRAME:p#1.lpi#1(13)} {FRAME:p#1.lpi#1(14)} {FRAME:p#1.lpi#1(15)} {FRAME:p#1.lpi#1(16)} {FRAME:p#1.lpi#1(17)} {FRAME:p#1.lpi#1(18)} -attr xrf 44130 -attr oid 6 -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {b(1).sg1.lpi#1(0)} -attr vt d
+load net {b(1).sg1.lpi#1(1)} -attr vt d
+load net {b(1).sg1.lpi#1(2)} -attr vt d
+load net {b(1).sg1.lpi#1(3)} -attr vt d
+load net {b(1).sg1.lpi#1(4)} -attr vt d
+load net {b(1).sg1.lpi#1(5)} -attr vt d
+load net {b(1).sg1.lpi#1(6)} -attr vt d
+load net {b(1).sg1.lpi#1(7)} -attr vt d
+load net {b(1).sg1.lpi#1(8)} -attr vt d
+load net {b(1).sg1.lpi#1(9)} -attr vt d
+load net {b(1).sg1.lpi#1(10)} -attr vt d
+load net {b(1).sg1.lpi#1(11)} -attr vt d
+load net {b(1).sg1.lpi#1(12)} -attr vt d
+load net {b(1).sg1.lpi#1(13)} -attr vt d
+load net {b(1).sg1.lpi#1(14)} -attr vt d
+load netBundle {b(1).sg1.lpi#1} 15 {b(1).sg1.lpi#1(0)} {b(1).sg1.lpi#1(1)} {b(1).sg1.lpi#1(2)} {b(1).sg1.lpi#1(3)} {b(1).sg1.lpi#1(4)} {b(1).sg1.lpi#1(5)} {b(1).sg1.lpi#1(6)} {b(1).sg1.lpi#1(7)} {b(1).sg1.lpi#1(8)} {b(1).sg1.lpi#1(9)} {b(1).sg1.lpi#1(10)} {b(1).sg1.lpi#1(11)} {b(1).sg1.lpi#1(12)} {b(1).sg1.lpi#1(13)} {b(1).sg1.lpi#1(14)} -attr xrf 44131 -attr oid 7 -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(0).lpi#1(0)} -attr vt d
+load net {b(0).lpi#1(1)} -attr vt d
+load net {b(0).lpi#1(2)} -attr vt d
+load net {b(0).lpi#1(3)} -attr vt d
+load net {b(0).lpi#1(4)} -attr vt d
+load net {b(0).lpi#1(5)} -attr vt d
+load net {b(0).lpi#1(6)} -attr vt d
+load net {b(0).lpi#1(7)} -attr vt d
+load net {b(0).lpi#1(8)} -attr vt d
+load net {b(0).lpi#1(9)} -attr vt d
+load net {b(0).lpi#1(10)} -attr vt d
+load net {b(0).lpi#1(11)} -attr vt d
+load net {b(0).lpi#1(12)} -attr vt d
+load net {b(0).lpi#1(13)} -attr vt d
+load net {b(0).lpi#1(14)} -attr vt d
+load net {b(0).lpi#1(15)} -attr vt d
+load netBundle {b(0).lpi#1} 16 {b(0).lpi#1(0)} {b(0).lpi#1(1)} {b(0).lpi#1(2)} {b(0).lpi#1(3)} {b(0).lpi#1(4)} {b(0).lpi#1(5)} {b(0).lpi#1(6)} {b(0).lpi#1(7)} {b(0).lpi#1(8)} {b(0).lpi#1(9)} {b(0).lpi#1(10)} {b(0).lpi#1(11)} {b(0).lpi#1(12)} {b(0).lpi#1(13)} {b(0).lpi#1(14)} {b(0).lpi#1(15)} -attr xrf 44132 -attr oid 8 -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(2).lpi#1(0)} -attr vt d
+load net {b(2).lpi#1(1)} -attr vt d
+load net {b(2).lpi#1(2)} -attr vt d
+load net {b(2).lpi#1(3)} -attr vt d
+load net {b(2).lpi#1(4)} -attr vt d
+load net {b(2).lpi#1(5)} -attr vt d
+load net {b(2).lpi#1(6)} -attr vt d
+load net {b(2).lpi#1(7)} -attr vt d
+load net {b(2).lpi#1(8)} -attr vt d
+load net {b(2).lpi#1(9)} -attr vt d
+load net {b(2).lpi#1(10)} -attr vt d
+load net {b(2).lpi#1(11)} -attr vt d
+load net {b(2).lpi#1(12)} -attr vt d
+load net {b(2).lpi#1(13)} -attr vt d
+load net {b(2).lpi#1(14)} -attr vt d
+load net {b(2).lpi#1(15)} -attr vt d
+load netBundle {b(2).lpi#1} 16 {b(2).lpi#1(0)} {b(2).lpi#1(1)} {b(2).lpi#1(2)} {b(2).lpi#1(3)} {b(2).lpi#1(4)} {b(2).lpi#1(5)} {b(2).lpi#1(6)} {b(2).lpi#1(7)} {b(2).lpi#1(8)} {b(2).lpi#1(9)} {b(2).lpi#1(10)} {b(2).lpi#1(11)} {b(2).lpi#1(12)} {b(2).lpi#1(13)} {b(2).lpi#1(14)} {b(2).lpi#1(15)} -attr xrf 44133 -attr oid 9 -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {g(1).sg1.lpi#1(0)} -attr vt d
+load net {g(1).sg1.lpi#1(1)} -attr vt d
+load net {g(1).sg1.lpi#1(2)} -attr vt d
+load net {g(1).sg1.lpi#1(3)} -attr vt d
+load net {g(1).sg1.lpi#1(4)} -attr vt d
+load net {g(1).sg1.lpi#1(5)} -attr vt d
+load net {g(1).sg1.lpi#1(6)} -attr vt d
+load net {g(1).sg1.lpi#1(7)} -attr vt d
+load net {g(1).sg1.lpi#1(8)} -attr vt d
+load net {g(1).sg1.lpi#1(9)} -attr vt d
+load net {g(1).sg1.lpi#1(10)} -attr vt d
+load net {g(1).sg1.lpi#1(11)} -attr vt d
+load net {g(1).sg1.lpi#1(12)} -attr vt d
+load net {g(1).sg1.lpi#1(13)} -attr vt d
+load net {g(1).sg1.lpi#1(14)} -attr vt d
+load netBundle {g(1).sg1.lpi#1} 15 {g(1).sg1.lpi#1(0)} {g(1).sg1.lpi#1(1)} {g(1).sg1.lpi#1(2)} {g(1).sg1.lpi#1(3)} {g(1).sg1.lpi#1(4)} {g(1).sg1.lpi#1(5)} {g(1).sg1.lpi#1(6)} {g(1).sg1.lpi#1(7)} {g(1).sg1.lpi#1(8)} {g(1).sg1.lpi#1(9)} {g(1).sg1.lpi#1(10)} {g(1).sg1.lpi#1(11)} {g(1).sg1.lpi#1(12)} {g(1).sg1.lpi#1(13)} {g(1).sg1.lpi#1(14)} -attr xrf 44134 -attr oid 10 -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(0).lpi#1(0)} -attr vt d
+load net {g(0).lpi#1(1)} -attr vt d
+load net {g(0).lpi#1(2)} -attr vt d
+load net {g(0).lpi#1(3)} -attr vt d
+load net {g(0).lpi#1(4)} -attr vt d
+load net {g(0).lpi#1(5)} -attr vt d
+load net {g(0).lpi#1(6)} -attr vt d
+load net {g(0).lpi#1(7)} -attr vt d
+load net {g(0).lpi#1(8)} -attr vt d
+load net {g(0).lpi#1(9)} -attr vt d
+load net {g(0).lpi#1(10)} -attr vt d
+load net {g(0).lpi#1(11)} -attr vt d
+load net {g(0).lpi#1(12)} -attr vt d
+load net {g(0).lpi#1(13)} -attr vt d
+load net {g(0).lpi#1(14)} -attr vt d
+load net {g(0).lpi#1(15)} -attr vt d
+load netBundle {g(0).lpi#1} 16 {g(0).lpi#1(0)} {g(0).lpi#1(1)} {g(0).lpi#1(2)} {g(0).lpi#1(3)} {g(0).lpi#1(4)} {g(0).lpi#1(5)} {g(0).lpi#1(6)} {g(0).lpi#1(7)} {g(0).lpi#1(8)} {g(0).lpi#1(9)} {g(0).lpi#1(10)} {g(0).lpi#1(11)} {g(0).lpi#1(12)} {g(0).lpi#1(13)} {g(0).lpi#1(14)} {g(0).lpi#1(15)} -attr xrf 44135 -attr oid 11 -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(2).lpi#1(0)} -attr vt d
+load net {g(2).lpi#1(1)} -attr vt d
+load net {g(2).lpi#1(2)} -attr vt d
+load net {g(2).lpi#1(3)} -attr vt d
+load net {g(2).lpi#1(4)} -attr vt d
+load net {g(2).lpi#1(5)} -attr vt d
+load net {g(2).lpi#1(6)} -attr vt d
+load net {g(2).lpi#1(7)} -attr vt d
+load net {g(2).lpi#1(8)} -attr vt d
+load net {g(2).lpi#1(9)} -attr vt d
+load net {g(2).lpi#1(10)} -attr vt d
+load net {g(2).lpi#1(11)} -attr vt d
+load net {g(2).lpi#1(12)} -attr vt d
+load net {g(2).lpi#1(13)} -attr vt d
+load net {g(2).lpi#1(14)} -attr vt d
+load net {g(2).lpi#1(15)} -attr vt d
+load netBundle {g(2).lpi#1} 16 {g(2).lpi#1(0)} {g(2).lpi#1(1)} {g(2).lpi#1(2)} {g(2).lpi#1(3)} {g(2).lpi#1(4)} {g(2).lpi#1(5)} {g(2).lpi#1(6)} {g(2).lpi#1(7)} {g(2).lpi#1(8)} {g(2).lpi#1(9)} {g(2).lpi#1(10)} {g(2).lpi#1(11)} {g(2).lpi#1(12)} {g(2).lpi#1(13)} {g(2).lpi#1(14)} {g(2).lpi#1(15)} -attr xrf 44136 -attr oid 12 -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {r(1).sg1.lpi#1(0)} -attr vt d
+load net {r(1).sg1.lpi#1(1)} -attr vt d
+load net {r(1).sg1.lpi#1(2)} -attr vt d
+load net {r(1).sg1.lpi#1(3)} -attr vt d
+load net {r(1).sg1.lpi#1(4)} -attr vt d
+load net {r(1).sg1.lpi#1(5)} -attr vt d
+load net {r(1).sg1.lpi#1(6)} -attr vt d
+load net {r(1).sg1.lpi#1(7)} -attr vt d
+load net {r(1).sg1.lpi#1(8)} -attr vt d
+load net {r(1).sg1.lpi#1(9)} -attr vt d
+load net {r(1).sg1.lpi#1(10)} -attr vt d
+load net {r(1).sg1.lpi#1(11)} -attr vt d
+load net {r(1).sg1.lpi#1(12)} -attr vt d
+load net {r(1).sg1.lpi#1(13)} -attr vt d
+load net {r(1).sg1.lpi#1(14)} -attr vt d
+load netBundle {r(1).sg1.lpi#1} 15 {r(1).sg1.lpi#1(0)} {r(1).sg1.lpi#1(1)} {r(1).sg1.lpi#1(2)} {r(1).sg1.lpi#1(3)} {r(1).sg1.lpi#1(4)} {r(1).sg1.lpi#1(5)} {r(1).sg1.lpi#1(6)} {r(1).sg1.lpi#1(7)} {r(1).sg1.lpi#1(8)} {r(1).sg1.lpi#1(9)} {r(1).sg1.lpi#1(10)} {r(1).sg1.lpi#1(11)} {r(1).sg1.lpi#1(12)} {r(1).sg1.lpi#1(13)} {r(1).sg1.lpi#1(14)} -attr xrf 44137 -attr oid 13 -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(0).lpi#1(0)} -attr vt d
+load net {r(0).lpi#1(1)} -attr vt d
+load net {r(0).lpi#1(2)} -attr vt d
+load net {r(0).lpi#1(3)} -attr vt d
+load net {r(0).lpi#1(4)} -attr vt d
+load net {r(0).lpi#1(5)} -attr vt d
+load net {r(0).lpi#1(6)} -attr vt d
+load net {r(0).lpi#1(7)} -attr vt d
+load net {r(0).lpi#1(8)} -attr vt d
+load net {r(0).lpi#1(9)} -attr vt d
+load net {r(0).lpi#1(10)} -attr vt d
+load net {r(0).lpi#1(11)} -attr vt d
+load net {r(0).lpi#1(12)} -attr vt d
+load net {r(0).lpi#1(13)} -attr vt d
+load net {r(0).lpi#1(14)} -attr vt d
+load net {r(0).lpi#1(15)} -attr vt d
+load netBundle {r(0).lpi#1} 16 {r(0).lpi#1(0)} {r(0).lpi#1(1)} {r(0).lpi#1(2)} {r(0).lpi#1(3)} {r(0).lpi#1(4)} {r(0).lpi#1(5)} {r(0).lpi#1(6)} {r(0).lpi#1(7)} {r(0).lpi#1(8)} {r(0).lpi#1(9)} {r(0).lpi#1(10)} {r(0).lpi#1(11)} {r(0).lpi#1(12)} {r(0).lpi#1(13)} {r(0).lpi#1(14)} {r(0).lpi#1(15)} -attr xrf 44138 -attr oid 14 -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(2).lpi#1(0)} -attr vt d
+load net {r(2).lpi#1(1)} -attr vt d
+load net {r(2).lpi#1(2)} -attr vt d
+load net {r(2).lpi#1(3)} -attr vt d
+load net {r(2).lpi#1(4)} -attr vt d
+load net {r(2).lpi#1(5)} -attr vt d
+load net {r(2).lpi#1(6)} -attr vt d
+load net {r(2).lpi#1(7)} -attr vt d
+load net {r(2).lpi#1(8)} -attr vt d
+load net {r(2).lpi#1(9)} -attr vt d
+load net {r(2).lpi#1(10)} -attr vt d
+load net {r(2).lpi#1(11)} -attr vt d
+load net {r(2).lpi#1(12)} -attr vt d
+load net {r(2).lpi#1(13)} -attr vt d
+load net {r(2).lpi#1(14)} -attr vt d
+load net {r(2).lpi#1(15)} -attr vt d
+load netBundle {r(2).lpi#1} 16 {r(2).lpi#1(0)} {r(2).lpi#1(1)} {r(2).lpi#1(2)} {r(2).lpi#1(3)} {r(2).lpi#1(4)} {r(2).lpi#1(5)} {r(2).lpi#1(6)} {r(2).lpi#1(7)} {r(2).lpi#1(8)} {r(2).lpi#1(9)} {r(2).lpi#1(10)} {r(2).lpi#1(11)} {r(2).lpi#1(12)} {r(2).lpi#1(13)} {r(2).lpi#1(14)} {r(2).lpi#1(15)} -attr xrf 44139 -attr oid 15 -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {i#6.lpi#1(0)} -attr vt d
+load net {i#6.lpi#1(1)} -attr vt d
+load netBundle {i#6.lpi#1} 2 {i#6.lpi#1(0)} {i#6.lpi#1(1)} -attr xrf 44140 -attr oid 16 -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1}
+load net {i#7.lpi#1(0)} -attr vt d
+load net {i#7.lpi#1(1)} -attr vt d
+load netBundle {i#7.lpi#1} 2 {i#7.lpi#1(0)} {i#7.lpi#1(1)} -attr xrf 44141 -attr oid 17 -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.regs(1).sva(0)} -attr vt d
+load net {regs.regs(1).sva(1)} -attr vt d
+load net {regs.regs(1).sva(2)} -attr vt d
+load net {regs.regs(1).sva(3)} -attr vt d
+load net {regs.regs(1).sva(4)} -attr vt d
+load net {regs.regs(1).sva(5)} -attr vt d
+load net {regs.regs(1).sva(6)} -attr vt d
+load net {regs.regs(1).sva(7)} -attr vt d
+load net {regs.regs(1).sva(8)} -attr vt d
+load net {regs.regs(1).sva(9)} -attr vt d
+load net {regs.regs(1).sva(10)} -attr vt d
+load net {regs.regs(1).sva(11)} -attr vt d
+load net {regs.regs(1).sva(12)} -attr vt d
+load net {regs.regs(1).sva(13)} -attr vt d
+load net {regs.regs(1).sva(14)} -attr vt d
+load net {regs.regs(1).sva(15)} -attr vt d
+load net {regs.regs(1).sva(16)} -attr vt d
+load net {regs.regs(1).sva(17)} -attr vt d
+load net {regs.regs(1).sva(18)} -attr vt d
+load net {regs.regs(1).sva(19)} -attr vt d
+load net {regs.regs(1).sva(20)} -attr vt d
+load net {regs.regs(1).sva(21)} -attr vt d
+load net {regs.regs(1).sva(22)} -attr vt d
+load net {regs.regs(1).sva(23)} -attr vt d
+load net {regs.regs(1).sva(24)} -attr vt d
+load net {regs.regs(1).sva(25)} -attr vt d
+load net {regs.regs(1).sva(26)} -attr vt d
+load net {regs.regs(1).sva(27)} -attr vt d
+load net {regs.regs(1).sva(28)} -attr vt d
+load net {regs.regs(1).sva(29)} -attr vt d
+load net {regs.regs(1).sva(30)} -attr vt d
+load net {regs.regs(1).sva(31)} -attr vt d
+load net {regs.regs(1).sva(32)} -attr vt d
+load net {regs.regs(1).sva(33)} -attr vt d
+load net {regs.regs(1).sva(34)} -attr vt d
+load net {regs.regs(1).sva(35)} -attr vt d
+load net {regs.regs(1).sva(36)} -attr vt d
+load net {regs.regs(1).sva(37)} -attr vt d
+load net {regs.regs(1).sva(38)} -attr vt d
+load net {regs.regs(1).sva(39)} -attr vt d
+load net {regs.regs(1).sva(40)} -attr vt d
+load net {regs.regs(1).sva(41)} -attr vt d
+load net {regs.regs(1).sva(42)} -attr vt d
+load net {regs.regs(1).sva(43)} -attr vt d
+load net {regs.regs(1).sva(44)} -attr vt d
+load net {regs.regs(1).sva(45)} -attr vt d
+load net {regs.regs(1).sva(46)} -attr vt d
+load net {regs.regs(1).sva(47)} -attr vt d
+load net {regs.regs(1).sva(48)} -attr vt d
+load net {regs.regs(1).sva(49)} -attr vt d
+load net {regs.regs(1).sva(50)} -attr vt d
+load net {regs.regs(1).sva(51)} -attr vt d
+load net {regs.regs(1).sva(52)} -attr vt d
+load net {regs.regs(1).sva(53)} -attr vt d
+load net {regs.regs(1).sva(54)} -attr vt d
+load net {regs.regs(1).sva(55)} -attr vt d
+load net {regs.regs(1).sva(56)} -attr vt d
+load net {regs.regs(1).sva(57)} -attr vt d
+load net {regs.regs(1).sva(58)} -attr vt d
+load net {regs.regs(1).sva(59)} -attr vt d
+load net {regs.regs(1).sva(60)} -attr vt d
+load net {regs.regs(1).sva(61)} -attr vt d
+load net {regs.regs(1).sva(62)} -attr vt d
+load net {regs.regs(1).sva(63)} -attr vt d
+load net {regs.regs(1).sva(64)} -attr vt d
+load net {regs.regs(1).sva(65)} -attr vt d
+load net {regs.regs(1).sva(66)} -attr vt d
+load net {regs.regs(1).sva(67)} -attr vt d
+load net {regs.regs(1).sva(68)} -attr vt d
+load net {regs.regs(1).sva(69)} -attr vt d
+load net {regs.regs(1).sva(70)} -attr vt d
+load net {regs.regs(1).sva(71)} -attr vt d
+load net {regs.regs(1).sva(72)} -attr vt d
+load net {regs.regs(1).sva(73)} -attr vt d
+load net {regs.regs(1).sva(74)} -attr vt d
+load net {regs.regs(1).sva(75)} -attr vt d
+load net {regs.regs(1).sva(76)} -attr vt d
+load net {regs.regs(1).sva(77)} -attr vt d
+load net {regs.regs(1).sva(78)} -attr vt d
+load net {regs.regs(1).sva(79)} -attr vt d
+load net {regs.regs(1).sva(80)} -attr vt d
+load net {regs.regs(1).sva(81)} -attr vt d
+load net {regs.regs(1).sva(82)} -attr vt d
+load net {regs.regs(1).sva(83)} -attr vt d
+load net {regs.regs(1).sva(84)} -attr vt d
+load net {regs.regs(1).sva(85)} -attr vt d
+load net {regs.regs(1).sva(86)} -attr vt d
+load net {regs.regs(1).sva(87)} -attr vt d
+load net {regs.regs(1).sva(88)} -attr vt d
+load net {regs.regs(1).sva(89)} -attr vt d
+load netBundle {regs.regs(1).sva} 90 {regs.regs(1).sva(0)} {regs.regs(1).sva(1)} {regs.regs(1).sva(2)} {regs.regs(1).sva(3)} {regs.regs(1).sva(4)} {regs.regs(1).sva(5)} {regs.regs(1).sva(6)} {regs.regs(1).sva(7)} {regs.regs(1).sva(8)} {regs.regs(1).sva(9)} {regs.regs(1).sva(10)} {regs.regs(1).sva(11)} {regs.regs(1).sva(12)} {regs.regs(1).sva(13)} {regs.regs(1).sva(14)} {regs.regs(1).sva(15)} {regs.regs(1).sva(16)} {regs.regs(1).sva(17)} {regs.regs(1).sva(18)} {regs.regs(1).sva(19)} {regs.regs(1).sva(20)} {regs.regs(1).sva(21)} {regs.regs(1).sva(22)} {regs.regs(1).sva(23)} {regs.regs(1).sva(24)} {regs.regs(1).sva(25)} {regs.regs(1).sva(26)} {regs.regs(1).sva(27)} {regs.regs(1).sva(28)} {regs.regs(1).sva(29)} {regs.regs(1).sva(30)} {regs.regs(1).sva(31)} {regs.regs(1).sva(32)} {regs.regs(1).sva(33)} {regs.regs(1).sva(34)} {regs.regs(1).sva(35)} {regs.regs(1).sva(36)} {regs.regs(1).sva(37)} {regs.regs(1).sva(38)} {regs.regs(1).sva(39)} {regs.regs(1).sva(40)} {regs.regs(1).sva(41)} {regs.regs(1).sva(42)} {regs.regs(1).sva(43)} {regs.regs(1).sva(44)} {regs.regs(1).sva(45)} {regs.regs(1).sva(46)} {regs.regs(1).sva(47)} {regs.regs(1).sva(48)} {regs.regs(1).sva(49)} {regs.regs(1).sva(50)} {regs.regs(1).sva(51)} {regs.regs(1).sva(52)} {regs.regs(1).sva(53)} {regs.regs(1).sva(54)} {regs.regs(1).sva(55)} {regs.regs(1).sva(56)} {regs.regs(1).sva(57)} {regs.regs(1).sva(58)} {regs.regs(1).sva(59)} {regs.regs(1).sva(60)} {regs.regs(1).sva(61)} {regs.regs(1).sva(62)} {regs.regs(1).sva(63)} {regs.regs(1).sva(64)} {regs.regs(1).sva(65)} {regs.regs(1).sva(66)} {regs.regs(1).sva(67)} {regs.regs(1).sva(68)} {regs.regs(1).sva(69)} {regs.regs(1).sva(70)} {regs.regs(1).sva(71)} {regs.regs(1).sva(72)} {regs.regs(1).sva(73)} {regs.regs(1).sva(74)} {regs.regs(1).sva(75)} {regs.regs(1).sva(76)} {regs.regs(1).sva(77)} {regs.regs(1).sva(78)} {regs.regs(1).sva(79)} {regs.regs(1).sva(80)} {regs.regs(1).sva(81)} {regs.regs(1).sva(82)} {regs.regs(1).sva(83)} {regs.regs(1).sva(84)} {regs.regs(1).sva(85)} {regs.regs(1).sva(86)} {regs.regs(1).sva(87)} {regs.regs(1).sva(88)} {regs.regs(1).sva(89)} -attr xrf 44142 -attr oid 18 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(0).sva(0)} -attr vt d
+load net {regs.regs(0).sva(1)} -attr vt d
+load net {regs.regs(0).sva(2)} -attr vt d
+load net {regs.regs(0).sva(3)} -attr vt d
+load net {regs.regs(0).sva(4)} -attr vt d
+load net {regs.regs(0).sva(5)} -attr vt d
+load net {regs.regs(0).sva(6)} -attr vt d
+load net {regs.regs(0).sva(7)} -attr vt d
+load net {regs.regs(0).sva(8)} -attr vt d
+load net {regs.regs(0).sva(9)} -attr vt d
+load net {regs.regs(0).sva(10)} -attr vt d
+load net {regs.regs(0).sva(11)} -attr vt d
+load net {regs.regs(0).sva(12)} -attr vt d
+load net {regs.regs(0).sva(13)} -attr vt d
+load net {regs.regs(0).sva(14)} -attr vt d
+load net {regs.regs(0).sva(15)} -attr vt d
+load net {regs.regs(0).sva(16)} -attr vt d
+load net {regs.regs(0).sva(17)} -attr vt d
+load net {regs.regs(0).sva(18)} -attr vt d
+load net {regs.regs(0).sva(19)} -attr vt d
+load net {regs.regs(0).sva(20)} -attr vt d
+load net {regs.regs(0).sva(21)} -attr vt d
+load net {regs.regs(0).sva(22)} -attr vt d
+load net {regs.regs(0).sva(23)} -attr vt d
+load net {regs.regs(0).sva(24)} -attr vt d
+load net {regs.regs(0).sva(25)} -attr vt d
+load net {regs.regs(0).sva(26)} -attr vt d
+load net {regs.regs(0).sva(27)} -attr vt d
+load net {regs.regs(0).sva(28)} -attr vt d
+load net {regs.regs(0).sva(29)} -attr vt d
+load net {regs.regs(0).sva(30)} -attr vt d
+load net {regs.regs(0).sva(31)} -attr vt d
+load net {regs.regs(0).sva(32)} -attr vt d
+load net {regs.regs(0).sva(33)} -attr vt d
+load net {regs.regs(0).sva(34)} -attr vt d
+load net {regs.regs(0).sva(35)} -attr vt d
+load net {regs.regs(0).sva(36)} -attr vt d
+load net {regs.regs(0).sva(37)} -attr vt d
+load net {regs.regs(0).sva(38)} -attr vt d
+load net {regs.regs(0).sva(39)} -attr vt d
+load net {regs.regs(0).sva(40)} -attr vt d
+load net {regs.regs(0).sva(41)} -attr vt d
+load net {regs.regs(0).sva(42)} -attr vt d
+load net {regs.regs(0).sva(43)} -attr vt d
+load net {regs.regs(0).sva(44)} -attr vt d
+load net {regs.regs(0).sva(45)} -attr vt d
+load net {regs.regs(0).sva(46)} -attr vt d
+load net {regs.regs(0).sva(47)} -attr vt d
+load net {regs.regs(0).sva(48)} -attr vt d
+load net {regs.regs(0).sva(49)} -attr vt d
+load net {regs.regs(0).sva(50)} -attr vt d
+load net {regs.regs(0).sva(51)} -attr vt d
+load net {regs.regs(0).sva(52)} -attr vt d
+load net {regs.regs(0).sva(53)} -attr vt d
+load net {regs.regs(0).sva(54)} -attr vt d
+load net {regs.regs(0).sva(55)} -attr vt d
+load net {regs.regs(0).sva(56)} -attr vt d
+load net {regs.regs(0).sva(57)} -attr vt d
+load net {regs.regs(0).sva(58)} -attr vt d
+load net {regs.regs(0).sva(59)} -attr vt d
+load net {regs.regs(0).sva(60)} -attr vt d
+load net {regs.regs(0).sva(61)} -attr vt d
+load net {regs.regs(0).sva(62)} -attr vt d
+load net {regs.regs(0).sva(63)} -attr vt d
+load net {regs.regs(0).sva(64)} -attr vt d
+load net {regs.regs(0).sva(65)} -attr vt d
+load net {regs.regs(0).sva(66)} -attr vt d
+load net {regs.regs(0).sva(67)} -attr vt d
+load net {regs.regs(0).sva(68)} -attr vt d
+load net {regs.regs(0).sva(69)} -attr vt d
+load net {regs.regs(0).sva(70)} -attr vt d
+load net {regs.regs(0).sva(71)} -attr vt d
+load net {regs.regs(0).sva(72)} -attr vt d
+load net {regs.regs(0).sva(73)} -attr vt d
+load net {regs.regs(0).sva(74)} -attr vt d
+load net {regs.regs(0).sva(75)} -attr vt d
+load net {regs.regs(0).sva(76)} -attr vt d
+load net {regs.regs(0).sva(77)} -attr vt d
+load net {regs.regs(0).sva(78)} -attr vt d
+load net {regs.regs(0).sva(79)} -attr vt d
+load net {regs.regs(0).sva(80)} -attr vt d
+load net {regs.regs(0).sva(81)} -attr vt d
+load net {regs.regs(0).sva(82)} -attr vt d
+load net {regs.regs(0).sva(83)} -attr vt d
+load net {regs.regs(0).sva(84)} -attr vt d
+load net {regs.regs(0).sva(85)} -attr vt d
+load net {regs.regs(0).sva(86)} -attr vt d
+load net {regs.regs(0).sva(87)} -attr vt d
+load net {regs.regs(0).sva(88)} -attr vt d
+load net {regs.regs(0).sva(89)} -attr vt d
+load netBundle {regs.regs(0).sva} 90 {regs.regs(0).sva(0)} {regs.regs(0).sva(1)} {regs.regs(0).sva(2)} {regs.regs(0).sva(3)} {regs.regs(0).sva(4)} {regs.regs(0).sva(5)} {regs.regs(0).sva(6)} {regs.regs(0).sva(7)} {regs.regs(0).sva(8)} {regs.regs(0).sva(9)} {regs.regs(0).sva(10)} {regs.regs(0).sva(11)} {regs.regs(0).sva(12)} {regs.regs(0).sva(13)} {regs.regs(0).sva(14)} {regs.regs(0).sva(15)} {regs.regs(0).sva(16)} {regs.regs(0).sva(17)} {regs.regs(0).sva(18)} {regs.regs(0).sva(19)} {regs.regs(0).sva(20)} {regs.regs(0).sva(21)} {regs.regs(0).sva(22)} {regs.regs(0).sva(23)} {regs.regs(0).sva(24)} {regs.regs(0).sva(25)} {regs.regs(0).sva(26)} {regs.regs(0).sva(27)} {regs.regs(0).sva(28)} {regs.regs(0).sva(29)} {regs.regs(0).sva(30)} {regs.regs(0).sva(31)} {regs.regs(0).sva(32)} {regs.regs(0).sva(33)} {regs.regs(0).sva(34)} {regs.regs(0).sva(35)} {regs.regs(0).sva(36)} {regs.regs(0).sva(37)} {regs.regs(0).sva(38)} {regs.regs(0).sva(39)} {regs.regs(0).sva(40)} {regs.regs(0).sva(41)} {regs.regs(0).sva(42)} {regs.regs(0).sva(43)} {regs.regs(0).sva(44)} {regs.regs(0).sva(45)} {regs.regs(0).sva(46)} {regs.regs(0).sva(47)} {regs.regs(0).sva(48)} {regs.regs(0).sva(49)} {regs.regs(0).sva(50)} {regs.regs(0).sva(51)} {regs.regs(0).sva(52)} {regs.regs(0).sva(53)} {regs.regs(0).sva(54)} {regs.regs(0).sva(55)} {regs.regs(0).sva(56)} {regs.regs(0).sva(57)} {regs.regs(0).sva(58)} {regs.regs(0).sva(59)} {regs.regs(0).sva(60)} {regs.regs(0).sva(61)} {regs.regs(0).sva(62)} {regs.regs(0).sva(63)} {regs.regs(0).sva(64)} {regs.regs(0).sva(65)} {regs.regs(0).sva(66)} {regs.regs(0).sva(67)} {regs.regs(0).sva(68)} {regs.regs(0).sva(69)} {regs.regs(0).sva(70)} {regs.regs(0).sva(71)} {regs.regs(0).sva(72)} {regs.regs(0).sva(73)} {regs.regs(0).sva(74)} {regs.regs(0).sva(75)} {regs.regs(0).sva(76)} {regs.regs(0).sva(77)} {regs.regs(0).sva(78)} {regs.regs(0).sva(79)} {regs.regs(0).sva(80)} {regs.regs(0).sva(81)} {regs.regs(0).sva(82)} {regs.regs(0).sva(83)} {regs.regs(0).sva(84)} {regs.regs(0).sva(85)} {regs.regs(0).sva(86)} {regs.regs(0).sva(87)} {regs.regs(0).sva(88)} {regs.regs(0).sva(89)} -attr xrf 44143 -attr oid 19 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(2).lpi#1.dfm(0)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(1)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(2)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(3)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(4)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(5)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(6)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(7)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(8)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(9)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(10)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(11)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(12)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(13)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(14)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(15)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(16)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(17)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(18)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(19)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(20)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(21)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(22)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(23)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(24)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(25)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(26)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(27)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(28)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(29)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(30)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(31)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(32)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(33)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(34)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(35)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(36)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(37)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(38)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(39)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(40)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(41)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(42)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(43)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(44)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(45)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(46)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(47)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(48)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(49)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(50)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(51)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(52)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(53)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(54)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(55)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(56)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(57)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(58)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(59)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(60)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(61)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(62)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(63)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(64)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(65)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(66)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(67)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(68)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(69)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(70)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(71)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(72)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(73)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(74)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(75)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(76)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(77)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(78)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(79)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(80)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(81)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(82)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(83)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(84)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(85)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(86)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(87)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(88)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm(89)} -attr vt d
+load netBundle {regs.regs(2).lpi#1.dfm} 90 {regs.regs(2).lpi#1.dfm(0)} {regs.regs(2).lpi#1.dfm(1)} {regs.regs(2).lpi#1.dfm(2)} {regs.regs(2).lpi#1.dfm(3)} {regs.regs(2).lpi#1.dfm(4)} {regs.regs(2).lpi#1.dfm(5)} {regs.regs(2).lpi#1.dfm(6)} {regs.regs(2).lpi#1.dfm(7)} {regs.regs(2).lpi#1.dfm(8)} {regs.regs(2).lpi#1.dfm(9)} {regs.regs(2).lpi#1.dfm(10)} {regs.regs(2).lpi#1.dfm(11)} {regs.regs(2).lpi#1.dfm(12)} {regs.regs(2).lpi#1.dfm(13)} {regs.regs(2).lpi#1.dfm(14)} {regs.regs(2).lpi#1.dfm(15)} {regs.regs(2).lpi#1.dfm(16)} {regs.regs(2).lpi#1.dfm(17)} {regs.regs(2).lpi#1.dfm(18)} {regs.regs(2).lpi#1.dfm(19)} {regs.regs(2).lpi#1.dfm(20)} {regs.regs(2).lpi#1.dfm(21)} {regs.regs(2).lpi#1.dfm(22)} {regs.regs(2).lpi#1.dfm(23)} {regs.regs(2).lpi#1.dfm(24)} {regs.regs(2).lpi#1.dfm(25)} {regs.regs(2).lpi#1.dfm(26)} {regs.regs(2).lpi#1.dfm(27)} {regs.regs(2).lpi#1.dfm(28)} {regs.regs(2).lpi#1.dfm(29)} {regs.regs(2).lpi#1.dfm(30)} {regs.regs(2).lpi#1.dfm(31)} {regs.regs(2).lpi#1.dfm(32)} {regs.regs(2).lpi#1.dfm(33)} {regs.regs(2).lpi#1.dfm(34)} {regs.regs(2).lpi#1.dfm(35)} {regs.regs(2).lpi#1.dfm(36)} {regs.regs(2).lpi#1.dfm(37)} {regs.regs(2).lpi#1.dfm(38)} {regs.regs(2).lpi#1.dfm(39)} {regs.regs(2).lpi#1.dfm(40)} {regs.regs(2).lpi#1.dfm(41)} {regs.regs(2).lpi#1.dfm(42)} {regs.regs(2).lpi#1.dfm(43)} {regs.regs(2).lpi#1.dfm(44)} {regs.regs(2).lpi#1.dfm(45)} {regs.regs(2).lpi#1.dfm(46)} {regs.regs(2).lpi#1.dfm(47)} {regs.regs(2).lpi#1.dfm(48)} {regs.regs(2).lpi#1.dfm(49)} {regs.regs(2).lpi#1.dfm(50)} {regs.regs(2).lpi#1.dfm(51)} {regs.regs(2).lpi#1.dfm(52)} {regs.regs(2).lpi#1.dfm(53)} {regs.regs(2).lpi#1.dfm(54)} {regs.regs(2).lpi#1.dfm(55)} {regs.regs(2).lpi#1.dfm(56)} {regs.regs(2).lpi#1.dfm(57)} {regs.regs(2).lpi#1.dfm(58)} {regs.regs(2).lpi#1.dfm(59)} {regs.regs(2).lpi#1.dfm(60)} {regs.regs(2).lpi#1.dfm(61)} {regs.regs(2).lpi#1.dfm(62)} {regs.regs(2).lpi#1.dfm(63)} {regs.regs(2).lpi#1.dfm(64)} {regs.regs(2).lpi#1.dfm(65)} {regs.regs(2).lpi#1.dfm(66)} {regs.regs(2).lpi#1.dfm(67)} {regs.regs(2).lpi#1.dfm(68)} {regs.regs(2).lpi#1.dfm(69)} {regs.regs(2).lpi#1.dfm(70)} {regs.regs(2).lpi#1.dfm(71)} {regs.regs(2).lpi#1.dfm(72)} {regs.regs(2).lpi#1.dfm(73)} {regs.regs(2).lpi#1.dfm(74)} {regs.regs(2).lpi#1.dfm(75)} {regs.regs(2).lpi#1.dfm(76)} {regs.regs(2).lpi#1.dfm(77)} {regs.regs(2).lpi#1.dfm(78)} {regs.regs(2).lpi#1.dfm(79)} {regs.regs(2).lpi#1.dfm(80)} {regs.regs(2).lpi#1.dfm(81)} {regs.regs(2).lpi#1.dfm(82)} {regs.regs(2).lpi#1.dfm(83)} {regs.regs(2).lpi#1.dfm(84)} {regs.regs(2).lpi#1.dfm(85)} {regs.regs(2).lpi#1.dfm(86)} {regs.regs(2).lpi#1.dfm(87)} {regs.regs(2).lpi#1.dfm(88)} {regs.regs(2).lpi#1.dfm(89)} -attr xrf 44144 -attr oid 20 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {FRAME:mul#2.itm#1(0)} -attr vt d
+load net {FRAME:mul#2.itm#1(1)} -attr vt d
+load net {FRAME:mul#2.itm#1(2)} -attr vt d
+load net {FRAME:mul#2.itm#1(3)} -attr vt d
+load net {FRAME:mul#2.itm#1(4)} -attr vt d
+load net {FRAME:mul#2.itm#1(5)} -attr vt d
+load net {FRAME:mul#2.itm#1(6)} -attr vt d
+load net {FRAME:mul#2.itm#1(7)} -attr vt d
+load net {FRAME:mul#2.itm#1(8)} -attr vt d
+load net {FRAME:mul#2.itm#1(9)} -attr vt d
+load net {FRAME:mul#2.itm#1(10)} -attr vt d
+load netBundle {FRAME:mul#2.itm#1} 11 {FRAME:mul#2.itm#1(0)} {FRAME:mul#2.itm#1(1)} {FRAME:mul#2.itm#1(2)} {FRAME:mul#2.itm#1(3)} {FRAME:mul#2.itm#1(4)} {FRAME:mul#2.itm#1(5)} {FRAME:mul#2.itm#1(6)} {FRAME:mul#2.itm#1(7)} {FRAME:mul#2.itm#1(8)} {FRAME:mul#2.itm#1(9)} {FRAME:mul#2.itm#1(10)} -attr xrf 44145 -attr oid 21 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#3.itm#1(0)} -attr vt d
+load net {FRAME:mul#3.itm#1(1)} -attr vt d
+load net {FRAME:mul#3.itm#1(2)} -attr vt d
+load net {FRAME:mul#3.itm#1(3)} -attr vt d
+load net {FRAME:mul#3.itm#1(4)} -attr vt d
+load net {FRAME:mul#3.itm#1(5)} -attr vt d
+load net {FRAME:mul#3.itm#1(6)} -attr vt d
+load net {FRAME:mul#3.itm#1(7)} -attr vt d
+load net {FRAME:mul#3.itm#1(8)} -attr vt d
+load netBundle {FRAME:mul#3.itm#1} 9 {FRAME:mul#3.itm#1(0)} {FRAME:mul#3.itm#1(1)} {FRAME:mul#3.itm#1(2)} {FRAME:mul#3.itm#1(3)} {FRAME:mul#3.itm#1(4)} {FRAME:mul#3.itm#1(5)} {FRAME:mul#3.itm#1(6)} {FRAME:mul#3.itm#1(7)} {FRAME:mul#3.itm#1(8)} -attr xrf 44146 -attr oid 22 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {green:slc(green#2.sg1).itm#1(0)} -attr vt d
+load net {green:slc(green#2.sg1).itm#1(1)} -attr vt d
+load net {green:slc(green#2.sg1).itm#1(2)} -attr vt d
+load net {green:slc(green#2.sg1).itm#1(3)} -attr vt d
+load net {green:slc(green#2.sg1).itm#1(4)} -attr vt d
+load net {green:slc(green#2.sg1).itm#1(5)} -attr vt d
+load netBundle {green:slc(green#2.sg1).itm#1} 6 {green:slc(green#2.sg1).itm#1(0)} {green:slc(green#2.sg1).itm#1(1)} {green:slc(green#2.sg1).itm#1(2)} {green:slc(green#2.sg1).itm#1(3)} {green:slc(green#2.sg1).itm#1(4)} {green:slc(green#2.sg1).itm#1(5)} -attr xrf 44147 -attr oid 23 -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {FRAME:acc#18.itm#1(0)} -attr vt d
+load net {FRAME:acc#18.itm#1(1)} -attr vt d
+load net {FRAME:acc#18.itm#1(2)} -attr vt d
+load net {FRAME:acc#18.itm#1(3)} -attr vt d
+load net {FRAME:acc#18.itm#1(4)} -attr vt d
+load netBundle {FRAME:acc#18.itm#1} 5 {FRAME:acc#18.itm#1(0)} {FRAME:acc#18.itm#1(1)} {FRAME:acc#18.itm#1(2)} {FRAME:acc#18.itm#1(3)} {FRAME:acc#18.itm#1(4)} -attr xrf 44148 -attr oid 24 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:mul#4.itm#1(0)} -attr vt d
+load net {FRAME:mul#4.itm#1(1)} -attr vt d
+load net {FRAME:mul#4.itm#1(2)} -attr vt d
+load net {FRAME:mul#4.itm#1(3)} -attr vt d
+load net {FRAME:mul#4.itm#1(4)} -attr vt d
+load net {FRAME:mul#4.itm#1(5)} -attr vt d
+load net {FRAME:mul#4.itm#1(6)} -attr vt d
+load net {FRAME:mul#4.itm#1(7)} -attr vt d
+load net {FRAME:mul#4.itm#1(8)} -attr vt d
+load net {FRAME:mul#4.itm#1(9)} -attr vt d
+load net {FRAME:mul#4.itm#1(10)} -attr vt d
+load netBundle {FRAME:mul#4.itm#1} 11 {FRAME:mul#4.itm#1(0)} {FRAME:mul#4.itm#1(1)} {FRAME:mul#4.itm#1(2)} {FRAME:mul#4.itm#1(3)} {FRAME:mul#4.itm#1(4)} {FRAME:mul#4.itm#1(5)} {FRAME:mul#4.itm#1(6)} {FRAME:mul#4.itm#1(7)} {FRAME:mul#4.itm#1(8)} {FRAME:mul#4.itm#1(9)} {FRAME:mul#4.itm#1(10)} -attr xrf 44149 -attr oid 25 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#5.itm#1(0)} -attr vt d
+load net {FRAME:mul#5.itm#1(1)} -attr vt d
+load net {FRAME:mul#5.itm#1(2)} -attr vt d
+load net {FRAME:mul#5.itm#1(3)} -attr vt d
+load net {FRAME:mul#5.itm#1(4)} -attr vt d
+load net {FRAME:mul#5.itm#1(5)} -attr vt d
+load net {FRAME:mul#5.itm#1(6)} -attr vt d
+load net {FRAME:mul#5.itm#1(7)} -attr vt d
+load net {FRAME:mul#5.itm#1(8)} -attr vt d
+load netBundle {FRAME:mul#5.itm#1} 9 {FRAME:mul#5.itm#1(0)} {FRAME:mul#5.itm#1(1)} {FRAME:mul#5.itm#1(2)} {FRAME:mul#5.itm#1(3)} {FRAME:mul#5.itm#1(4)} {FRAME:mul#5.itm#1(5)} {FRAME:mul#5.itm#1(6)} {FRAME:mul#5.itm#1(7)} {FRAME:mul#5.itm#1(8)} -attr xrf 44150 -attr oid 26 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(0)} -attr vt d
+load net {blue:slc(blue#2.sg1).itm#1(1)} -attr vt d
+load net {blue:slc(blue#2.sg1).itm#1(2)} -attr vt d
+load net {blue:slc(blue#2.sg1).itm#1(3)} -attr vt d
+load net {blue:slc(blue#2.sg1).itm#1(4)} -attr vt d
+load net {blue:slc(blue#2.sg1).itm#1(5)} -attr vt d
+load netBundle {blue:slc(blue#2.sg1).itm#1} 6 {blue:slc(blue#2.sg1).itm#1(0)} {blue:slc(blue#2.sg1).itm#1(1)} {blue:slc(blue#2.sg1).itm#1(2)} {blue:slc(blue#2.sg1).itm#1(3)} {blue:slc(blue#2.sg1).itm#1(4)} {blue:slc(blue#2.sg1).itm#1(5)} -attr xrf 44151 -attr oid 27 -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {FRAME:acc#30.itm#1(0)} -attr vt d
+load net {FRAME:acc#30.itm#1(1)} -attr vt d
+load net {FRAME:acc#30.itm#1(2)} -attr vt d
+load net {FRAME:acc#30.itm#1(3)} -attr vt d
+load net {FRAME:acc#30.itm#1(4)} -attr vt d
+load netBundle {FRAME:acc#30.itm#1} 5 {FRAME:acc#30.itm#1(0)} {FRAME:acc#30.itm#1(1)} {FRAME:acc#30.itm#1(2)} {FRAME:acc#30.itm#1(3)} {FRAME:acc#30.itm#1(4)} -attr xrf 44152 -attr oid 28 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:mul#1.itm#1(0)} -attr vt d
+load net {FRAME:mul#1.itm#1(1)} -attr vt d
+load net {FRAME:mul#1.itm#1(2)} -attr vt d
+load net {FRAME:mul#1.itm#1(3)} -attr vt d
+load net {FRAME:mul#1.itm#1(4)} -attr vt d
+load net {FRAME:mul#1.itm#1(5)} -attr vt d
+load net {FRAME:mul#1.itm#1(6)} -attr vt d
+load net {FRAME:mul#1.itm#1(7)} -attr vt d
+load net {FRAME:mul#1.itm#1(8)} -attr vt d
+load netBundle {FRAME:mul#1.itm#1} 9 {FRAME:mul#1.itm#1(0)} {FRAME:mul#1.itm#1(1)} {FRAME:mul#1.itm#1(2)} {FRAME:mul#1.itm#1(3)} {FRAME:mul#1.itm#1(4)} {FRAME:mul#1.itm#1(5)} {FRAME:mul#1.itm#1(6)} {FRAME:mul#1.itm#1(7)} {FRAME:mul#1.itm#1(8)} -attr xrf 44153 -attr oid 29 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {red:slc(red#2.sg1).itm#1(0)} -attr vt d
+load net {red:slc(red#2.sg1).itm#1(1)} -attr vt d
+load net {red:slc(red#2.sg1).itm#1(2)} -attr vt d
+load net {red:slc(red#2.sg1).itm#1(3)} -attr vt d
+load net {red:slc(red#2.sg1).itm#1(4)} -attr vt d
+load net {red:slc(red#2.sg1).itm#1(5)} -attr vt d
+load netBundle {red:slc(red#2.sg1).itm#1} 6 {red:slc(red#2.sg1).itm#1(0)} {red:slc(red#2.sg1).itm#1(1)} {red:slc(red#2.sg1).itm#1(2)} {red:slc(red#2.sg1).itm#1(3)} {red:slc(red#2.sg1).itm#1(4)} {red:slc(red#2.sg1).itm#1(5)} -attr xrf 44154 -attr oid 30 -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {FRAME:acc#37.itm#1(0)} -attr vt d
+load net {FRAME:acc#37.itm#1(1)} -attr vt d
+load net {FRAME:acc#37.itm#1(2)} -attr vt d
+load net {FRAME:acc#37.itm#1(3)} -attr vt d
+load net {FRAME:acc#37.itm#1(4)} -attr vt d
+load netBundle {FRAME:acc#37.itm#1} 5 {FRAME:acc#37.itm#1(0)} {FRAME:acc#37.itm#1(1)} {FRAME:acc#37.itm#1(2)} {FRAME:acc#37.itm#1(3)} {FRAME:acc#37.itm#1(4)} -attr xrf 44155 -attr oid 31 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#41.itm#1.sg2(0)} -attr vt d
+load net {FRAME:acc#41.itm#1.sg2(1)} -attr vt d
+load netBundle {FRAME:acc#41.itm#1.sg2} 2 {FRAME:acc#41.itm#1.sg2(0)} {FRAME:acc#41.itm#1.sg2(1)} -attr xrf 44156 -attr oid 32 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#1.sg2}
+load net {FRAME:acc#41.itm#1.sg1(0)} -attr vt d
+load net {FRAME:acc#41.itm#1.sg1(1)} -attr vt d
+load netBundle {FRAME:acc#41.itm#1.sg1} 2 {FRAME:acc#41.itm#1.sg1(0)} {FRAME:acc#41.itm#1.sg1(1)} -attr xrf 44157 -attr oid 33 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#1.sg1}
+load net {FRAME:acc#41.itm#3(0)} -attr vt d
+load net {FRAME:acc#41.itm#3(1)} -attr vt d
+load net {FRAME:acc#41.itm#3(2)} -attr vt d
+load net {FRAME:acc#41.itm#3(3)} -attr vt d
+load net {FRAME:acc#41.itm#3(4)} -attr vt d
+load net {FRAME:acc#41.itm#3(5)} -attr vt d
+load netBundle {FRAME:acc#41.itm#3} 6 {FRAME:acc#41.itm#3(0)} {FRAME:acc#41.itm#3(1)} {FRAME:acc#41.itm#3(2)} {FRAME:acc#41.itm#3(3)} {FRAME:acc#41.itm#3(4)} {FRAME:acc#41.itm#3(5)} -attr xrf 44158 -attr oid 34 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load net {FRAME:acc#3.psp.sva(0)} -attr vt d
+load net {FRAME:acc#3.psp.sva(1)} -attr vt d
+load net {FRAME:acc#3.psp.sva(2)} -attr vt d
+load net {FRAME:acc#3.psp.sva(3)} -attr vt d
+load net {FRAME:acc#3.psp.sva(4)} -attr vt d
+load net {FRAME:acc#3.psp.sva(5)} -attr vt d
+load net {FRAME:acc#3.psp.sva(6)} -attr vt d
+load net {FRAME:acc#3.psp.sva(7)} -attr vt d
+load net {FRAME:acc#3.psp.sva(8)} -attr vt d
+load net {FRAME:acc#3.psp.sva(9)} -attr vt d
+load net {FRAME:acc#3.psp.sva(10)} -attr vt d
+load net {FRAME:acc#3.psp.sva(11)} -attr vt d
+load netBundle {FRAME:acc#3.psp.sva} 12 {FRAME:acc#3.psp.sva(0)} {FRAME:acc#3.psp.sva(1)} {FRAME:acc#3.psp.sva(2)} {FRAME:acc#3.psp.sva(3)} {FRAME:acc#3.psp.sva(4)} {FRAME:acc#3.psp.sva(5)} {FRAME:acc#3.psp.sva(6)} {FRAME:acc#3.psp.sva(7)} {FRAME:acc#3.psp.sva(8)} {FRAME:acc#3.psp.sva(9)} {FRAME:acc#3.psp.sva(10)} {FRAME:acc#3.psp.sva(11)} -attr xrf 44159 -attr oid 35 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#4.psp.sva(0)} -attr vt d
+load net {FRAME:acc#4.psp.sva(1)} -attr vt d
+load net {FRAME:acc#4.psp.sva(2)} -attr vt d
+load net {FRAME:acc#4.psp.sva(3)} -attr vt d
+load net {FRAME:acc#4.psp.sva(4)} -attr vt d
+load net {FRAME:acc#4.psp.sva(5)} -attr vt d
+load net {FRAME:acc#4.psp.sva(6)} -attr vt d
+load net {FRAME:acc#4.psp.sva(7)} -attr vt d
+load net {FRAME:acc#4.psp.sva(8)} -attr vt d
+load net {FRAME:acc#4.psp.sva(9)} -attr vt d
+load net {FRAME:acc#4.psp.sva(10)} -attr vt d
+load net {FRAME:acc#4.psp.sva(11)} -attr vt d
+load netBundle {FRAME:acc#4.psp.sva} 12 {FRAME:acc#4.psp.sva(0)} {FRAME:acc#4.psp.sva(1)} {FRAME:acc#4.psp.sva(2)} {FRAME:acc#4.psp.sva(3)} {FRAME:acc#4.psp.sva(4)} {FRAME:acc#4.psp.sva(5)} {FRAME:acc#4.psp.sva(6)} {FRAME:acc#4.psp.sva(7)} {FRAME:acc#4.psp.sva(8)} {FRAME:acc#4.psp.sva(9)} {FRAME:acc#4.psp.sva(10)} {FRAME:acc#4.psp.sva(11)} -attr xrf 44160 -attr oid 36 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {i#7.sva(0)} -attr vt d
+load net {i#7.sva(1)} -attr vt d
+load netBundle {i#7.sva} 2 {i#7.sva(0)} {i#7.sva(1)} -attr xrf 44161 -attr oid 37 -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load net {regs.regs(2).lpi#1.dfm:mx0(0)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(1)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(2)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(3)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(4)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(5)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(6)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(7)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(8)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(9)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(10)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(11)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(12)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(13)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(14)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(15)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(16)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(17)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(18)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(19)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(20)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(21)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(22)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(23)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(24)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(25)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(26)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(27)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(28)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(29)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(30)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(31)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(32)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(33)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(34)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(35)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(36)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(37)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(38)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(39)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(40)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(41)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(42)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(43)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(44)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(45)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(46)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(47)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(48)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(49)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(50)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(51)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(52)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(53)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(54)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(55)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(56)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(57)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(58)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(59)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(60)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(61)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(62)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(63)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(64)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(65)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(66)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(67)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(68)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(69)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(70)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(71)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(72)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(73)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(74)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(75)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(76)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(77)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(78)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(79)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(80)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(81)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(82)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(83)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(84)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(85)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(86)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(87)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(88)} -attr vt d
+load net {regs.regs(2).lpi#1.dfm:mx0(89)} -attr vt d
+load netBundle {regs.regs(2).lpi#1.dfm:mx0} 90 {regs.regs(2).lpi#1.dfm:mx0(0)} {regs.regs(2).lpi#1.dfm:mx0(1)} {regs.regs(2).lpi#1.dfm:mx0(2)} {regs.regs(2).lpi#1.dfm:mx0(3)} {regs.regs(2).lpi#1.dfm:mx0(4)} {regs.regs(2).lpi#1.dfm:mx0(5)} {regs.regs(2).lpi#1.dfm:mx0(6)} {regs.regs(2).lpi#1.dfm:mx0(7)} {regs.regs(2).lpi#1.dfm:mx0(8)} {regs.regs(2).lpi#1.dfm:mx0(9)} {regs.regs(2).lpi#1.dfm:mx0(10)} {regs.regs(2).lpi#1.dfm:mx0(11)} {regs.regs(2).lpi#1.dfm:mx0(12)} {regs.regs(2).lpi#1.dfm:mx0(13)} {regs.regs(2).lpi#1.dfm:mx0(14)} {regs.regs(2).lpi#1.dfm:mx0(15)} {regs.regs(2).lpi#1.dfm:mx0(16)} {regs.regs(2).lpi#1.dfm:mx0(17)} {regs.regs(2).lpi#1.dfm:mx0(18)} {regs.regs(2).lpi#1.dfm:mx0(19)} {regs.regs(2).lpi#1.dfm:mx0(20)} {regs.regs(2).lpi#1.dfm:mx0(21)} {regs.regs(2).lpi#1.dfm:mx0(22)} {regs.regs(2).lpi#1.dfm:mx0(23)} {regs.regs(2).lpi#1.dfm:mx0(24)} {regs.regs(2).lpi#1.dfm:mx0(25)} {regs.regs(2).lpi#1.dfm:mx0(26)} {regs.regs(2).lpi#1.dfm:mx0(27)} {regs.regs(2).lpi#1.dfm:mx0(28)} {regs.regs(2).lpi#1.dfm:mx0(29)} {regs.regs(2).lpi#1.dfm:mx0(30)} {regs.regs(2).lpi#1.dfm:mx0(31)} {regs.regs(2).lpi#1.dfm:mx0(32)} {regs.regs(2).lpi#1.dfm:mx0(33)} {regs.regs(2).lpi#1.dfm:mx0(34)} {regs.regs(2).lpi#1.dfm:mx0(35)} {regs.regs(2).lpi#1.dfm:mx0(36)} {regs.regs(2).lpi#1.dfm:mx0(37)} {regs.regs(2).lpi#1.dfm:mx0(38)} {regs.regs(2).lpi#1.dfm:mx0(39)} {regs.regs(2).lpi#1.dfm:mx0(40)} {regs.regs(2).lpi#1.dfm:mx0(41)} {regs.regs(2).lpi#1.dfm:mx0(42)} {regs.regs(2).lpi#1.dfm:mx0(43)} {regs.regs(2).lpi#1.dfm:mx0(44)} {regs.regs(2).lpi#1.dfm:mx0(45)} {regs.regs(2).lpi#1.dfm:mx0(46)} {regs.regs(2).lpi#1.dfm:mx0(47)} {regs.regs(2).lpi#1.dfm:mx0(48)} {regs.regs(2).lpi#1.dfm:mx0(49)} {regs.regs(2).lpi#1.dfm:mx0(50)} {regs.regs(2).lpi#1.dfm:mx0(51)} {regs.regs(2).lpi#1.dfm:mx0(52)} {regs.regs(2).lpi#1.dfm:mx0(53)} {regs.regs(2).lpi#1.dfm:mx0(54)} {regs.regs(2).lpi#1.dfm:mx0(55)} {regs.regs(2).lpi#1.dfm:mx0(56)} {regs.regs(2).lpi#1.dfm:mx0(57)} {regs.regs(2).lpi#1.dfm:mx0(58)} {regs.regs(2).lpi#1.dfm:mx0(59)} {regs.regs(2).lpi#1.dfm:mx0(60)} {regs.regs(2).lpi#1.dfm:mx0(61)} {regs.regs(2).lpi#1.dfm:mx0(62)} {regs.regs(2).lpi#1.dfm:mx0(63)} {regs.regs(2).lpi#1.dfm:mx0(64)} {regs.regs(2).lpi#1.dfm:mx0(65)} {regs.regs(2).lpi#1.dfm:mx0(66)} {regs.regs(2).lpi#1.dfm:mx0(67)} {regs.regs(2).lpi#1.dfm:mx0(68)} {regs.regs(2).lpi#1.dfm:mx0(69)} {regs.regs(2).lpi#1.dfm:mx0(70)} {regs.regs(2).lpi#1.dfm:mx0(71)} {regs.regs(2).lpi#1.dfm:mx0(72)} {regs.regs(2).lpi#1.dfm:mx0(73)} {regs.regs(2).lpi#1.dfm:mx0(74)} {regs.regs(2).lpi#1.dfm:mx0(75)} {regs.regs(2).lpi#1.dfm:mx0(76)} {regs.regs(2).lpi#1.dfm:mx0(77)} {regs.regs(2).lpi#1.dfm:mx0(78)} {regs.regs(2).lpi#1.dfm:mx0(79)} {regs.regs(2).lpi#1.dfm:mx0(80)} {regs.regs(2).lpi#1.dfm:mx0(81)} {regs.regs(2).lpi#1.dfm:mx0(82)} {regs.regs(2).lpi#1.dfm:mx0(83)} {regs.regs(2).lpi#1.dfm:mx0(84)} {regs.regs(2).lpi#1.dfm:mx0(85)} {regs.regs(2).lpi#1.dfm:mx0(86)} {regs.regs(2).lpi#1.dfm:mx0(87)} {regs.regs(2).lpi#1.dfm:mx0(88)} {regs.regs(2).lpi#1.dfm:mx0(89)} -attr xrf 44162 -attr oid 38 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(0)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(1)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(2)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(3)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(4)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(5)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(6)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(7)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(8)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(9)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(10)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(11)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(12)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(13)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(14)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(15)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(16)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(17)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(18)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(19)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(20)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(21)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(22)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(23)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(24)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(25)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(26)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(27)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(28)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(29)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(30)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(31)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(32)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(33)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(34)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(35)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(36)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(37)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(38)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(39)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(40)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(41)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(42)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(43)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(44)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(45)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(46)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(47)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(48)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(49)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(50)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(51)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(52)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(53)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(54)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(55)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(56)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(57)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(58)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(59)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(60)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(61)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(62)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(63)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(64)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(65)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(66)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(67)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(68)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(69)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(70)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(71)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(72)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(73)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(74)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(75)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(76)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(77)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(78)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(79)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(80)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(81)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(82)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(83)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(84)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(85)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(86)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(87)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(88)} -attr vt d
+load net {regs.regs(1).sva.dfm:mx0(89)} -attr vt d
+load netBundle {regs.regs(1).sva.dfm:mx0} 90 {regs.regs(1).sva.dfm:mx0(0)} {regs.regs(1).sva.dfm:mx0(1)} {regs.regs(1).sva.dfm:mx0(2)} {regs.regs(1).sva.dfm:mx0(3)} {regs.regs(1).sva.dfm:mx0(4)} {regs.regs(1).sva.dfm:mx0(5)} {regs.regs(1).sva.dfm:mx0(6)} {regs.regs(1).sva.dfm:mx0(7)} {regs.regs(1).sva.dfm:mx0(8)} {regs.regs(1).sva.dfm:mx0(9)} {regs.regs(1).sva.dfm:mx0(10)} {regs.regs(1).sva.dfm:mx0(11)} {regs.regs(1).sva.dfm:mx0(12)} {regs.regs(1).sva.dfm:mx0(13)} {regs.regs(1).sva.dfm:mx0(14)} {regs.regs(1).sva.dfm:mx0(15)} {regs.regs(1).sva.dfm:mx0(16)} {regs.regs(1).sva.dfm:mx0(17)} {regs.regs(1).sva.dfm:mx0(18)} {regs.regs(1).sva.dfm:mx0(19)} {regs.regs(1).sva.dfm:mx0(20)} {regs.regs(1).sva.dfm:mx0(21)} {regs.regs(1).sva.dfm:mx0(22)} {regs.regs(1).sva.dfm:mx0(23)} {regs.regs(1).sva.dfm:mx0(24)} {regs.regs(1).sva.dfm:mx0(25)} {regs.regs(1).sva.dfm:mx0(26)} {regs.regs(1).sva.dfm:mx0(27)} {regs.regs(1).sva.dfm:mx0(28)} {regs.regs(1).sva.dfm:mx0(29)} {regs.regs(1).sva.dfm:mx0(30)} {regs.regs(1).sva.dfm:mx0(31)} {regs.regs(1).sva.dfm:mx0(32)} {regs.regs(1).sva.dfm:mx0(33)} {regs.regs(1).sva.dfm:mx0(34)} {regs.regs(1).sva.dfm:mx0(35)} {regs.regs(1).sva.dfm:mx0(36)} {regs.regs(1).sva.dfm:mx0(37)} {regs.regs(1).sva.dfm:mx0(38)} {regs.regs(1).sva.dfm:mx0(39)} {regs.regs(1).sva.dfm:mx0(40)} {regs.regs(1).sva.dfm:mx0(41)} {regs.regs(1).sva.dfm:mx0(42)} {regs.regs(1).sva.dfm:mx0(43)} {regs.regs(1).sva.dfm:mx0(44)} {regs.regs(1).sva.dfm:mx0(45)} {regs.regs(1).sva.dfm:mx0(46)} {regs.regs(1).sva.dfm:mx0(47)} {regs.regs(1).sva.dfm:mx0(48)} {regs.regs(1).sva.dfm:mx0(49)} {regs.regs(1).sva.dfm:mx0(50)} {regs.regs(1).sva.dfm:mx0(51)} {regs.regs(1).sva.dfm:mx0(52)} {regs.regs(1).sva.dfm:mx0(53)} {regs.regs(1).sva.dfm:mx0(54)} {regs.regs(1).sva.dfm:mx0(55)} {regs.regs(1).sva.dfm:mx0(56)} {regs.regs(1).sva.dfm:mx0(57)} {regs.regs(1).sva.dfm:mx0(58)} {regs.regs(1).sva.dfm:mx0(59)} {regs.regs(1).sva.dfm:mx0(60)} {regs.regs(1).sva.dfm:mx0(61)} {regs.regs(1).sva.dfm:mx0(62)} {regs.regs(1).sva.dfm:mx0(63)} {regs.regs(1).sva.dfm:mx0(64)} {regs.regs(1).sva.dfm:mx0(65)} {regs.regs(1).sva.dfm:mx0(66)} {regs.regs(1).sva.dfm:mx0(67)} {regs.regs(1).sva.dfm:mx0(68)} {regs.regs(1).sva.dfm:mx0(69)} {regs.regs(1).sva.dfm:mx0(70)} {regs.regs(1).sva.dfm:mx0(71)} {regs.regs(1).sva.dfm:mx0(72)} {regs.regs(1).sva.dfm:mx0(73)} {regs.regs(1).sva.dfm:mx0(74)} {regs.regs(1).sva.dfm:mx0(75)} {regs.regs(1).sva.dfm:mx0(76)} {regs.regs(1).sva.dfm:mx0(77)} {regs.regs(1).sva.dfm:mx0(78)} {regs.regs(1).sva.dfm:mx0(79)} {regs.regs(1).sva.dfm:mx0(80)} {regs.regs(1).sva.dfm:mx0(81)} {regs.regs(1).sva.dfm:mx0(82)} {regs.regs(1).sva.dfm:mx0(83)} {regs.regs(1).sva.dfm:mx0(84)} {regs.regs(1).sva.dfm:mx0(85)} {regs.regs(1).sva.dfm:mx0(86)} {regs.regs(1).sva.dfm:mx0(87)} {regs.regs(1).sva.dfm:mx0(88)} {regs.regs(1).sva.dfm:mx0(89)} -attr xrf 44163 -attr oid 39 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(0)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(1)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(2)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(3)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(4)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(5)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(6)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(7)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(8)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(9)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(10)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(11)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(12)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(13)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(14)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(15)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(16)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(17)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(18)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(19)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(20)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(21)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(22)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(23)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(24)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(25)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(26)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(27)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(28)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(29)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(30)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(31)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(32)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(33)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(34)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(35)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(36)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(37)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(38)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(39)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(40)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(41)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(42)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(43)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(44)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(45)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(46)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(47)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(48)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(49)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(50)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(51)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(52)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(53)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(54)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(55)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(56)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(57)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(58)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(59)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(60)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(61)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(62)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(63)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(64)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(65)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(66)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(67)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(68)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(69)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(70)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(71)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(72)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(73)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(74)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(75)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(76)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(77)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(78)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(79)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(80)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(81)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(82)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(83)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(84)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(85)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(86)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(87)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(88)} -attr vt d
+load net {regs.regs(0).sva.dfm:mx0(89)} -attr vt d
+load netBundle {regs.regs(0).sva.dfm:mx0} 90 {regs.regs(0).sva.dfm:mx0(0)} {regs.regs(0).sva.dfm:mx0(1)} {regs.regs(0).sva.dfm:mx0(2)} {regs.regs(0).sva.dfm:mx0(3)} {regs.regs(0).sva.dfm:mx0(4)} {regs.regs(0).sva.dfm:mx0(5)} {regs.regs(0).sva.dfm:mx0(6)} {regs.regs(0).sva.dfm:mx0(7)} {regs.regs(0).sva.dfm:mx0(8)} {regs.regs(0).sva.dfm:mx0(9)} {regs.regs(0).sva.dfm:mx0(10)} {regs.regs(0).sva.dfm:mx0(11)} {regs.regs(0).sva.dfm:mx0(12)} {regs.regs(0).sva.dfm:mx0(13)} {regs.regs(0).sva.dfm:mx0(14)} {regs.regs(0).sva.dfm:mx0(15)} {regs.regs(0).sva.dfm:mx0(16)} {regs.regs(0).sva.dfm:mx0(17)} {regs.regs(0).sva.dfm:mx0(18)} {regs.regs(0).sva.dfm:mx0(19)} {regs.regs(0).sva.dfm:mx0(20)} {regs.regs(0).sva.dfm:mx0(21)} {regs.regs(0).sva.dfm:mx0(22)} {regs.regs(0).sva.dfm:mx0(23)} {regs.regs(0).sva.dfm:mx0(24)} {regs.regs(0).sva.dfm:mx0(25)} {regs.regs(0).sva.dfm:mx0(26)} {regs.regs(0).sva.dfm:mx0(27)} {regs.regs(0).sva.dfm:mx0(28)} {regs.regs(0).sva.dfm:mx0(29)} {regs.regs(0).sva.dfm:mx0(30)} {regs.regs(0).sva.dfm:mx0(31)} {regs.regs(0).sva.dfm:mx0(32)} {regs.regs(0).sva.dfm:mx0(33)} {regs.regs(0).sva.dfm:mx0(34)} {regs.regs(0).sva.dfm:mx0(35)} {regs.regs(0).sva.dfm:mx0(36)} {regs.regs(0).sva.dfm:mx0(37)} {regs.regs(0).sva.dfm:mx0(38)} {regs.regs(0).sva.dfm:mx0(39)} {regs.regs(0).sva.dfm:mx0(40)} {regs.regs(0).sva.dfm:mx0(41)} {regs.regs(0).sva.dfm:mx0(42)} {regs.regs(0).sva.dfm:mx0(43)} {regs.regs(0).sva.dfm:mx0(44)} {regs.regs(0).sva.dfm:mx0(45)} {regs.regs(0).sva.dfm:mx0(46)} {regs.regs(0).sva.dfm:mx0(47)} {regs.regs(0).sva.dfm:mx0(48)} {regs.regs(0).sva.dfm:mx0(49)} {regs.regs(0).sva.dfm:mx0(50)} {regs.regs(0).sva.dfm:mx0(51)} {regs.regs(0).sva.dfm:mx0(52)} {regs.regs(0).sva.dfm:mx0(53)} {regs.regs(0).sva.dfm:mx0(54)} {regs.regs(0).sva.dfm:mx0(55)} {regs.regs(0).sva.dfm:mx0(56)} {regs.regs(0).sva.dfm:mx0(57)} {regs.regs(0).sva.dfm:mx0(58)} {regs.regs(0).sva.dfm:mx0(59)} {regs.regs(0).sva.dfm:mx0(60)} {regs.regs(0).sva.dfm:mx0(61)} {regs.regs(0).sva.dfm:mx0(62)} {regs.regs(0).sva.dfm:mx0(63)} {regs.regs(0).sva.dfm:mx0(64)} {regs.regs(0).sva.dfm:mx0(65)} {regs.regs(0).sva.dfm:mx0(66)} {regs.regs(0).sva.dfm:mx0(67)} {regs.regs(0).sva.dfm:mx0(68)} {regs.regs(0).sva.dfm:mx0(69)} {regs.regs(0).sva.dfm:mx0(70)} {regs.regs(0).sva.dfm:mx0(71)} {regs.regs(0).sva.dfm:mx0(72)} {regs.regs(0).sva.dfm:mx0(73)} {regs.regs(0).sva.dfm:mx0(74)} {regs.regs(0).sva.dfm:mx0(75)} {regs.regs(0).sva.dfm:mx0(76)} {regs.regs(0).sva.dfm:mx0(77)} {regs.regs(0).sva.dfm:mx0(78)} {regs.regs(0).sva.dfm:mx0(79)} {regs.regs(0).sva.dfm:mx0(80)} {regs.regs(0).sva.dfm:mx0(81)} {regs.regs(0).sva.dfm:mx0(82)} {regs.regs(0).sva.dfm:mx0(83)} {regs.regs(0).sva.dfm:mx0(84)} {regs.regs(0).sva.dfm:mx0(85)} {regs.regs(0).sva.dfm:mx0(86)} {regs.regs(0).sva.dfm:mx0(87)} {regs.regs(0).sva.dfm:mx0(88)} {regs.regs(0).sva.dfm:mx0(89)} -attr xrf 44164 -attr oid 40 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {FRAME:p#1.sva#1(0)} -attr vt d
+load net {FRAME:p#1.sva#1(1)} -attr vt d
+load net {FRAME:p#1.sva#1(2)} -attr vt d
+load net {FRAME:p#1.sva#1(3)} -attr vt d
+load net {FRAME:p#1.sva#1(4)} -attr vt d
+load net {FRAME:p#1.sva#1(5)} -attr vt d
+load net {FRAME:p#1.sva#1(6)} -attr vt d
+load net {FRAME:p#1.sva#1(7)} -attr vt d
+load net {FRAME:p#1.sva#1(8)} -attr vt d
+load net {FRAME:p#1.sva#1(9)} -attr vt d
+load net {FRAME:p#1.sva#1(10)} -attr vt d
+load net {FRAME:p#1.sva#1(11)} -attr vt d
+load net {FRAME:p#1.sva#1(12)} -attr vt d
+load net {FRAME:p#1.sva#1(13)} -attr vt d
+load net {FRAME:p#1.sva#1(14)} -attr vt d
+load net {FRAME:p#1.sva#1(15)} -attr vt d
+load net {FRAME:p#1.sva#1(16)} -attr vt d
+load net {FRAME:p#1.sva#1(17)} -attr vt d
+load net {FRAME:p#1.sva#1(18)} -attr vt d
+load netBundle {FRAME:p#1.sva#1} 19 {FRAME:p#1.sva#1(0)} {FRAME:p#1.sva#1(1)} {FRAME:p#1.sva#1(2)} {FRAME:p#1.sva#1(3)} {FRAME:p#1.sva#1(4)} {FRAME:p#1.sva#1(5)} {FRAME:p#1.sva#1(6)} {FRAME:p#1.sva#1(7)} {FRAME:p#1.sva#1(8)} {FRAME:p#1.sva#1(9)} {FRAME:p#1.sva#1(10)} {FRAME:p#1.sva#1(11)} {FRAME:p#1.sva#1(12)} {FRAME:p#1.sva#1(13)} {FRAME:p#1.sva#1(14)} {FRAME:p#1.sva#1(15)} {FRAME:p#1.sva#1(16)} {FRAME:p#1.sva#1(17)} {FRAME:p#1.sva#1(18)} -attr xrf 44165 -attr oid 41 -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.lpi#1.dfm(0)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(1)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(2)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(3)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(4)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(5)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(6)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(7)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(8)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(9)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(10)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(11)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(12)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(13)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(14)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(15)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(16)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(17)} -attr vt d
+load net {FRAME:p#1.lpi#1.dfm(18)} -attr vt d
+load netBundle {FRAME:p#1.lpi#1.dfm} 19 {FRAME:p#1.lpi#1.dfm(0)} {FRAME:p#1.lpi#1.dfm(1)} {FRAME:p#1.lpi#1.dfm(2)} {FRAME:p#1.lpi#1.dfm(3)} {FRAME:p#1.lpi#1.dfm(4)} {FRAME:p#1.lpi#1.dfm(5)} {FRAME:p#1.lpi#1.dfm(6)} {FRAME:p#1.lpi#1.dfm(7)} {FRAME:p#1.lpi#1.dfm(8)} {FRAME:p#1.lpi#1.dfm(9)} {FRAME:p#1.lpi#1.dfm(10)} {FRAME:p#1.lpi#1.dfm(11)} {FRAME:p#1.lpi#1.dfm(12)} {FRAME:p#1.lpi#1.dfm(13)} {FRAME:p#1.lpi#1.dfm(14)} {FRAME:p#1.lpi#1.dfm(15)} {FRAME:p#1.lpi#1.dfm(16)} {FRAME:p#1.lpi#1.dfm(17)} {FRAME:p#1.lpi#1.dfm(18)} -attr xrf 44166 -attr oid 42 -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {acc.imod#9.sva(0)} -attr vt d
+load net {acc.imod#9.sva(1)} -attr vt d
+load net {acc.imod#9.sva(2)} -attr vt d
+load net {acc.imod#9.sva(3)} -attr vt d
+load net {acc.imod#9.sva(4)} -attr vt d
+load net {acc.imod#9.sva(5)} -attr vt d
+load netBundle {acc.imod#9.sva} 6 {acc.imod#9.sva(0)} {acc.imod#9.sva(1)} {acc.imod#9.sva(2)} {acc.imod#9.sva(3)} {acc.imod#9.sva(4)} {acc.imod#9.sva(5)} -attr xrf 44167 -attr oid 43 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load net {red#2.sg1.sva(0)} -attr vt d
+load net {red#2.sg1.sva(1)} -attr vt d
+load net {red#2.sg1.sva(2)} -attr vt d
+load net {red#2.sg1.sva(3)} -attr vt d
+load net {red#2.sg1.sva(4)} -attr vt d
+load net {red#2.sg1.sva(5)} -attr vt d
+load net {red#2.sg1.sva(6)} -attr vt d
+load net {red#2.sg1.sva(7)} -attr vt d
+load net {red#2.sg1.sva(8)} -attr vt d
+load net {red#2.sg1.sva(9)} -attr vt d
+load net {red#2.sg1.sva(10)} -attr vt d
+load net {red#2.sg1.sva(11)} -attr vt d
+load net {red#2.sg1.sva(12)} -attr vt d
+load net {red#2.sg1.sva(13)} -attr vt d
+load net {red#2.sg1.sva(14)} -attr vt d
+load netBundle {red#2.sg1.sva} 15 {red#2.sg1.sva(0)} {red#2.sg1.sva(1)} {red#2.sg1.sva(2)} {red#2.sg1.sva(3)} {red#2.sg1.sva(4)} {red#2.sg1.sva(5)} {red#2.sg1.sva(6)} {red#2.sg1.sva(7)} {red#2.sg1.sva(8)} {red#2.sg1.sva(9)} {red#2.sg1.sva(10)} {red#2.sg1.sva(11)} {red#2.sg1.sva(12)} {red#2.sg1.sva(13)} {red#2.sg1.sva(14)} -attr xrf 44168 -attr oid 44 -attr vt d -attr @path {/sobel/sobel:core/red#2.sg1.sva}
+load net {FRAME:mul.sdt(0)} -attr vt d
+load net {FRAME:mul.sdt(1)} -attr vt d
+load net {FRAME:mul.sdt(2)} -attr vt d
+load net {FRAME:mul.sdt(3)} -attr vt d
+load net {FRAME:mul.sdt(4)} -attr vt d
+load net {FRAME:mul.sdt(5)} -attr vt d
+load net {FRAME:mul.sdt(6)} -attr vt d
+load net {FRAME:mul.sdt(7)} -attr vt d
+load net {FRAME:mul.sdt(8)} -attr vt d
+load net {FRAME:mul.sdt(9)} -attr vt d
+load netBundle {FRAME:mul.sdt} 10 {FRAME:mul.sdt(0)} {FRAME:mul.sdt(1)} {FRAME:mul.sdt(2)} {FRAME:mul.sdt(3)} {FRAME:mul.sdt(4)} {FRAME:mul.sdt(5)} {FRAME:mul.sdt(6)} {FRAME:mul.sdt(7)} {FRAME:mul.sdt(8)} {FRAME:mul.sdt(9)} -attr xrf 44169 -attr oid 45 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {blue#2.sg1.sva(0)} -attr vt d
+load net {blue#2.sg1.sva(1)} -attr vt d
+load net {blue#2.sg1.sva(2)} -attr vt d
+load net {blue#2.sg1.sva(3)} -attr vt d
+load net {blue#2.sg1.sva(4)} -attr vt d
+load net {blue#2.sg1.sva(5)} -attr vt d
+load net {blue#2.sg1.sva(6)} -attr vt d
+load net {blue#2.sg1.sva(7)} -attr vt d
+load net {blue#2.sg1.sva(8)} -attr vt d
+load net {blue#2.sg1.sva(9)} -attr vt d
+load net {blue#2.sg1.sva(10)} -attr vt d
+load net {blue#2.sg1.sva(11)} -attr vt d
+load net {blue#2.sg1.sva(12)} -attr vt d
+load net {blue#2.sg1.sva(13)} -attr vt d
+load net {blue#2.sg1.sva(14)} -attr vt d
+load netBundle {blue#2.sg1.sva} 15 {blue#2.sg1.sva(0)} {blue#2.sg1.sva(1)} {blue#2.sg1.sva(2)} {blue#2.sg1.sva(3)} {blue#2.sg1.sva(4)} {blue#2.sg1.sva(5)} {blue#2.sg1.sva(6)} {blue#2.sg1.sva(7)} {blue#2.sg1.sva(8)} {blue#2.sg1.sva(9)} {blue#2.sg1.sva(10)} {blue#2.sg1.sva(11)} {blue#2.sg1.sva(12)} {blue#2.sg1.sva(13)} {blue#2.sg1.sva(14)} -attr xrf 44170 -attr oid 46 -attr vt d -attr @path {/sobel/sobel:core/blue#2.sg1.sva}
+load net {acc.imod#13.sva(0)} -attr vt d
+load net {acc.imod#13.sva(1)} -attr vt d
+load net {acc.imod#13.sva(2)} -attr vt d
+load net {acc.imod#13.sva(3)} -attr vt d
+load net {acc.imod#13.sva(4)} -attr vt d
+load net {acc.imod#13.sva(5)} -attr vt d
+load netBundle {acc.imod#13.sva} 6 {acc.imod#13.sva(0)} {acc.imod#13.sva(1)} {acc.imod#13.sva(2)} {acc.imod#13.sva(3)} {acc.imod#13.sva(4)} {acc.imod#13.sva(5)} -attr xrf 44171 -attr oid 47 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load net {green#2.sg1.sva(0)} -attr vt d
+load net {green#2.sg1.sva(1)} -attr vt d
+load net {green#2.sg1.sva(2)} -attr vt d
+load net {green#2.sg1.sva(3)} -attr vt d
+load net {green#2.sg1.sva(4)} -attr vt d
+load net {green#2.sg1.sva(5)} -attr vt d
+load net {green#2.sg1.sva(6)} -attr vt d
+load net {green#2.sg1.sva(7)} -attr vt d
+load net {green#2.sg1.sva(8)} -attr vt d
+load net {green#2.sg1.sva(9)} -attr vt d
+load net {green#2.sg1.sva(10)} -attr vt d
+load net {green#2.sg1.sva(11)} -attr vt d
+load net {green#2.sg1.sva(12)} -attr vt d
+load net {green#2.sg1.sva(13)} -attr vt d
+load net {green#2.sg1.sva(14)} -attr vt d
+load netBundle {green#2.sg1.sva} 15 {green#2.sg1.sva(0)} {green#2.sg1.sva(1)} {green#2.sg1.sva(2)} {green#2.sg1.sva(3)} {green#2.sg1.sva(4)} {green#2.sg1.sva(5)} {green#2.sg1.sva(6)} {green#2.sg1.sva(7)} {green#2.sg1.sva(8)} {green#2.sg1.sva(9)} {green#2.sg1.sva(10)} {green#2.sg1.sva(11)} {green#2.sg1.sva(12)} {green#2.sg1.sva(13)} {green#2.sg1.sva(14)} -attr xrf 44172 -attr oid 48 -attr vt d -attr @path {/sobel/sobel:core/green#2.sg1.sva}
+load net {acc.imod#11.sva(0)} -attr vt d
+load net {acc.imod#11.sva(1)} -attr vt d
+load net {acc.imod#11.sva(2)} -attr vt d
+load net {acc.imod#11.sva(3)} -attr vt d
+load net {acc.imod#11.sva(4)} -attr vt d
+load net {acc.imod#11.sva(5)} -attr vt d
+load netBundle {acc.imod#11.sva} 6 {acc.imod#11.sva(0)} {acc.imod#11.sva(1)} {acc.imod#11.sva(2)} {acc.imod#11.sva(3)} {acc.imod#11.sva(4)} {acc.imod#11.sva(5)} -attr xrf 44173 -attr oid 49 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load net {b(1).sg1.lpi#1.dfm(0)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(1)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(2)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(3)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(4)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(5)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(6)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(7)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(8)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(9)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(10)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(11)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(12)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(13)} -attr vt d
+load net {b(1).sg1.lpi#1.dfm(14)} -attr vt d
+load netBundle {b(1).sg1.lpi#1.dfm} 15 {b(1).sg1.lpi#1.dfm(0)} {b(1).sg1.lpi#1.dfm(1)} {b(1).sg1.lpi#1.dfm(2)} {b(1).sg1.lpi#1.dfm(3)} {b(1).sg1.lpi#1.dfm(4)} {b(1).sg1.lpi#1.dfm(5)} {b(1).sg1.lpi#1.dfm(6)} {b(1).sg1.lpi#1.dfm(7)} {b(1).sg1.lpi#1.dfm(8)} {b(1).sg1.lpi#1.dfm(9)} {b(1).sg1.lpi#1.dfm(10)} {b(1).sg1.lpi#1.dfm(11)} {b(1).sg1.lpi#1.dfm(12)} {b(1).sg1.lpi#1.dfm(13)} {b(1).sg1.lpi#1.dfm(14)} -attr xrf 44174 -attr oid 50 -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(2).sva#1(0)} -attr vt d
+load net {b(2).sva#1(1)} -attr vt d
+load net {b(2).sva#1(2)} -attr vt d
+load net {b(2).sva#1(3)} -attr vt d
+load net {b(2).sva#1(4)} -attr vt d
+load net {b(2).sva#1(5)} -attr vt d
+load net {b(2).sva#1(6)} -attr vt d
+load net {b(2).sva#1(7)} -attr vt d
+load net {b(2).sva#1(8)} -attr vt d
+load net {b(2).sva#1(9)} -attr vt d
+load net {b(2).sva#1(10)} -attr vt d
+load net {b(2).sva#1(11)} -attr vt d
+load net {b(2).sva#1(12)} -attr vt d
+load net {b(2).sva#1(13)} -attr vt d
+load net {b(2).sva#1(14)} -attr vt d
+load net {b(2).sva#1(15)} -attr vt d
+load netBundle {b(2).sva#1} 16 {b(2).sva#1(0)} {b(2).sva#1(1)} {b(2).sva#1(2)} {b(2).sva#1(3)} {b(2).sva#1(4)} {b(2).sva#1(5)} {b(2).sva#1(6)} {b(2).sva#1(7)} {b(2).sva#1(8)} {b(2).sva#1(9)} {b(2).sva#1(10)} {b(2).sva#1(11)} {b(2).sva#1(12)} {b(2).sva#1(13)} {b(2).sva#1(14)} {b(2).sva#1(15)} -attr xrf 44175 -attr oid 51 -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(0).sva#1(0)} -attr vt d
+load net {b(0).sva#1(1)} -attr vt d
+load net {b(0).sva#1(2)} -attr vt d
+load net {b(0).sva#1(3)} -attr vt d
+load net {b(0).sva#1(4)} -attr vt d
+load net {b(0).sva#1(5)} -attr vt d
+load net {b(0).sva#1(6)} -attr vt d
+load net {b(0).sva#1(7)} -attr vt d
+load net {b(0).sva#1(8)} -attr vt d
+load net {b(0).sva#1(9)} -attr vt d
+load net {b(0).sva#1(10)} -attr vt d
+load net {b(0).sva#1(11)} -attr vt d
+load net {b(0).sva#1(12)} -attr vt d
+load net {b(0).sva#1(13)} -attr vt d
+load net {b(0).sva#1(14)} -attr vt d
+load net {b(0).sva#1(15)} -attr vt d
+load netBundle {b(0).sva#1} 16 {b(0).sva#1(0)} {b(0).sva#1(1)} {b(0).sva#1(2)} {b(0).sva#1(3)} {b(0).sva#1(4)} {b(0).sva#1(5)} {b(0).sva#1(6)} {b(0).sva#1(7)} {b(0).sva#1(8)} {b(0).sva#1(9)} {b(0).sva#1(10)} {b(0).sva#1(11)} {b(0).sva#1(12)} {b(0).sva#1(13)} {b(0).sva#1(14)} {b(0).sva#1(15)} -attr xrf 44176 -attr oid 52 -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {g(1).sg1.lpi#1.dfm(0)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(1)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(2)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(3)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(4)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(5)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(6)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(7)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(8)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(9)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(10)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(11)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(12)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(13)} -attr vt d
+load net {g(1).sg1.lpi#1.dfm(14)} -attr vt d
+load netBundle {g(1).sg1.lpi#1.dfm} 15 {g(1).sg1.lpi#1.dfm(0)} {g(1).sg1.lpi#1.dfm(1)} {g(1).sg1.lpi#1.dfm(2)} {g(1).sg1.lpi#1.dfm(3)} {g(1).sg1.lpi#1.dfm(4)} {g(1).sg1.lpi#1.dfm(5)} {g(1).sg1.lpi#1.dfm(6)} {g(1).sg1.lpi#1.dfm(7)} {g(1).sg1.lpi#1.dfm(8)} {g(1).sg1.lpi#1.dfm(9)} {g(1).sg1.lpi#1.dfm(10)} {g(1).sg1.lpi#1.dfm(11)} {g(1).sg1.lpi#1.dfm(12)} {g(1).sg1.lpi#1.dfm(13)} {g(1).sg1.lpi#1.dfm(14)} -attr xrf 44177 -attr oid 53 -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(2).sva#1(0)} -attr vt d
+load net {g(2).sva#1(1)} -attr vt d
+load net {g(2).sva#1(2)} -attr vt d
+load net {g(2).sva#1(3)} -attr vt d
+load net {g(2).sva#1(4)} -attr vt d
+load net {g(2).sva#1(5)} -attr vt d
+load net {g(2).sva#1(6)} -attr vt d
+load net {g(2).sva#1(7)} -attr vt d
+load net {g(2).sva#1(8)} -attr vt d
+load net {g(2).sva#1(9)} -attr vt d
+load net {g(2).sva#1(10)} -attr vt d
+load net {g(2).sva#1(11)} -attr vt d
+load net {g(2).sva#1(12)} -attr vt d
+load net {g(2).sva#1(13)} -attr vt d
+load net {g(2).sva#1(14)} -attr vt d
+load net {g(2).sva#1(15)} -attr vt d
+load netBundle {g(2).sva#1} 16 {g(2).sva#1(0)} {g(2).sva#1(1)} {g(2).sva#1(2)} {g(2).sva#1(3)} {g(2).sva#1(4)} {g(2).sva#1(5)} {g(2).sva#1(6)} {g(2).sva#1(7)} {g(2).sva#1(8)} {g(2).sva#1(9)} {g(2).sva#1(10)} {g(2).sva#1(11)} {g(2).sva#1(12)} {g(2).sva#1(13)} {g(2).sva#1(14)} {g(2).sva#1(15)} -attr xrf 44178 -attr oid 54 -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(0).sva#1(0)} -attr vt d
+load net {g(0).sva#1(1)} -attr vt d
+load net {g(0).sva#1(2)} -attr vt d
+load net {g(0).sva#1(3)} -attr vt d
+load net {g(0).sva#1(4)} -attr vt d
+load net {g(0).sva#1(5)} -attr vt d
+load net {g(0).sva#1(6)} -attr vt d
+load net {g(0).sva#1(7)} -attr vt d
+load net {g(0).sva#1(8)} -attr vt d
+load net {g(0).sva#1(9)} -attr vt d
+load net {g(0).sva#1(10)} -attr vt d
+load net {g(0).sva#1(11)} -attr vt d
+load net {g(0).sva#1(12)} -attr vt d
+load net {g(0).sva#1(13)} -attr vt d
+load net {g(0).sva#1(14)} -attr vt d
+load net {g(0).sva#1(15)} -attr vt d
+load netBundle {g(0).sva#1} 16 {g(0).sva#1(0)} {g(0).sva#1(1)} {g(0).sva#1(2)} {g(0).sva#1(3)} {g(0).sva#1(4)} {g(0).sva#1(5)} {g(0).sva#1(6)} {g(0).sva#1(7)} {g(0).sva#1(8)} {g(0).sva#1(9)} {g(0).sva#1(10)} {g(0).sva#1(11)} {g(0).sva#1(12)} {g(0).sva#1(13)} {g(0).sva#1(14)} {g(0).sva#1(15)} -attr xrf 44179 -attr oid 55 -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {r(1).sg1.lpi#1.dfm(0)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(1)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(2)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(3)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(4)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(5)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(6)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(7)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(8)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(9)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(10)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(11)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(12)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(13)} -attr vt d
+load net {r(1).sg1.lpi#1.dfm(14)} -attr vt d
+load netBundle {r(1).sg1.lpi#1.dfm} 15 {r(1).sg1.lpi#1.dfm(0)} {r(1).sg1.lpi#1.dfm(1)} {r(1).sg1.lpi#1.dfm(2)} {r(1).sg1.lpi#1.dfm(3)} {r(1).sg1.lpi#1.dfm(4)} {r(1).sg1.lpi#1.dfm(5)} {r(1).sg1.lpi#1.dfm(6)} {r(1).sg1.lpi#1.dfm(7)} {r(1).sg1.lpi#1.dfm(8)} {r(1).sg1.lpi#1.dfm(9)} {r(1).sg1.lpi#1.dfm(10)} {r(1).sg1.lpi#1.dfm(11)} {r(1).sg1.lpi#1.dfm(12)} {r(1).sg1.lpi#1.dfm(13)} {r(1).sg1.lpi#1.dfm(14)} -attr xrf 44180 -attr oid 56 -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(2).sva#1(0)} -attr vt d
+load net {r(2).sva#1(1)} -attr vt d
+load net {r(2).sva#1(2)} -attr vt d
+load net {r(2).sva#1(3)} -attr vt d
+load net {r(2).sva#1(4)} -attr vt d
+load net {r(2).sva#1(5)} -attr vt d
+load net {r(2).sva#1(6)} -attr vt d
+load net {r(2).sva#1(7)} -attr vt d
+load net {r(2).sva#1(8)} -attr vt d
+load net {r(2).sva#1(9)} -attr vt d
+load net {r(2).sva#1(10)} -attr vt d
+load net {r(2).sva#1(11)} -attr vt d
+load net {r(2).sva#1(12)} -attr vt d
+load net {r(2).sva#1(13)} -attr vt d
+load net {r(2).sva#1(14)} -attr vt d
+load net {r(2).sva#1(15)} -attr vt d
+load netBundle {r(2).sva#1} 16 {r(2).sva#1(0)} {r(2).sva#1(1)} {r(2).sva#1(2)} {r(2).sva#1(3)} {r(2).sva#1(4)} {r(2).sva#1(5)} {r(2).sva#1(6)} {r(2).sva#1(7)} {r(2).sva#1(8)} {r(2).sva#1(9)} {r(2).sva#1(10)} {r(2).sva#1(11)} {r(2).sva#1(12)} {r(2).sva#1(13)} {r(2).sva#1(14)} {r(2).sva#1(15)} -attr xrf 44181 -attr oid 57 -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(0).sva#1(0)} -attr vt d
+load net {r(0).sva#1(1)} -attr vt d
+load net {r(0).sva#1(2)} -attr vt d
+load net {r(0).sva#1(3)} -attr vt d
+load net {r(0).sva#1(4)} -attr vt d
+load net {r(0).sva#1(5)} -attr vt d
+load net {r(0).sva#1(6)} -attr vt d
+load net {r(0).sva#1(7)} -attr vt d
+load net {r(0).sva#1(8)} -attr vt d
+load net {r(0).sva#1(9)} -attr vt d
+load net {r(0).sva#1(10)} -attr vt d
+load net {r(0).sva#1(11)} -attr vt d
+load net {r(0).sva#1(12)} -attr vt d
+load net {r(0).sva#1(13)} -attr vt d
+load net {r(0).sva#1(14)} -attr vt d
+load net {r(0).sva#1(15)} -attr vt d
+load netBundle {r(0).sva#1} 16 {r(0).sva#1(0)} {r(0).sva#1(1)} {r(0).sva#1(2)} {r(0).sva#1(3)} {r(0).sva#1(4)} {r(0).sva#1(5)} {r(0).sva#1(6)} {r(0).sva#1(7)} {r(0).sva#1(8)} {r(0).sva#1(9)} {r(0).sva#1(10)} {r(0).sva#1(11)} {r(0).sva#1(12)} {r(0).sva#1(13)} {r(0).sva#1(14)} {r(0).sva#1(15)} -attr xrf 44182 -attr oid 58 -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {b(2).lpi#1.dfm(0)} -attr vt d
+load net {b(2).lpi#1.dfm(1)} -attr vt d
+load net {b(2).lpi#1.dfm(2)} -attr vt d
+load net {b(2).lpi#1.dfm(3)} -attr vt d
+load net {b(2).lpi#1.dfm(4)} -attr vt d
+load net {b(2).lpi#1.dfm(5)} -attr vt d
+load net {b(2).lpi#1.dfm(6)} -attr vt d
+load net {b(2).lpi#1.dfm(7)} -attr vt d
+load net {b(2).lpi#1.dfm(8)} -attr vt d
+load net {b(2).lpi#1.dfm(9)} -attr vt d
+load net {b(2).lpi#1.dfm(10)} -attr vt d
+load net {b(2).lpi#1.dfm(11)} -attr vt d
+load net {b(2).lpi#1.dfm(12)} -attr vt d
+load net {b(2).lpi#1.dfm(13)} -attr vt d
+load net {b(2).lpi#1.dfm(14)} -attr vt d
+load net {b(2).lpi#1.dfm(15)} -attr vt d
+load netBundle {b(2).lpi#1.dfm} 16 {b(2).lpi#1.dfm(0)} {b(2).lpi#1.dfm(1)} {b(2).lpi#1.dfm(2)} {b(2).lpi#1.dfm(3)} {b(2).lpi#1.dfm(4)} {b(2).lpi#1.dfm(5)} {b(2).lpi#1.dfm(6)} {b(2).lpi#1.dfm(7)} {b(2).lpi#1.dfm(8)} {b(2).lpi#1.dfm(9)} {b(2).lpi#1.dfm(10)} {b(2).lpi#1.dfm(11)} {b(2).lpi#1.dfm(12)} {b(2).lpi#1.dfm(13)} {b(2).lpi#1.dfm(14)} {b(2).lpi#1.dfm(15)} -attr xrf 44183 -attr oid 59 -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(0)} -attr vt d
+load net {g(2).lpi#1.dfm(1)} -attr vt d
+load net {g(2).lpi#1.dfm(2)} -attr vt d
+load net {g(2).lpi#1.dfm(3)} -attr vt d
+load net {g(2).lpi#1.dfm(4)} -attr vt d
+load net {g(2).lpi#1.dfm(5)} -attr vt d
+load net {g(2).lpi#1.dfm(6)} -attr vt d
+load net {g(2).lpi#1.dfm(7)} -attr vt d
+load net {g(2).lpi#1.dfm(8)} -attr vt d
+load net {g(2).lpi#1.dfm(9)} -attr vt d
+load net {g(2).lpi#1.dfm(10)} -attr vt d
+load net {g(2).lpi#1.dfm(11)} -attr vt d
+load net {g(2).lpi#1.dfm(12)} -attr vt d
+load net {g(2).lpi#1.dfm(13)} -attr vt d
+load net {g(2).lpi#1.dfm(14)} -attr vt d
+load net {g(2).lpi#1.dfm(15)} -attr vt d
+load netBundle {g(2).lpi#1.dfm} 16 {g(2).lpi#1.dfm(0)} {g(2).lpi#1.dfm(1)} {g(2).lpi#1.dfm(2)} {g(2).lpi#1.dfm(3)} {g(2).lpi#1.dfm(4)} {g(2).lpi#1.dfm(5)} {g(2).lpi#1.dfm(6)} {g(2).lpi#1.dfm(7)} {g(2).lpi#1.dfm(8)} {g(2).lpi#1.dfm(9)} {g(2).lpi#1.dfm(10)} {g(2).lpi#1.dfm(11)} {g(2).lpi#1.dfm(12)} {g(2).lpi#1.dfm(13)} {g(2).lpi#1.dfm(14)} {g(2).lpi#1.dfm(15)} -attr xrf 44184 -attr oid 60 -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(0)} -attr vt d
+load net {r(2).lpi#1.dfm(1)} -attr vt d
+load net {r(2).lpi#1.dfm(2)} -attr vt d
+load net {r(2).lpi#1.dfm(3)} -attr vt d
+load net {r(2).lpi#1.dfm(4)} -attr vt d
+load net {r(2).lpi#1.dfm(5)} -attr vt d
+load net {r(2).lpi#1.dfm(6)} -attr vt d
+load net {r(2).lpi#1.dfm(7)} -attr vt d
+load net {r(2).lpi#1.dfm(8)} -attr vt d
+load net {r(2).lpi#1.dfm(9)} -attr vt d
+load net {r(2).lpi#1.dfm(10)} -attr vt d
+load net {r(2).lpi#1.dfm(11)} -attr vt d
+load net {r(2).lpi#1.dfm(12)} -attr vt d
+load net {r(2).lpi#1.dfm(13)} -attr vt d
+load net {r(2).lpi#1.dfm(14)} -attr vt d
+load net {r(2).lpi#1.dfm(15)} -attr vt d
+load netBundle {r(2).lpi#1.dfm} 16 {r(2).lpi#1.dfm(0)} {r(2).lpi#1.dfm(1)} {r(2).lpi#1.dfm(2)} {r(2).lpi#1.dfm(3)} {r(2).lpi#1.dfm(4)} {r(2).lpi#1.dfm(5)} {r(2).lpi#1.dfm(6)} {r(2).lpi#1.dfm(7)} {r(2).lpi#1.dfm(8)} {r(2).lpi#1.dfm(9)} {r(2).lpi#1.dfm(10)} {r(2).lpi#1.dfm(11)} {r(2).lpi#1.dfm(12)} {r(2).lpi#1.dfm(13)} {r(2).lpi#1.dfm(14)} {r(2).lpi#1.dfm(15)} -attr xrf 44185 -attr oid 61 -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(0)} -attr vt d
+load net {b(0).lpi#1.dfm(1)} -attr vt d
+load net {b(0).lpi#1.dfm(2)} -attr vt d
+load net {b(0).lpi#1.dfm(3)} -attr vt d
+load net {b(0).lpi#1.dfm(4)} -attr vt d
+load net {b(0).lpi#1.dfm(5)} -attr vt d
+load net {b(0).lpi#1.dfm(6)} -attr vt d
+load net {b(0).lpi#1.dfm(7)} -attr vt d
+load net {b(0).lpi#1.dfm(8)} -attr vt d
+load net {b(0).lpi#1.dfm(9)} -attr vt d
+load net {b(0).lpi#1.dfm(10)} -attr vt d
+load net {b(0).lpi#1.dfm(11)} -attr vt d
+load net {b(0).lpi#1.dfm(12)} -attr vt d
+load net {b(0).lpi#1.dfm(13)} -attr vt d
+load net {b(0).lpi#1.dfm(14)} -attr vt d
+load net {b(0).lpi#1.dfm(15)} -attr vt d
+load netBundle {b(0).lpi#1.dfm} 16 {b(0).lpi#1.dfm(0)} {b(0).lpi#1.dfm(1)} {b(0).lpi#1.dfm(2)} {b(0).lpi#1.dfm(3)} {b(0).lpi#1.dfm(4)} {b(0).lpi#1.dfm(5)} {b(0).lpi#1.dfm(6)} {b(0).lpi#1.dfm(7)} {b(0).lpi#1.dfm(8)} {b(0).lpi#1.dfm(9)} {b(0).lpi#1.dfm(10)} {b(0).lpi#1.dfm(11)} {b(0).lpi#1.dfm(12)} {b(0).lpi#1.dfm(13)} {b(0).lpi#1.dfm(14)} {b(0).lpi#1.dfm(15)} -attr xrf 44186 -attr oid 62 -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(0)} -attr vt d
+load net {g(0).lpi#1.dfm(1)} -attr vt d
+load net {g(0).lpi#1.dfm(2)} -attr vt d
+load net {g(0).lpi#1.dfm(3)} -attr vt d
+load net {g(0).lpi#1.dfm(4)} -attr vt d
+load net {g(0).lpi#1.dfm(5)} -attr vt d
+load net {g(0).lpi#1.dfm(6)} -attr vt d
+load net {g(0).lpi#1.dfm(7)} -attr vt d
+load net {g(0).lpi#1.dfm(8)} -attr vt d
+load net {g(0).lpi#1.dfm(9)} -attr vt d
+load net {g(0).lpi#1.dfm(10)} -attr vt d
+load net {g(0).lpi#1.dfm(11)} -attr vt d
+load net {g(0).lpi#1.dfm(12)} -attr vt d
+load net {g(0).lpi#1.dfm(13)} -attr vt d
+load net {g(0).lpi#1.dfm(14)} -attr vt d
+load net {g(0).lpi#1.dfm(15)} -attr vt d
+load netBundle {g(0).lpi#1.dfm} 16 {g(0).lpi#1.dfm(0)} {g(0).lpi#1.dfm(1)} {g(0).lpi#1.dfm(2)} {g(0).lpi#1.dfm(3)} {g(0).lpi#1.dfm(4)} {g(0).lpi#1.dfm(5)} {g(0).lpi#1.dfm(6)} {g(0).lpi#1.dfm(7)} {g(0).lpi#1.dfm(8)} {g(0).lpi#1.dfm(9)} {g(0).lpi#1.dfm(10)} {g(0).lpi#1.dfm(11)} {g(0).lpi#1.dfm(12)} {g(0).lpi#1.dfm(13)} {g(0).lpi#1.dfm(14)} {g(0).lpi#1.dfm(15)} -attr xrf 44187 -attr oid 63 -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(0)} -attr vt d
+load net {r(0).lpi#1.dfm(1)} -attr vt d
+load net {r(0).lpi#1.dfm(2)} -attr vt d
+load net {r(0).lpi#1.dfm(3)} -attr vt d
+load net {r(0).lpi#1.dfm(4)} -attr vt d
+load net {r(0).lpi#1.dfm(5)} -attr vt d
+load net {r(0).lpi#1.dfm(6)} -attr vt d
+load net {r(0).lpi#1.dfm(7)} -attr vt d
+load net {r(0).lpi#1.dfm(8)} -attr vt d
+load net {r(0).lpi#1.dfm(9)} -attr vt d
+load net {r(0).lpi#1.dfm(10)} -attr vt d
+load net {r(0).lpi#1.dfm(11)} -attr vt d
+load net {r(0).lpi#1.dfm(12)} -attr vt d
+load net {r(0).lpi#1.dfm(13)} -attr vt d
+load net {r(0).lpi#1.dfm(14)} -attr vt d
+load net {r(0).lpi#1.dfm(15)} -attr vt d
+load netBundle {r(0).lpi#1.dfm} 16 {r(0).lpi#1.dfm(0)} {r(0).lpi#1.dfm(1)} {r(0).lpi#1.dfm(2)} {r(0).lpi#1.dfm(3)} {r(0).lpi#1.dfm(4)} {r(0).lpi#1.dfm(5)} {r(0).lpi#1.dfm(6)} {r(0).lpi#1.dfm(7)} {r(0).lpi#1.dfm(8)} {r(0).lpi#1.dfm(9)} {r(0).lpi#1.dfm(10)} {r(0).lpi#1.dfm(11)} {r(0).lpi#1.dfm(12)} {r(0).lpi#1.dfm(13)} {r(0).lpi#1.dfm(14)} {r(0).lpi#1.dfm(15)} -attr xrf 44188 -attr oid 64 -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {i#6.sva#1(0)} -attr vt d
+load net {i#6.sva#1(1)} -attr vt d
+load netBundle {i#6.sva#1} 2 {i#6.sva#1(0)} {i#6.sva#1(1)} -attr xrf 44189 -attr oid 65 -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.lpi#1.dfm(0)} -attr vt d
+load net {i#6.lpi#1.dfm(1)} -attr vt d
+load netBundle {i#6.lpi#1.dfm} 2 {i#6.lpi#1.dfm(0)} {i#6.lpi#1.dfm(1)} -attr xrf 44190 -attr oid 66 -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {FRAME:for#1:conc#16(0)} -attr vt d
+load net {FRAME:for#1:conc#16(1)} -attr vt d
+load netBundle {FRAME:for#1:conc#16} 2 {FRAME:for#1:conc#16(0)} {FRAME:for#1:conc#16(1)} -attr xrf 44191 -attr oid 67 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for:conc#28(0)} -attr vt d
+load net {FRAME:for:conc#28(1)} -attr vt d
+load netBundle {FRAME:for:conc#28} 2 {FRAME:for:conc#28(0)} {FRAME:for:conc#28(1)} -attr xrf 44192 -attr oid 68 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:conc#30(0)} -attr vt d
+load net {FRAME:for:conc#30(1)} -attr vt d
+load netBundle {FRAME:for:conc#30} 2 {FRAME:for:conc#30(0)} {FRAME:for:conc#30(1)} -attr xrf 44193 -attr oid 69 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:conc#32(0)} -attr vt d
+load net {FRAME:for:conc#32(1)} -attr vt d
+load netBundle {FRAME:for:conc#32} 2 {FRAME:for:conc#32(0)} {FRAME:for:conc#32(1)} -attr xrf 44194 -attr oid 70 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {mux.itm(0)} -attr vt d
+load net {mux.itm(1)} -attr vt d
+load net {mux.itm(2)} -attr vt d
+load net {mux.itm(3)} -attr vt d
+load net {mux.itm(4)} -attr vt d
+load net {mux.itm(5)} -attr vt d
+load net {mux.itm(6)} -attr vt d
+load net {mux.itm(7)} -attr vt d
+load net {mux.itm(8)} -attr vt d
+load net {mux.itm(9)} -attr vt d
+load net {mux.itm(10)} -attr vt d
+load net {mux.itm(11)} -attr vt d
+load net {mux.itm(12)} -attr vt d
+load net {mux.itm(13)} -attr vt d
+load net {mux.itm(14)} -attr vt d
+load net {mux.itm(15)} -attr vt d
+load net {mux.itm(16)} -attr vt d
+load net {mux.itm(17)} -attr vt d
+load net {mux.itm(18)} -attr vt d
+load net {mux.itm(19)} -attr vt d
+load net {mux.itm(20)} -attr vt d
+load net {mux.itm(21)} -attr vt d
+load net {mux.itm(22)} -attr vt d
+load net {mux.itm(23)} -attr vt d
+load net {mux.itm(24)} -attr vt d
+load net {mux.itm(25)} -attr vt d
+load net {mux.itm(26)} -attr vt d
+load net {mux.itm(27)} -attr vt d
+load net {mux.itm(28)} -attr vt d
+load net {mux.itm(29)} -attr vt d
+load netBundle {mux.itm} 30 {mux.itm(0)} {mux.itm(1)} {mux.itm(2)} {mux.itm(3)} {mux.itm(4)} {mux.itm(5)} {mux.itm(6)} {mux.itm(7)} {mux.itm(8)} {mux.itm(9)} {mux.itm(10)} {mux.itm(11)} {mux.itm(12)} {mux.itm(13)} {mux.itm(14)} {mux.itm(15)} {mux.itm(16)} {mux.itm(17)} {mux.itm(18)} {mux.itm(19)} {mux.itm(20)} {mux.itm(21)} {mux.itm(22)} {mux.itm(23)} {mux.itm(24)} {mux.itm(25)} {mux.itm(26)} {mux.itm(27)} {mux.itm(28)} {mux.itm(29)} -attr xrf 44195 -attr oid 71 -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {FRAME:conc#21.itm(0)} -attr vt d
+load net {FRAME:conc#21.itm(1)} -attr vt d
+load net {FRAME:conc#21.itm(2)} -attr vt d
+load net {FRAME:conc#21.itm(3)} -attr vt d
+load net {FRAME:conc#21.itm(4)} -attr vt d
+load net {FRAME:conc#21.itm(5)} -attr vt d
+load net {FRAME:conc#21.itm(6)} -attr vt d
+load net {FRAME:conc#21.itm(7)} -attr vt d
+load net {FRAME:conc#21.itm(8)} -attr vt d
+load net {FRAME:conc#21.itm(9)} -attr vt d
+load net {FRAME:conc#21.itm(10)} -attr vt d
+load net {FRAME:conc#21.itm(11)} -attr vt d
+load net {FRAME:conc#21.itm(12)} -attr vt d
+load net {FRAME:conc#21.itm(13)} -attr vt d
+load net {FRAME:conc#21.itm(14)} -attr vt d
+load net {FRAME:conc#21.itm(15)} -attr vt d
+load net {FRAME:conc#21.itm(16)} -attr vt d
+load net {FRAME:conc#21.itm(17)} -attr vt d
+load net {FRAME:conc#21.itm(18)} -attr vt d
+load net {FRAME:conc#21.itm(19)} -attr vt d
+load net {FRAME:conc#21.itm(20)} -attr vt d
+load net {FRAME:conc#21.itm(21)} -attr vt d
+load net {FRAME:conc#21.itm(22)} -attr vt d
+load net {FRAME:conc#21.itm(23)} -attr vt d
+load net {FRAME:conc#21.itm(24)} -attr vt d
+load net {FRAME:conc#21.itm(25)} -attr vt d
+load net {FRAME:conc#21.itm(26)} -attr vt d
+load net {FRAME:conc#21.itm(27)} -attr vt d
+load net {FRAME:conc#21.itm(28)} -attr vt d
+load net {FRAME:conc#21.itm(29)} -attr vt d
+load netBundle {FRAME:conc#21.itm} 30 {FRAME:conc#21.itm(0)} {FRAME:conc#21.itm(1)} {FRAME:conc#21.itm(2)} {FRAME:conc#21.itm(3)} {FRAME:conc#21.itm(4)} {FRAME:conc#21.itm(5)} {FRAME:conc#21.itm(6)} {FRAME:conc#21.itm(7)} {FRAME:conc#21.itm(8)} {FRAME:conc#21.itm(9)} {FRAME:conc#21.itm(10)} {FRAME:conc#21.itm(11)} {FRAME:conc#21.itm(12)} {FRAME:conc#21.itm(13)} {FRAME:conc#21.itm(14)} {FRAME:conc#21.itm(15)} {FRAME:conc#21.itm(16)} {FRAME:conc#21.itm(17)} {FRAME:conc#21.itm(18)} {FRAME:conc#21.itm(19)} {FRAME:conc#21.itm(20)} {FRAME:conc#21.itm(21)} {FRAME:conc#21.itm(22)} {FRAME:conc#21.itm(23)} {FRAME:conc#21.itm(24)} {FRAME:conc#21.itm(25)} {FRAME:conc#21.itm(26)} {FRAME:conc#21.itm(27)} {FRAME:conc#21.itm(28)} {FRAME:conc#21.itm(29)} -attr xrf 44196 -attr oid 72 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(0)} -attr vt d
+load net {FRAME:or.itm(1)} -attr vt d
+load net {FRAME:or.itm(2)} -attr vt d
+load net {FRAME:or.itm(3)} -attr vt d
+load net {FRAME:or.itm(4)} -attr vt d
+load net {FRAME:or.itm(5)} -attr vt d
+load net {FRAME:or.itm(6)} -attr vt d
+load net {FRAME:or.itm(7)} -attr vt d
+load net {FRAME:or.itm(8)} -attr vt d
+load net {FRAME:or.itm(9)} -attr vt d
+load netBundle {FRAME:or.itm} 10 {FRAME:or.itm(0)} {FRAME:or.itm(1)} {FRAME:or.itm(2)} {FRAME:or.itm(3)} {FRAME:or.itm(4)} {FRAME:or.itm(5)} {FRAME:or.itm(6)} {FRAME:or.itm(7)} {FRAME:or.itm(8)} {FRAME:or.itm(9)} -attr xrf 44197 -attr oid 73 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:acc#2.itm(0)} -attr vt d
+load net {FRAME:acc#2.itm(1)} -attr vt d
+load net {FRAME:acc#2.itm(2)} -attr vt d
+load net {FRAME:acc#2.itm(3)} -attr vt d
+load net {FRAME:acc#2.itm(4)} -attr vt d
+load net {FRAME:acc#2.itm(5)} -attr vt d
+load net {FRAME:acc#2.itm(6)} -attr vt d
+load net {FRAME:acc#2.itm(7)} -attr vt d
+load net {FRAME:acc#2.itm(8)} -attr vt d
+load net {FRAME:acc#2.itm(9)} -attr vt d
+load netBundle {FRAME:acc#2.itm} 10 {FRAME:acc#2.itm(0)} {FRAME:acc#2.itm(1)} {FRAME:acc#2.itm(2)} {FRAME:acc#2.itm(3)} {FRAME:acc#2.itm(4)} {FRAME:acc#2.itm(5)} {FRAME:acc#2.itm(6)} {FRAME:acc#2.itm(7)} {FRAME:acc#2.itm(8)} {FRAME:acc#2.itm(9)} -attr xrf 44198 -attr oid 74 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:conc#36.itm(0)} -attr vt d
+load net {FRAME:conc#36.itm(1)} -attr vt d
+load net {FRAME:conc#36.itm(2)} -attr vt d
+load net {FRAME:conc#36.itm(3)} -attr vt d
+load net {FRAME:conc#36.itm(4)} -attr vt d
+load net {FRAME:conc#36.itm(5)} -attr vt d
+load net {FRAME:conc#36.itm(6)} -attr vt d
+load net {FRAME:conc#36.itm(7)} -attr vt d
+load net {FRAME:conc#36.itm(8)} -attr vt d
+load net {FRAME:conc#36.itm(9)} -attr vt d
+load netBundle {FRAME:conc#36.itm} 10 {FRAME:conc#36.itm(0)} {FRAME:conc#36.itm(1)} {FRAME:conc#36.itm(2)} {FRAME:conc#36.itm(3)} {FRAME:conc#36.itm(4)} {FRAME:conc#36.itm(5)} {FRAME:conc#36.itm(6)} {FRAME:conc#36.itm(7)} {FRAME:conc#36.itm(8)} {FRAME:conc#36.itm(9)} -attr xrf 44199 -attr oid 75 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#40.itm(0)} -attr vt d
+load net {FRAME:acc#40.itm(1)} -attr vt d
+load net {FRAME:acc#40.itm(2)} -attr vt d
+load net {FRAME:acc#40.itm(3)} -attr vt d
+load net {FRAME:acc#40.itm(4)} -attr vt d
+load net {FRAME:acc#40.itm(5)} -attr vt d
+load net {FRAME:acc#40.itm(6)} -attr vt d
+load net {FRAME:acc#40.itm(7)} -attr vt d
+load net {FRAME:acc#40.itm(8)} -attr vt d
+load net {FRAME:acc#40.itm(9)} -attr vt d
+load netBundle {FRAME:acc#40.itm} 10 {FRAME:acc#40.itm(0)} {FRAME:acc#40.itm(1)} {FRAME:acc#40.itm(2)} {FRAME:acc#40.itm(3)} {FRAME:acc#40.itm(4)} {FRAME:acc#40.itm(5)} {FRAME:acc#40.itm(6)} {FRAME:acc#40.itm(7)} {FRAME:acc#40.itm(8)} {FRAME:acc#40.itm(9)} -attr xrf 44200 -attr oid 76 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#39.itm(0)} -attr vt d
+load net {FRAME:acc#39.itm(1)} -attr vt d
+load net {FRAME:acc#39.itm(2)} -attr vt d
+load net {FRAME:acc#39.itm(3)} -attr vt d
+load net {FRAME:acc#39.itm(4)} -attr vt d
+load net {FRAME:acc#39.itm(5)} -attr vt d
+load net {FRAME:acc#39.itm(6)} -attr vt d
+load net {FRAME:acc#39.itm(7)} -attr vt d
+load netBundle {FRAME:acc#39.itm} 8 {FRAME:acc#39.itm(0)} {FRAME:acc#39.itm(1)} {FRAME:acc#39.itm(2)} {FRAME:acc#39.itm(3)} {FRAME:acc#39.itm(4)} {FRAME:acc#39.itm(5)} {FRAME:acc#39.itm(6)} {FRAME:acc#39.itm(7)} -attr xrf 44201 -attr oid 77 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#38.itm(0)} -attr vt d
+load net {FRAME:acc#38.itm(1)} -attr vt d
+load net {FRAME:acc#38.itm(2)} -attr vt d
+load net {FRAME:acc#38.itm(3)} -attr vt d
+load net {FRAME:acc#38.itm(4)} -attr vt d
+load netBundle {FRAME:acc#38.itm} 5 {FRAME:acc#38.itm(0)} {FRAME:acc#38.itm(1)} {FRAME:acc#38.itm(2)} {FRAME:acc#38.itm(3)} {FRAME:acc#38.itm(4)} -attr xrf 44202 -attr oid 78 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {conc.itm(0)} -attr vt d
+load net {conc.itm(1)} -attr vt d
+load net {conc.itm(2)} -attr vt d
+load net {conc.itm(3)} -attr vt d
+load net {conc.itm(4)} -attr vt d
+load netBundle {conc.itm} 5 {conc.itm(0)} {conc.itm(1)} {conc.itm(2)} {conc.itm(3)} {conc.itm(4)} -attr xrf 44203 -attr oid 79 -attr vt d -attr @path {/sobel/sobel:core/conc.itm}
+load net {conc#137.itm(0)} -attr vt d
+load net {conc#137.itm(1)} -attr vt d
+load net {conc#137.itm(2)} -attr vt d
+load net {conc#137.itm(3)} -attr vt d
+load net {conc#137.itm(4)} -attr vt d
+load net {conc#137.itm(5)} -attr vt d
+load net {conc#137.itm(6)} -attr vt d
+load net {conc#137.itm(7)} -attr vt d
+load net {conc#137.itm(8)} -attr vt d
+load net {conc#137.itm(9)} -attr vt d
+load netBundle {conc#137.itm} 10 {conc#137.itm(0)} {conc#137.itm(1)} {conc#137.itm(2)} {conc#137.itm(3)} {conc#137.itm(4)} {conc#137.itm(5)} {conc#137.itm(6)} {conc#137.itm(7)} {conc#137.itm(8)} {conc#137.itm(9)} -attr xrf 44204 -attr oid 80 -attr vt d -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {slc(FRAME:acc#3.psp.sva).itm(0)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(FRAME:acc#3.psp.sva).itm} 2 {slc(FRAME:acc#3.psp.sva).itm(0)} {slc(FRAME:acc#3.psp.sva).itm(1)} -attr xrf 44205 -attr oid 81 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva).itm}
+load net {slc(FRAME:acc#3.psp.sva)#1.itm(0)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#1.itm(1)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#1.itm(2)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#1.itm(3)} -attr vt d
+load netBundle {slc(FRAME:acc#3.psp.sva)#1.itm} 4 {slc(FRAME:acc#3.psp.sva)#1.itm(0)} {slc(FRAME:acc#3.psp.sva)#1.itm(1)} {slc(FRAME:acc#3.psp.sva)#1.itm(2)} {slc(FRAME:acc#3.psp.sva)#1.itm(3)} -attr xrf 44206 -attr oid 82 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#1.itm}
+load net {FRAME:or#3.itm(0)} -attr vt d
+load net {FRAME:or#3.itm(1)} -attr vt d
+load net {FRAME:or#3.itm(2)} -attr vt d
+load net {FRAME:or#3.itm(3)} -attr vt d
+load net {FRAME:or#3.itm(4)} -attr vt d
+load net {FRAME:or#3.itm(5)} -attr vt d
+load netBundle {FRAME:or#3.itm} 6 {FRAME:or#3.itm(0)} {FRAME:or#3.itm(1)} {FRAME:or#3.itm(2)} {FRAME:or#3.itm(3)} {FRAME:or#3.itm(4)} {FRAME:or#3.itm(5)} -attr xrf 44207 -attr oid 83 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {slc(FRAME:acc#3.psp.sva)#2.itm(0)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#2.itm(1)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#2.itm(2)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#2.itm(3)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#2.itm(4)} -attr vt d
+load net {slc(FRAME:acc#3.psp.sva)#2.itm(5)} -attr vt d
+load netBundle {slc(FRAME:acc#3.psp.sva)#2.itm} 6 {slc(FRAME:acc#3.psp.sva)#2.itm(0)} {slc(FRAME:acc#3.psp.sva)#2.itm(1)} {slc(FRAME:acc#3.psp.sva)#2.itm(2)} {slc(FRAME:acc#3.psp.sva)#2.itm(3)} {slc(FRAME:acc#3.psp.sva)#2.itm(4)} {slc(FRAME:acc#3.psp.sva)#2.itm(5)} -attr xrf 44208 -attr oid 84 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {conc#138.itm(0)} -attr vt d
+load net {conc#138.itm(1)} -attr vt d
+load net {conc#138.itm(2)} -attr vt d
+load net {conc#138.itm(3)} -attr vt d
+load net {conc#138.itm(4)} -attr vt d
+load net {conc#138.itm(5)} -attr vt d
+load netBundle {conc#138.itm} 6 {conc#138.itm(0)} {conc#138.itm(1)} {conc#138.itm(2)} {conc#138.itm(3)} {conc#138.itm(4)} {conc#138.itm(5)} -attr xrf 44209 -attr oid 85 -attr vt d -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {slc(FRAME:acc#4.psp.sva).itm(0)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva).itm(1)} -attr vt d
+load netBundle {slc(FRAME:acc#4.psp.sva).itm} 2 {slc(FRAME:acc#4.psp.sva).itm(0)} {slc(FRAME:acc#4.psp.sva).itm(1)} -attr xrf 44210 -attr oid 86 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#4.psp.sva).itm}
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(0)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(1)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(2)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(3)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(4)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(5)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(6)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(7)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(8)} -attr vt d
+load net {slc(FRAME:acc#4.psp.sva)#1.itm(9)} -attr vt d
+load netBundle {slc(FRAME:acc#4.psp.sva)#1.itm} 10 {slc(FRAME:acc#4.psp.sva)#1.itm(0)} {slc(FRAME:acc#4.psp.sva)#1.itm(1)} {slc(FRAME:acc#4.psp.sva)#1.itm(2)} {slc(FRAME:acc#4.psp.sva)#1.itm(3)} {slc(FRAME:acc#4.psp.sva)#1.itm(4)} {slc(FRAME:acc#4.psp.sva)#1.itm(5)} {slc(FRAME:acc#4.psp.sva)#1.itm(6)} {slc(FRAME:acc#4.psp.sva)#1.itm(7)} {slc(FRAME:acc#4.psp.sva)#1.itm(8)} {slc(FRAME:acc#4.psp.sva)#1.itm(9)} -attr xrf 44211 -attr oid 87 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#4.psp.sva)#1.itm}
+load net {FRAME:acc#43.itm(0)} -attr vt d
+load net {FRAME:acc#43.itm(1)} -attr vt d
+load netBundle {FRAME:acc#43.itm} 2 {FRAME:acc#43.itm(0)} {FRAME:acc#43.itm(1)} -attr xrf 44212 -attr oid 88 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#43.itm}
+load net {slc(FRAME:mul.sdt).itm(0)} -attr vt d
+load net {slc(FRAME:mul.sdt).itm(1)} -attr vt d
+load netBundle {slc(FRAME:mul.sdt).itm} 2 {slc(FRAME:mul.sdt).itm(0)} {slc(FRAME:mul.sdt).itm(1)} -attr xrf 44213 -attr oid 89 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt).itm}
+load net {slc(FRAME:mul.sdt)#2.itm(0)} -attr vt d
+load net {slc(FRAME:mul.sdt)#2.itm(1)} -attr vt d
+load netBundle {slc(FRAME:mul.sdt)#2.itm} 2 {slc(FRAME:mul.sdt)#2.itm(0)} {slc(FRAME:mul.sdt)#2.itm(1)} -attr xrf 44214 -attr oid 90 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#2.itm}
+load net {FRAME:acc#44.itm(0)} -attr vt d
+load net {FRAME:acc#44.itm(1)} -attr vt d
+load net {FRAME:acc#44.itm(2)} -attr vt d
+load net {FRAME:acc#44.itm(3)} -attr vt d
+load net {FRAME:acc#44.itm(4)} -attr vt d
+load net {FRAME:acc#44.itm(5)} -attr vt d
+load netBundle {FRAME:acc#44.itm} 6 {FRAME:acc#44.itm(0)} {FRAME:acc#44.itm(1)} {FRAME:acc#44.itm(2)} {FRAME:acc#44.itm(3)} {FRAME:acc#44.itm(4)} {FRAME:acc#44.itm(5)} -attr xrf 44215 -attr oid 91 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {slc(FRAME:mul.sdt)#1.itm(0)} -attr vt d
+load net {slc(FRAME:mul.sdt)#1.itm(1)} -attr vt d
+load net {slc(FRAME:mul.sdt)#1.itm(2)} -attr vt d
+load net {slc(FRAME:mul.sdt)#1.itm(3)} -attr vt d
+load net {slc(FRAME:mul.sdt)#1.itm(4)} -attr vt d
+load netBundle {slc(FRAME:mul.sdt)#1.itm} 5 {slc(FRAME:mul.sdt)#1.itm(0)} {slc(FRAME:mul.sdt)#1.itm(1)} {slc(FRAME:mul.sdt)#1.itm(2)} {slc(FRAME:mul.sdt)#1.itm(3)} {slc(FRAME:mul.sdt)#1.itm(4)} -attr xrf 44216 -attr oid 92 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#1.itm}
+load net {exs#3.itm(0)} -attr vt d
+load net {exs#3.itm(1)} -attr vt d
+load net {exs#3.itm(2)} -attr vt d
+load net {exs#3.itm(3)} -attr vt d
+load net {exs#3.itm(4)} -attr vt d
+load netBundle {exs#3.itm} 5 {exs#3.itm(0)} {exs#3.itm(1)} {exs#3.itm(2)} {exs#3.itm(3)} {exs#3.itm(4)} -attr xrf 44217 -attr oid 93 -attr vt d -attr @path {/sobel/sobel:core/exs#3.itm}
+load net {conc#139.itm(0)} -attr vt d
+load net {conc#139.itm(1)} -attr vt d
+load net {conc#139.itm(2)} -attr vt d
+load netBundle {conc#139.itm} 3 {conc#139.itm(0)} {conc#139.itm(1)} {conc#139.itm(2)} -attr xrf 44218 -attr oid 94 -attr vt d -attr @path {/sobel/sobel:core/conc#139.itm}
+load net {FRAME:mul#1.itm(0)} -attr vt d
+load net {FRAME:mul#1.itm(1)} -attr vt d
+load net {FRAME:mul#1.itm(2)} -attr vt d
+load net {FRAME:mul#1.itm(3)} -attr vt d
+load net {FRAME:mul#1.itm(4)} -attr vt d
+load net {FRAME:mul#1.itm(5)} -attr vt d
+load net {FRAME:mul#1.itm(6)} -attr vt d
+load net {FRAME:mul#1.itm(7)} -attr vt d
+load net {FRAME:mul#1.itm(8)} -attr vt d
+load netBundle {FRAME:mul#1.itm} 9 {FRAME:mul#1.itm(0)} {FRAME:mul#1.itm(1)} {FRAME:mul#1.itm(2)} {FRAME:mul#1.itm(3)} {FRAME:mul#1.itm(4)} {FRAME:mul#1.itm(5)} {FRAME:mul#1.itm(6)} {FRAME:mul#1.itm(7)} {FRAME:mul#1.itm(8)} -attr xrf 44219 -attr oid 95 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {slc(red#2.sg1.sva)#13.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#13.itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva)#13.itm(2)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#13.itm} 3 {slc(red#2.sg1.sva)#13.itm(0)} {slc(red#2.sg1.sva)#13.itm(1)} {slc(red#2.sg1.sva)#13.itm(2)} -attr xrf 44220 -attr oid 96 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#13.itm}
+load net {slc(red#2.sg1.sva)#1.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#1.itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva)#1.itm(2)} -attr vt d
+load net {slc(red#2.sg1.sva)#1.itm(3)} -attr vt d
+load net {slc(red#2.sg1.sva)#1.itm(4)} -attr vt d
+load net {slc(red#2.sg1.sva)#1.itm(5)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#1.itm} 6 {slc(red#2.sg1.sva)#1.itm(0)} {slc(red#2.sg1.sva)#1.itm(1)} {slc(red#2.sg1.sva)#1.itm(2)} {slc(red#2.sg1.sva)#1.itm(3)} {slc(red#2.sg1.sva)#1.itm(4)} {slc(red#2.sg1.sva)#1.itm(5)} -attr xrf 44221 -attr oid 97 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {FRAME:acc#37.itm(0)} -attr vt d
+load net {FRAME:acc#37.itm(1)} -attr vt d
+load net {FRAME:acc#37.itm(2)} -attr vt d
+load net {FRAME:acc#37.itm(3)} -attr vt d
+load net {FRAME:acc#37.itm(4)} -attr vt d
+load netBundle {FRAME:acc#37.itm} 5 {FRAME:acc#37.itm(0)} {FRAME:acc#37.itm(1)} {FRAME:acc#37.itm(2)} {FRAME:acc#37.itm(3)} {FRAME:acc#37.itm(4)} -attr xrf 44222 -attr oid 98 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#36.itm(0)} -attr vt d
+load net {FRAME:acc#36.itm(1)} -attr vt d
+load net {FRAME:acc#36.itm(2)} -attr vt d
+load net {FRAME:acc#36.itm(3)} -attr vt d
+load netBundle {FRAME:acc#36.itm} 4 {FRAME:acc#36.itm(0)} {FRAME:acc#36.itm(1)} {FRAME:acc#36.itm(2)} {FRAME:acc#36.itm(3)} -attr xrf 44223 -attr oid 99 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {conc#141.itm(0)} -attr vt d
+load net {conc#141.itm(1)} -attr vt d
+load net {conc#141.itm(2)} -attr vt d
+load netBundle {conc#141.itm} 3 {conc#141.itm(0)} {conc#141.itm(1)} {conc#141.itm(2)} -attr xrf 44224 -attr oid 100 -attr vt d -attr @path {/sobel/sobel:core/conc#141.itm}
+load net {conc#142.itm(0)} -attr vt d
+load net {conc#142.itm(1)} -attr vt d
+load net {conc#142.itm(2)} -attr vt d
+load net {conc#142.itm(3)} -attr vt d
+load net {conc#142.itm(4)} -attr vt d
+load netBundle {conc#142.itm} 5 {conc#142.itm(0)} {conc#142.itm(1)} {conc#142.itm(2)} {conc#142.itm(3)} {conc#142.itm(4)} -attr xrf 44225 -attr oid 101 -attr vt d -attr @path {/sobel/sobel:core/conc#142.itm}
+load net {slc(acc.imod#9.sva)#1.itm(0)} -attr vt d
+load net {slc(acc.imod#9.sva)#1.itm(1)} -attr vt d
+load net {slc(acc.imod#9.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#9.sva)#1.itm} 3 {slc(acc.imod#9.sva)#1.itm(0)} {slc(acc.imod#9.sva)#1.itm(1)} {slc(acc.imod#9.sva)#1.itm(2)} -attr xrf 44226 -attr oid 102 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#1.itm}
+load net {FRAME:conc#33.itm(0)} -attr vt d
+load net {FRAME:conc#33.itm(1)} -attr vt d
+load net {FRAME:conc#33.itm(2)} -attr vt d
+load net {FRAME:conc#33.itm(3)} -attr vt d
+load netBundle {FRAME:conc#33.itm} 4 {FRAME:conc#33.itm(0)} {FRAME:conc#33.itm(1)} {FRAME:conc#33.itm(2)} {FRAME:conc#33.itm(3)} -attr xrf 44227 -attr oid 103 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#33.itm}
+load net {FRAME:not#5.itm(0)} -attr vt d
+load net {FRAME:not#5.itm(1)} -attr vt d
+load net {FRAME:not#5.itm(2)} -attr vt d
+load netBundle {FRAME:not#5.itm} 3 {FRAME:not#5.itm(0)} {FRAME:not#5.itm(1)} {FRAME:not#5.itm(2)} -attr xrf 44228 -attr oid 104 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {slc(acc.imod#9.sva)#2.itm(0)} -attr vt d
+load net {slc(acc.imod#9.sva)#2.itm(1)} -attr vt d
+load net {slc(acc.imod#9.sva)#2.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#9.sva)#2.itm} 3 {slc(acc.imod#9.sva)#2.itm(0)} {slc(acc.imod#9.sva)#2.itm(1)} {slc(acc.imod#9.sva)#2.itm(2)} -attr xrf 44229 -attr oid 105 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#2.itm}
+load net {slc(acc.imod#9.sva)#4.itm(0)} -attr vt d
+load net {slc(acc.imod#9.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(acc.imod#9.sva)#4.itm} 2 {slc(acc.imod#9.sva)#4.itm(0)} {slc(acc.imod#9.sva)#4.itm(1)} -attr xrf 44230 -attr oid 106 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#4.itm}
+load net {FRAME:not#6.itm(0)} -attr vt d
+load net {FRAME:not#6.itm(1)} -attr vt d
+load net {FRAME:not#6.itm(2)} -attr vt d
+load netBundle {FRAME:not#6.itm} 3 {FRAME:not#6.itm(0)} {FRAME:not#6.itm(1)} {FRAME:not#6.itm(2)} -attr xrf 44231 -attr oid 107 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {slc(red#2.sg1.sva)#8.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#8.itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva)#8.itm(2)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#8.itm} 3 {slc(red#2.sg1.sva)#8.itm(0)} {slc(red#2.sg1.sva)#8.itm(1)} {slc(red#2.sg1.sva)#8.itm(2)} -attr xrf 44232 -attr oid 108 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#8.itm}
+load net {FRAME:mul#4.itm(0)} -attr vt d
+load net {FRAME:mul#4.itm(1)} -attr vt d
+load net {FRAME:mul#4.itm(2)} -attr vt d
+load net {FRAME:mul#4.itm(3)} -attr vt d
+load net {FRAME:mul#4.itm(4)} -attr vt d
+load net {FRAME:mul#4.itm(5)} -attr vt d
+load net {FRAME:mul#4.itm(6)} -attr vt d
+load net {FRAME:mul#4.itm(7)} -attr vt d
+load net {FRAME:mul#4.itm(8)} -attr vt d
+load net {FRAME:mul#4.itm(9)} -attr vt d
+load net {FRAME:mul#4.itm(10)} -attr vt d
+load netBundle {FRAME:mul#4.itm} 11 {FRAME:mul#4.itm(0)} {FRAME:mul#4.itm(1)} {FRAME:mul#4.itm(2)} {FRAME:mul#4.itm(3)} {FRAME:mul#4.itm(4)} {FRAME:mul#4.itm(5)} {FRAME:mul#4.itm(6)} {FRAME:mul#4.itm(7)} {FRAME:mul#4.itm(8)} {FRAME:mul#4.itm(9)} {FRAME:mul#4.itm(10)} -attr xrf 44233 -attr oid 109 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {slc(blue#2.sg1.sva)#10.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#10.itm(1)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#10.itm} 2 {slc(blue#2.sg1.sva)#10.itm(0)} {slc(blue#2.sg1.sva)#10.itm(1)} -attr xrf 44234 -attr oid 110 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#10.itm}
+load net {FRAME:mul#5.itm(0)} -attr vt d
+load net {FRAME:mul#5.itm(1)} -attr vt d
+load net {FRAME:mul#5.itm(2)} -attr vt d
+load net {FRAME:mul#5.itm(3)} -attr vt d
+load net {FRAME:mul#5.itm(4)} -attr vt d
+load net {FRAME:mul#5.itm(5)} -attr vt d
+load net {FRAME:mul#5.itm(6)} -attr vt d
+load net {FRAME:mul#5.itm(7)} -attr vt d
+load net {FRAME:mul#5.itm(8)} -attr vt d
+load netBundle {FRAME:mul#5.itm} 9 {FRAME:mul#5.itm(0)} {FRAME:mul#5.itm(1)} {FRAME:mul#5.itm(2)} {FRAME:mul#5.itm(3)} {FRAME:mul#5.itm(4)} {FRAME:mul#5.itm(5)} {FRAME:mul#5.itm(6)} {FRAME:mul#5.itm(7)} {FRAME:mul#5.itm(8)} -attr xrf 44235 -attr oid 111 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {slc(blue#2.sg1.sva)#11.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#11.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#11.itm(2)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#11.itm} 3 {slc(blue#2.sg1.sva)#11.itm(0)} {slc(blue#2.sg1.sva)#11.itm(1)} {slc(blue#2.sg1.sva)#11.itm(2)} -attr xrf 44236 -attr oid 112 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#11.itm}
+load net {slc(blue#2.sg1.sva)#2.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#2.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#2.itm(2)} -attr vt d
+load net {slc(blue#2.sg1.sva)#2.itm(3)} -attr vt d
+load net {slc(blue#2.sg1.sva)#2.itm(4)} -attr vt d
+load net {slc(blue#2.sg1.sva)#2.itm(5)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#2.itm} 6 {slc(blue#2.sg1.sva)#2.itm(0)} {slc(blue#2.sg1.sva)#2.itm(1)} {slc(blue#2.sg1.sva)#2.itm(2)} {slc(blue#2.sg1.sva)#2.itm(3)} {slc(blue#2.sg1.sva)#2.itm(4)} {slc(blue#2.sg1.sva)#2.itm(5)} -attr xrf 44237 -attr oid 113 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {FRAME:acc#30.itm(0)} -attr vt d
+load net {FRAME:acc#30.itm(1)} -attr vt d
+load net {FRAME:acc#30.itm(2)} -attr vt d
+load net {FRAME:acc#30.itm(3)} -attr vt d
+load net {FRAME:acc#30.itm(4)} -attr vt d
+load netBundle {FRAME:acc#30.itm} 5 {FRAME:acc#30.itm(0)} {FRAME:acc#30.itm(1)} {FRAME:acc#30.itm(2)} {FRAME:acc#30.itm(3)} {FRAME:acc#30.itm(4)} -attr xrf 44238 -attr oid 114 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#29.itm(0)} -attr vt d
+load net {FRAME:acc#29.itm(1)} -attr vt d
+load net {FRAME:acc#29.itm(2)} -attr vt d
+load net {FRAME:acc#29.itm(3)} -attr vt d
+load netBundle {FRAME:acc#29.itm} 4 {FRAME:acc#29.itm(0)} {FRAME:acc#29.itm(1)} {FRAME:acc#29.itm(2)} {FRAME:acc#29.itm(3)} -attr xrf 44239 -attr oid 115 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {conc#143.itm(0)} -attr vt d
+load net {conc#143.itm(1)} -attr vt d
+load net {conc#143.itm(2)} -attr vt d
+load netBundle {conc#143.itm} 3 {conc#143.itm(0)} {conc#143.itm(1)} {conc#143.itm(2)} -attr xrf 44240 -attr oid 116 -attr vt d -attr @path {/sobel/sobel:core/conc#143.itm}
+load net {conc#144.itm(0)} -attr vt d
+load net {conc#144.itm(1)} -attr vt d
+load net {conc#144.itm(2)} -attr vt d
+load net {conc#144.itm(3)} -attr vt d
+load net {conc#144.itm(4)} -attr vt d
+load netBundle {conc#144.itm} 5 {conc#144.itm(0)} {conc#144.itm(1)} {conc#144.itm(2)} {conc#144.itm(3)} {conc#144.itm(4)} -attr xrf 44241 -attr oid 117 -attr vt d -attr @path {/sobel/sobel:core/conc#144.itm}
+load net {slc(acc.imod#13.sva)#1.itm(0)} -attr vt d
+load net {slc(acc.imod#13.sva)#1.itm(1)} -attr vt d
+load net {slc(acc.imod#13.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#13.sva)#1.itm} 3 {slc(acc.imod#13.sva)#1.itm(0)} {slc(acc.imod#13.sva)#1.itm(1)} {slc(acc.imod#13.sva)#1.itm(2)} -attr xrf 44242 -attr oid 118 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#1.itm}
+load net {FRAME:conc#29.itm(0)} -attr vt d
+load net {FRAME:conc#29.itm(1)} -attr vt d
+load net {FRAME:conc#29.itm(2)} -attr vt d
+load net {FRAME:conc#29.itm(3)} -attr vt d
+load netBundle {FRAME:conc#29.itm} 4 {FRAME:conc#29.itm(0)} {FRAME:conc#29.itm(1)} {FRAME:conc#29.itm(2)} {FRAME:conc#29.itm(3)} -attr xrf 44243 -attr oid 119 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
+load net {FRAME:not#21.itm(0)} -attr vt d
+load net {FRAME:not#21.itm(1)} -attr vt d
+load net {FRAME:not#21.itm(2)} -attr vt d
+load netBundle {FRAME:not#21.itm} 3 {FRAME:not#21.itm(0)} {FRAME:not#21.itm(1)} {FRAME:not#21.itm(2)} -attr xrf 44244 -attr oid 120 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
+load net {slc(acc.imod#13.sva)#2.itm(0)} -attr vt d
+load net {slc(acc.imod#13.sva)#2.itm(1)} -attr vt d
+load net {slc(acc.imod#13.sva)#2.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#13.sva)#2.itm} 3 {slc(acc.imod#13.sva)#2.itm(0)} {slc(acc.imod#13.sva)#2.itm(1)} {slc(acc.imod#13.sva)#2.itm(2)} -attr xrf 44245 -attr oid 121 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#2.itm}
+load net {slc(acc.imod#13.sva)#4.itm(0)} -attr vt d
+load net {slc(acc.imod#13.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(acc.imod#13.sva)#4.itm} 2 {slc(acc.imod#13.sva)#4.itm(0)} {slc(acc.imod#13.sva)#4.itm(1)} -attr xrf 44246 -attr oid 122 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#4.itm}
+load net {FRAME:not#22.itm(0)} -attr vt d
+load net {FRAME:not#22.itm(1)} -attr vt d
+load net {FRAME:not#22.itm(2)} -attr vt d
+load netBundle {FRAME:not#22.itm} 3 {FRAME:not#22.itm(0)} {FRAME:not#22.itm(1)} {FRAME:not#22.itm(2)} -attr xrf 44247 -attr oid 123 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load net {slc(blue#2.sg1.sva)#9.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#9.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#9.itm(2)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#9.itm} 3 {slc(blue#2.sg1.sva)#9.itm(0)} {slc(blue#2.sg1.sva)#9.itm(1)} {slc(blue#2.sg1.sva)#9.itm(2)} -attr xrf 44248 -attr oid 124 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#9.itm}
+load net {FRAME:mul#2.itm(0)} -attr vt d
+load net {FRAME:mul#2.itm(1)} -attr vt d
+load net {FRAME:mul#2.itm(2)} -attr vt d
+load net {FRAME:mul#2.itm(3)} -attr vt d
+load net {FRAME:mul#2.itm(4)} -attr vt d
+load net {FRAME:mul#2.itm(5)} -attr vt d
+load net {FRAME:mul#2.itm(6)} -attr vt d
+load net {FRAME:mul#2.itm(7)} -attr vt d
+load net {FRAME:mul#2.itm(8)} -attr vt d
+load net {FRAME:mul#2.itm(9)} -attr vt d
+load net {FRAME:mul#2.itm(10)} -attr vt d
+load netBundle {FRAME:mul#2.itm} 11 {FRAME:mul#2.itm(0)} {FRAME:mul#2.itm(1)} {FRAME:mul#2.itm(2)} {FRAME:mul#2.itm(3)} {FRAME:mul#2.itm(4)} {FRAME:mul#2.itm(5)} {FRAME:mul#2.itm(6)} {FRAME:mul#2.itm(7)} {FRAME:mul#2.itm(8)} {FRAME:mul#2.itm(9)} {FRAME:mul#2.itm(10)} -attr xrf 44249 -attr oid 125 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {slc(green#2.sg1.sva)#10.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#10.itm(1)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#10.itm} 2 {slc(green#2.sg1.sva)#10.itm(0)} {slc(green#2.sg1.sva)#10.itm(1)} -attr xrf 44250 -attr oid 126 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#10.itm}
+load net {FRAME:mul#3.itm(0)} -attr vt d
+load net {FRAME:mul#3.itm(1)} -attr vt d
+load net {FRAME:mul#3.itm(2)} -attr vt d
+load net {FRAME:mul#3.itm(3)} -attr vt d
+load net {FRAME:mul#3.itm(4)} -attr vt d
+load net {FRAME:mul#3.itm(5)} -attr vt d
+load net {FRAME:mul#3.itm(6)} -attr vt d
+load net {FRAME:mul#3.itm(7)} -attr vt d
+load net {FRAME:mul#3.itm(8)} -attr vt d
+load netBundle {FRAME:mul#3.itm} 9 {FRAME:mul#3.itm(0)} {FRAME:mul#3.itm(1)} {FRAME:mul#3.itm(2)} {FRAME:mul#3.itm(3)} {FRAME:mul#3.itm(4)} {FRAME:mul#3.itm(5)} {FRAME:mul#3.itm(6)} {FRAME:mul#3.itm(7)} {FRAME:mul#3.itm(8)} -attr xrf 44251 -attr oid 127 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {slc(green#2.sg1.sva)#11.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#11.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#11.itm(2)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#11.itm} 3 {slc(green#2.sg1.sva)#11.itm(0)} {slc(green#2.sg1.sva)#11.itm(1)} {slc(green#2.sg1.sva)#11.itm(2)} -attr xrf 44252 -attr oid 128 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#11.itm}
+load net {slc(green#2.sg1.sva)#2.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#2.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#2.itm(2)} -attr vt d
+load net {slc(green#2.sg1.sva)#2.itm(3)} -attr vt d
+load net {slc(green#2.sg1.sva)#2.itm(4)} -attr vt d
+load net {slc(green#2.sg1.sva)#2.itm(5)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#2.itm} 6 {slc(green#2.sg1.sva)#2.itm(0)} {slc(green#2.sg1.sva)#2.itm(1)} {slc(green#2.sg1.sva)#2.itm(2)} {slc(green#2.sg1.sva)#2.itm(3)} {slc(green#2.sg1.sva)#2.itm(4)} {slc(green#2.sg1.sva)#2.itm(5)} -attr xrf 44253 -attr oid 129 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {FRAME:acc#18.itm(0)} -attr vt d
+load net {FRAME:acc#18.itm(1)} -attr vt d
+load net {FRAME:acc#18.itm(2)} -attr vt d
+load net {FRAME:acc#18.itm(3)} -attr vt d
+load net {FRAME:acc#18.itm(4)} -attr vt d
+load netBundle {FRAME:acc#18.itm} 5 {FRAME:acc#18.itm(0)} {FRAME:acc#18.itm(1)} {FRAME:acc#18.itm(2)} {FRAME:acc#18.itm(3)} {FRAME:acc#18.itm(4)} -attr xrf 44254 -attr oid 130 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#17.itm(0)} -attr vt d
+load net {FRAME:acc#17.itm(1)} -attr vt d
+load net {FRAME:acc#17.itm(2)} -attr vt d
+load net {FRAME:acc#17.itm(3)} -attr vt d
+load netBundle {FRAME:acc#17.itm} 4 {FRAME:acc#17.itm(0)} {FRAME:acc#17.itm(1)} {FRAME:acc#17.itm(2)} {FRAME:acc#17.itm(3)} -attr xrf 44255 -attr oid 131 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {conc#145.itm(0)} -attr vt d
+load net {conc#145.itm(1)} -attr vt d
+load net {conc#145.itm(2)} -attr vt d
+load netBundle {conc#145.itm} 3 {conc#145.itm(0)} {conc#145.itm(1)} {conc#145.itm(2)} -attr xrf 44256 -attr oid 132 -attr vt d -attr @path {/sobel/sobel:core/conc#145.itm}
+load net {conc#146.itm(0)} -attr vt d
+load net {conc#146.itm(1)} -attr vt d
+load net {conc#146.itm(2)} -attr vt d
+load net {conc#146.itm(3)} -attr vt d
+load net {conc#146.itm(4)} -attr vt d
+load netBundle {conc#146.itm} 5 {conc#146.itm(0)} {conc#146.itm(1)} {conc#146.itm(2)} {conc#146.itm(3)} {conc#146.itm(4)} -attr xrf 44257 -attr oid 133 -attr vt d -attr @path {/sobel/sobel:core/conc#146.itm}
+load net {slc(acc.imod#11.sva)#1.itm(0)} -attr vt d
+load net {slc(acc.imod#11.sva)#1.itm(1)} -attr vt d
+load net {slc(acc.imod#11.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#11.sva)#1.itm} 3 {slc(acc.imod#11.sva)#1.itm(0)} {slc(acc.imod#11.sva)#1.itm(1)} {slc(acc.imod#11.sva)#1.itm(2)} -attr xrf 44258 -attr oid 134 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#1.itm}
+load net {FRAME:conc#25.itm(0)} -attr vt d
+load net {FRAME:conc#25.itm(1)} -attr vt d
+load net {FRAME:conc#25.itm(2)} -attr vt d
+load net {FRAME:conc#25.itm(3)} -attr vt d
+load netBundle {FRAME:conc#25.itm} 4 {FRAME:conc#25.itm(0)} {FRAME:conc#25.itm(1)} {FRAME:conc#25.itm(2)} {FRAME:conc#25.itm(3)} -attr xrf 44259 -attr oid 135 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#25.itm}
+load net {FRAME:not#13.itm(0)} -attr vt d
+load net {FRAME:not#13.itm(1)} -attr vt d
+load net {FRAME:not#13.itm(2)} -attr vt d
+load netBundle {FRAME:not#13.itm} 3 {FRAME:not#13.itm(0)} {FRAME:not#13.itm(1)} {FRAME:not#13.itm(2)} -attr xrf 44260 -attr oid 136 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#13.itm}
+load net {slc(acc.imod#11.sva)#2.itm(0)} -attr vt d
+load net {slc(acc.imod#11.sva)#2.itm(1)} -attr vt d
+load net {slc(acc.imod#11.sva)#2.itm(2)} -attr vt d
+load netBundle {slc(acc.imod#11.sva)#2.itm} 3 {slc(acc.imod#11.sva)#2.itm(0)} {slc(acc.imod#11.sva)#2.itm(1)} {slc(acc.imod#11.sva)#2.itm(2)} -attr xrf 44261 -attr oid 137 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#2.itm}
+load net {slc(acc.imod#11.sva)#4.itm(0)} -attr vt d
+load net {slc(acc.imod#11.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(acc.imod#11.sva)#4.itm} 2 {slc(acc.imod#11.sva)#4.itm(0)} {slc(acc.imod#11.sva)#4.itm(1)} -attr xrf 44262 -attr oid 138 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#4.itm}
+load net {FRAME:not#14.itm(0)} -attr vt d
+load net {FRAME:not#14.itm(1)} -attr vt d
+load net {FRAME:not#14.itm(2)} -attr vt d
+load netBundle {FRAME:not#14.itm} 3 {FRAME:not#14.itm(0)} {FRAME:not#14.itm(1)} {FRAME:not#14.itm(2)} -attr xrf 44263 -attr oid 139 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load net {slc(green#2.sg1.sva)#9.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#9.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#9.itm(2)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#9.itm} 3 {slc(green#2.sg1.sva)#9.itm(0)} {slc(green#2.sg1.sva)#9.itm(1)} {slc(green#2.sg1.sva)#9.itm(2)} -attr xrf 44264 -attr oid 140 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#9.itm}
+load net {mux#1.itm(0)} -attr vt d
+load net {mux#1.itm(1)} -attr vt d
+load netBundle {mux#1.itm} 2 {mux#1.itm(0)} {mux#1.itm(1)} -attr xrf 44265 -attr oid 141 -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {FRAME:for:and#13.itm(0)} -attr vt d
+load net {FRAME:for:and#13.itm(1)} -attr vt d
+load netBundle {FRAME:for:and#13.itm} 2 {FRAME:for:and#13.itm(0)} {FRAME:for:and#13.itm(1)} -attr xrf 44266 -attr oid 142 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#13.itm}
+load net {FRAME:for:exs#30.itm(0)} -attr vt d
+load net {FRAME:for:exs#30.itm(1)} -attr vt d
+load netBundle {FRAME:for:exs#30.itm} 2 {FRAME:for:exs#30.itm(0)} {FRAME:for:exs#30.itm(1)} -attr xrf 44267 -attr oid 143 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#30.itm}
+load net {mux#8.itm(0)} -attr vt d
+load net {mux#8.itm(1)} -attr vt d
+load net {mux#8.itm(2)} -attr vt d
+load net {mux#8.itm(3)} -attr vt d
+load net {mux#8.itm(4)} -attr vt d
+load net {mux#8.itm(5)} -attr vt d
+load net {mux#8.itm(6)} -attr vt d
+load net {mux#8.itm(7)} -attr vt d
+load net {mux#8.itm(8)} -attr vt d
+load net {mux#8.itm(9)} -attr vt d
+load net {mux#8.itm(10)} -attr vt d
+load net {mux#8.itm(11)} -attr vt d
+load net {mux#8.itm(12)} -attr vt d
+load net {mux#8.itm(13)} -attr vt d
+load net {mux#8.itm(14)} -attr vt d
+load netBundle {mux#8.itm} 15 {mux#8.itm(0)} {mux#8.itm(1)} {mux#8.itm(2)} {mux#8.itm(3)} {mux#8.itm(4)} {mux#8.itm(5)} {mux#8.itm(6)} {mux#8.itm(7)} {mux#8.itm(8)} {mux#8.itm(9)} {mux#8.itm(10)} {mux#8.itm(11)} {mux#8.itm(12)} {mux#8.itm(13)} {mux#8.itm(14)} -attr xrf 44268 -attr oid 144 -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {FRAME:for:acc#28.itm(0)} -attr vt d
+load net {FRAME:for:acc#28.itm(1)} -attr vt d
+load net {FRAME:for:acc#28.itm(2)} -attr vt d
+load net {FRAME:for:acc#28.itm(3)} -attr vt d
+load net {FRAME:for:acc#28.itm(4)} -attr vt d
+load net {FRAME:for:acc#28.itm(5)} -attr vt d
+load net {FRAME:for:acc#28.itm(6)} -attr vt d
+load net {FRAME:for:acc#28.itm(7)} -attr vt d
+load net {FRAME:for:acc#28.itm(8)} -attr vt d
+load net {FRAME:for:acc#28.itm(9)} -attr vt d
+load net {FRAME:for:acc#28.itm(10)} -attr vt d
+load net {FRAME:for:acc#28.itm(11)} -attr vt d
+load net {FRAME:for:acc#28.itm(12)} -attr vt d
+load net {FRAME:for:acc#28.itm(13)} -attr vt d
+load net {FRAME:for:acc#28.itm(14)} -attr vt d
+load netBundle {FRAME:for:acc#28.itm} 15 {FRAME:for:acc#28.itm(0)} {FRAME:for:acc#28.itm(1)} {FRAME:for:acc#28.itm(2)} {FRAME:for:acc#28.itm(3)} {FRAME:for:acc#28.itm(4)} {FRAME:for:acc#28.itm(5)} {FRAME:for:acc#28.itm(6)} {FRAME:for:acc#28.itm(7)} {FRAME:for:acc#28.itm(8)} {FRAME:for:acc#28.itm(9)} {FRAME:for:acc#28.itm(10)} {FRAME:for:acc#28.itm(11)} {FRAME:for:acc#28.itm(12)} {FRAME:for:acc#28.itm(13)} {FRAME:for:acc#28.itm(14)} -attr xrf 44269 -attr oid 145 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:mul#5.itm(0)} -attr vt d
+load net {FRAME:for:mul#5.itm(1)} -attr vt d
+load net {FRAME:for:mul#5.itm(2)} -attr vt d
+load net {FRAME:for:mul#5.itm(3)} -attr vt d
+load net {FRAME:for:mul#5.itm(4)} -attr vt d
+load net {FRAME:for:mul#5.itm(5)} -attr vt d
+load net {FRAME:for:mul#5.itm(6)} -attr vt d
+load net {FRAME:for:mul#5.itm(7)} -attr vt d
+load net {FRAME:for:mul#5.itm(8)} -attr vt d
+load net {FRAME:for:mul#5.itm(9)} -attr vt d
+load net {FRAME:for:mul#5.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#5.itm} 11 {FRAME:for:mul#5.itm(0)} {FRAME:for:mul#5.itm(1)} {FRAME:for:mul#5.itm(2)} {FRAME:for:mul#5.itm(3)} {FRAME:for:mul#5.itm(4)} {FRAME:for:mul#5.itm(5)} {FRAME:for:mul#5.itm(6)} {FRAME:for:mul#5.itm(7)} {FRAME:for:mul#5.itm(8)} {FRAME:for:mul#5.itm(9)} {FRAME:for:mul#5.itm(10)} -attr xrf 44270 -attr oid 146 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {regs.operator[]#23:mux.itm(0)} -attr vt d
+load net {regs.operator[]#23:mux.itm(1)} -attr vt d
+load net {regs.operator[]#23:mux.itm(2)} -attr vt d
+load net {regs.operator[]#23:mux.itm(3)} -attr vt d
+load net {regs.operator[]#23:mux.itm(4)} -attr vt d
+load net {regs.operator[]#23:mux.itm(5)} -attr vt d
+load net {regs.operator[]#23:mux.itm(6)} -attr vt d
+load net {regs.operator[]#23:mux.itm(7)} -attr vt d
+load net {regs.operator[]#23:mux.itm(8)} -attr vt d
+load net {regs.operator[]#23:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#23:mux.itm} 10 {regs.operator[]#23:mux.itm(0)} {regs.operator[]#23:mux.itm(1)} {regs.operator[]#23:mux.itm(2)} {regs.operator[]#23:mux.itm(3)} {regs.operator[]#23:mux.itm(4)} {regs.operator[]#23:mux.itm(5)} {regs.operator[]#23:mux.itm(6)} {regs.operator[]#23:mux.itm(7)} {regs.operator[]#23:mux.itm(8)} {regs.operator[]#23:mux.itm(9)} -attr xrf 44271 -attr oid 147 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm(9)} -attr xrf 44272 -attr oid 148 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#3.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#3.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#3.itm(9)} -attr xrf 44273 -attr oid 149 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#3.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#3.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#3.itm(9)} -attr xrf 44274 -attr oid 150 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {mux#9.itm(0)} -attr vt d
+load net {mux#9.itm(1)} -attr vt d
+load net {mux#9.itm(2)} -attr vt d
+load net {mux#9.itm(3)} -attr vt d
+load net {mux#9.itm(4)} -attr vt d
+load net {mux#9.itm(5)} -attr vt d
+load net {mux#9.itm(6)} -attr vt d
+load net {mux#9.itm(7)} -attr vt d
+load net {mux#9.itm(8)} -attr vt d
+load net {mux#9.itm(9)} -attr vt d
+load net {mux#9.itm(10)} -attr vt d
+load net {mux#9.itm(11)} -attr vt d
+load net {mux#9.itm(12)} -attr vt d
+load net {mux#9.itm(13)} -attr vt d
+load net {mux#9.itm(14)} -attr vt d
+load netBundle {mux#9.itm} 15 {mux#9.itm(0)} {mux#9.itm(1)} {mux#9.itm(2)} {mux#9.itm(3)} {mux#9.itm(4)} {mux#9.itm(5)} {mux#9.itm(6)} {mux#9.itm(7)} {mux#9.itm(8)} {mux#9.itm(9)} {mux#9.itm(10)} {mux#9.itm(11)} {mux#9.itm(12)} {mux#9.itm(13)} {mux#9.itm(14)} -attr xrf 44275 -attr oid 151 -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {FRAME:for:acc#27.itm(0)} -attr vt d
+load net {FRAME:for:acc#27.itm(1)} -attr vt d
+load net {FRAME:for:acc#27.itm(2)} -attr vt d
+load net {FRAME:for:acc#27.itm(3)} -attr vt d
+load net {FRAME:for:acc#27.itm(4)} -attr vt d
+load net {FRAME:for:acc#27.itm(5)} -attr vt d
+load net {FRAME:for:acc#27.itm(6)} -attr vt d
+load net {FRAME:for:acc#27.itm(7)} -attr vt d
+load net {FRAME:for:acc#27.itm(8)} -attr vt d
+load net {FRAME:for:acc#27.itm(9)} -attr vt d
+load net {FRAME:for:acc#27.itm(10)} -attr vt d
+load net {FRAME:for:acc#27.itm(11)} -attr vt d
+load net {FRAME:for:acc#27.itm(12)} -attr vt d
+load net {FRAME:for:acc#27.itm(13)} -attr vt d
+load net {FRAME:for:acc#27.itm(14)} -attr vt d
+load netBundle {FRAME:for:acc#27.itm} 15 {FRAME:for:acc#27.itm(0)} {FRAME:for:acc#27.itm(1)} {FRAME:for:acc#27.itm(2)} {FRAME:for:acc#27.itm(3)} {FRAME:for:acc#27.itm(4)} {FRAME:for:acc#27.itm(5)} {FRAME:for:acc#27.itm(6)} {FRAME:for:acc#27.itm(7)} {FRAME:for:acc#27.itm(8)} {FRAME:for:acc#27.itm(9)} {FRAME:for:acc#27.itm(10)} {FRAME:for:acc#27.itm(11)} {FRAME:for:acc#27.itm(12)} {FRAME:for:acc#27.itm(13)} {FRAME:for:acc#27.itm(14)} -attr xrf 44276 -attr oid 152 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:mul#4.itm(0)} -attr vt d
+load net {FRAME:for:mul#4.itm(1)} -attr vt d
+load net {FRAME:for:mul#4.itm(2)} -attr vt d
+load net {FRAME:for:mul#4.itm(3)} -attr vt d
+load net {FRAME:for:mul#4.itm(4)} -attr vt d
+load net {FRAME:for:mul#4.itm(5)} -attr vt d
+load net {FRAME:for:mul#4.itm(6)} -attr vt d
+load net {FRAME:for:mul#4.itm(7)} -attr vt d
+load net {FRAME:for:mul#4.itm(8)} -attr vt d
+load net {FRAME:for:mul#4.itm(9)} -attr vt d
+load net {FRAME:for:mul#4.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#4.itm} 11 {FRAME:for:mul#4.itm(0)} {FRAME:for:mul#4.itm(1)} {FRAME:for:mul#4.itm(2)} {FRAME:for:mul#4.itm(3)} {FRAME:for:mul#4.itm(4)} {FRAME:for:mul#4.itm(5)} {FRAME:for:mul#4.itm(6)} {FRAME:for:mul#4.itm(7)} {FRAME:for:mul#4.itm(8)} {FRAME:for:mul#4.itm(9)} {FRAME:for:mul#4.itm(10)} -attr xrf 44277 -attr oid 153 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {regs.operator[]#22:mux.itm(0)} -attr vt d
+load net {regs.operator[]#22:mux.itm(1)} -attr vt d
+load net {regs.operator[]#22:mux.itm(2)} -attr vt d
+load net {regs.operator[]#22:mux.itm(3)} -attr vt d
+load net {regs.operator[]#22:mux.itm(4)} -attr vt d
+load net {regs.operator[]#22:mux.itm(5)} -attr vt d
+load net {regs.operator[]#22:mux.itm(6)} -attr vt d
+load net {regs.operator[]#22:mux.itm(7)} -attr vt d
+load net {regs.operator[]#22:mux.itm(8)} -attr vt d
+load net {regs.operator[]#22:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#22:mux.itm} 10 {regs.operator[]#22:mux.itm(0)} {regs.operator[]#22:mux.itm(1)} {regs.operator[]#22:mux.itm(2)} {regs.operator[]#22:mux.itm(3)} {regs.operator[]#22:mux.itm(4)} {regs.operator[]#22:mux.itm(5)} {regs.operator[]#22:mux.itm(6)} {regs.operator[]#22:mux.itm(7)} {regs.operator[]#22:mux.itm(8)} {regs.operator[]#22:mux.itm(9)} -attr xrf 44278 -attr oid 154 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm(9)} -attr xrf 44279 -attr oid 155 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#4.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#4.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#4.itm(9)} -attr xrf 44280 -attr oid 156 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#4.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#4.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#4.itm(9)} -attr xrf 44281 -attr oid 157 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {mux#10.itm(0)} -attr vt d
+load net {mux#10.itm(1)} -attr vt d
+load net {mux#10.itm(2)} -attr vt d
+load net {mux#10.itm(3)} -attr vt d
+load net {mux#10.itm(4)} -attr vt d
+load net {mux#10.itm(5)} -attr vt d
+load net {mux#10.itm(6)} -attr vt d
+load net {mux#10.itm(7)} -attr vt d
+load net {mux#10.itm(8)} -attr vt d
+load net {mux#10.itm(9)} -attr vt d
+load net {mux#10.itm(10)} -attr vt d
+load net {mux#10.itm(11)} -attr vt d
+load net {mux#10.itm(12)} -attr vt d
+load net {mux#10.itm(13)} -attr vt d
+load net {mux#10.itm(14)} -attr vt d
+load netBundle {mux#10.itm} 15 {mux#10.itm(0)} {mux#10.itm(1)} {mux#10.itm(2)} {mux#10.itm(3)} {mux#10.itm(4)} {mux#10.itm(5)} {mux#10.itm(6)} {mux#10.itm(7)} {mux#10.itm(8)} {mux#10.itm(9)} {mux#10.itm(10)} {mux#10.itm(11)} {mux#10.itm(12)} {mux#10.itm(13)} {mux#10.itm(14)} -attr xrf 44282 -attr oid 158 -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {FRAME:for:acc#26.itm(0)} -attr vt d
+load net {FRAME:for:acc#26.itm(1)} -attr vt d
+load net {FRAME:for:acc#26.itm(2)} -attr vt d
+load net {FRAME:for:acc#26.itm(3)} -attr vt d
+load net {FRAME:for:acc#26.itm(4)} -attr vt d
+load net {FRAME:for:acc#26.itm(5)} -attr vt d
+load net {FRAME:for:acc#26.itm(6)} -attr vt d
+load net {FRAME:for:acc#26.itm(7)} -attr vt d
+load net {FRAME:for:acc#26.itm(8)} -attr vt d
+load net {FRAME:for:acc#26.itm(9)} -attr vt d
+load net {FRAME:for:acc#26.itm(10)} -attr vt d
+load net {FRAME:for:acc#26.itm(11)} -attr vt d
+load net {FRAME:for:acc#26.itm(12)} -attr vt d
+load net {FRAME:for:acc#26.itm(13)} -attr vt d
+load net {FRAME:for:acc#26.itm(14)} -attr vt d
+load netBundle {FRAME:for:acc#26.itm} 15 {FRAME:for:acc#26.itm(0)} {FRAME:for:acc#26.itm(1)} {FRAME:for:acc#26.itm(2)} {FRAME:for:acc#26.itm(3)} {FRAME:for:acc#26.itm(4)} {FRAME:for:acc#26.itm(5)} {FRAME:for:acc#26.itm(6)} {FRAME:for:acc#26.itm(7)} {FRAME:for:acc#26.itm(8)} {FRAME:for:acc#26.itm(9)} {FRAME:for:acc#26.itm(10)} {FRAME:for:acc#26.itm(11)} {FRAME:for:acc#26.itm(12)} {FRAME:for:acc#26.itm(13)} {FRAME:for:acc#26.itm(14)} -attr xrf 44283 -attr oid 159 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:mul#3.itm(0)} -attr vt d
+load net {FRAME:for:mul#3.itm(1)} -attr vt d
+load net {FRAME:for:mul#3.itm(2)} -attr vt d
+load net {FRAME:for:mul#3.itm(3)} -attr vt d
+load net {FRAME:for:mul#3.itm(4)} -attr vt d
+load net {FRAME:for:mul#3.itm(5)} -attr vt d
+load net {FRAME:for:mul#3.itm(6)} -attr vt d
+load net {FRAME:for:mul#3.itm(7)} -attr vt d
+load net {FRAME:for:mul#3.itm(8)} -attr vt d
+load net {FRAME:for:mul#3.itm(9)} -attr vt d
+load net {FRAME:for:mul#3.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#3.itm} 11 {FRAME:for:mul#3.itm(0)} {FRAME:for:mul#3.itm(1)} {FRAME:for:mul#3.itm(2)} {FRAME:for:mul#3.itm(3)} {FRAME:for:mul#3.itm(4)} {FRAME:for:mul#3.itm(5)} {FRAME:for:mul#3.itm(6)} {FRAME:for:mul#3.itm(7)} {FRAME:for:mul#3.itm(8)} {FRAME:for:mul#3.itm(9)} {FRAME:for:mul#3.itm(10)} -attr xrf 44284 -attr oid 160 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {regs.operator[]#21:mux.itm(0)} -attr vt d
+load net {regs.operator[]#21:mux.itm(1)} -attr vt d
+load net {regs.operator[]#21:mux.itm(2)} -attr vt d
+load net {regs.operator[]#21:mux.itm(3)} -attr vt d
+load net {regs.operator[]#21:mux.itm(4)} -attr vt d
+load net {regs.operator[]#21:mux.itm(5)} -attr vt d
+load net {regs.operator[]#21:mux.itm(6)} -attr vt d
+load net {regs.operator[]#21:mux.itm(7)} -attr vt d
+load net {regs.operator[]#21:mux.itm(8)} -attr vt d
+load net {regs.operator[]#21:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#21:mux.itm} 10 {regs.operator[]#21:mux.itm(0)} {regs.operator[]#21:mux.itm(1)} {regs.operator[]#21:mux.itm(2)} {regs.operator[]#21:mux.itm(3)} {regs.operator[]#21:mux.itm(4)} {regs.operator[]#21:mux.itm(5)} {regs.operator[]#21:mux.itm(6)} {regs.operator[]#21:mux.itm(7)} {regs.operator[]#21:mux.itm(8)} {regs.operator[]#21:mux.itm(9)} -attr xrf 44285 -attr oid 161 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm(9)} -attr xrf 44286 -attr oid 162 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#5.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#5.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#5.itm(9)} -attr xrf 44287 -attr oid 163 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#5.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#5.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#5.itm(9)} -attr xrf 44288 -attr oid 164 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {mux#11.itm(0)} -attr vt d
+load net {mux#11.itm(1)} -attr vt d
+load netBundle {mux#11.itm} 2 {mux#11.itm(0)} {mux#11.itm(1)} -attr xrf 44289 -attr oid 165 -attr vt d -attr @path {/sobel/sobel:core/mux#11.itm}
+load net {mux#12.itm(0)} -attr vt d
+load net {mux#12.itm(1)} -attr vt d
+load net {mux#12.itm(2)} -attr vt d
+load net {mux#12.itm(3)} -attr vt d
+load net {mux#12.itm(4)} -attr vt d
+load net {mux#12.itm(5)} -attr vt d
+load net {mux#12.itm(6)} -attr vt d
+load net {mux#12.itm(7)} -attr vt d
+load net {mux#12.itm(8)} -attr vt d
+load net {mux#12.itm(9)} -attr vt d
+load net {mux#12.itm(10)} -attr vt d
+load net {mux#12.itm(11)} -attr vt d
+load net {mux#12.itm(12)} -attr vt d
+load net {mux#12.itm(13)} -attr vt d
+load net {mux#12.itm(14)} -attr vt d
+load net {mux#12.itm(15)} -attr vt d
+load netBundle {mux#12.itm} 16 {mux#12.itm(0)} {mux#12.itm(1)} {mux#12.itm(2)} {mux#12.itm(3)} {mux#12.itm(4)} {mux#12.itm(5)} {mux#12.itm(6)} {mux#12.itm(7)} {mux#12.itm(8)} {mux#12.itm(9)} {mux#12.itm(10)} {mux#12.itm(11)} {mux#12.itm(12)} {mux#12.itm(13)} {mux#12.itm(14)} {mux#12.itm(15)} -attr xrf 44290 -attr oid 166 -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {FRAME:for:acc#14.itm(0)} -attr vt d
+load net {FRAME:for:acc#14.itm(1)} -attr vt d
+load net {FRAME:for:acc#14.itm(2)} -attr vt d
+load net {FRAME:for:acc#14.itm(3)} -attr vt d
+load net {FRAME:for:acc#14.itm(4)} -attr vt d
+load net {FRAME:for:acc#14.itm(5)} -attr vt d
+load net {FRAME:for:acc#14.itm(6)} -attr vt d
+load net {FRAME:for:acc#14.itm(7)} -attr vt d
+load net {FRAME:for:acc#14.itm(8)} -attr vt d
+load net {FRAME:for:acc#14.itm(9)} -attr vt d
+load net {FRAME:for:acc#14.itm(10)} -attr vt d
+load net {FRAME:for:acc#14.itm(11)} -attr vt d
+load net {FRAME:for:acc#14.itm(12)} -attr vt d
+load net {FRAME:for:acc#14.itm(13)} -attr vt d
+load net {FRAME:for:acc#14.itm(14)} -attr vt d
+load net {FRAME:for:acc#14.itm(15)} -attr vt d
+load netBundle {FRAME:for:acc#14.itm} 16 {FRAME:for:acc#14.itm(0)} {FRAME:for:acc#14.itm(1)} {FRAME:for:acc#14.itm(2)} {FRAME:for:acc#14.itm(3)} {FRAME:for:acc#14.itm(4)} {FRAME:for:acc#14.itm(5)} {FRAME:for:acc#14.itm(6)} {FRAME:for:acc#14.itm(7)} {FRAME:for:acc#14.itm(8)} {FRAME:for:acc#14.itm(9)} {FRAME:for:acc#14.itm(10)} {FRAME:for:acc#14.itm(11)} {FRAME:for:acc#14.itm(12)} {FRAME:for:acc#14.itm(13)} {FRAME:for:acc#14.itm(14)} {FRAME:for:acc#14.itm(15)} -attr xrf 44291 -attr oid 167 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:mul#8.itm(0)} -attr vt d
+load net {FRAME:for:mul#8.itm(1)} -attr vt d
+load net {FRAME:for:mul#8.itm(2)} -attr vt d
+load net {FRAME:for:mul#8.itm(3)} -attr vt d
+load net {FRAME:for:mul#8.itm(4)} -attr vt d
+load net {FRAME:for:mul#8.itm(5)} -attr vt d
+load net {FRAME:for:mul#8.itm(6)} -attr vt d
+load net {FRAME:for:mul#8.itm(7)} -attr vt d
+load net {FRAME:for:mul#8.itm(8)} -attr vt d
+load net {FRAME:for:mul#8.itm(9)} -attr vt d
+load net {FRAME:for:mul#8.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#8.itm} 11 {FRAME:for:mul#8.itm(0)} {FRAME:for:mul#8.itm(1)} {FRAME:for:mul#8.itm(2)} {FRAME:for:mul#8.itm(3)} {FRAME:for:mul#8.itm(4)} {FRAME:for:mul#8.itm(5)} {FRAME:for:mul#8.itm(6)} {FRAME:for:mul#8.itm(7)} {FRAME:for:mul#8.itm(8)} {FRAME:for:mul#8.itm(9)} {FRAME:for:mul#8.itm(10)} -attr xrf 44292 -attr oid 168 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {regs.operator[]#26:mux.itm(0)} -attr vt d
+load net {regs.operator[]#26:mux.itm(1)} -attr vt d
+load net {regs.operator[]#26:mux.itm(2)} -attr vt d
+load net {regs.operator[]#26:mux.itm(3)} -attr vt d
+load net {regs.operator[]#26:mux.itm(4)} -attr vt d
+load net {regs.operator[]#26:mux.itm(5)} -attr vt d
+load net {regs.operator[]#26:mux.itm(6)} -attr vt d
+load net {regs.operator[]#26:mux.itm(7)} -attr vt d
+load net {regs.operator[]#26:mux.itm(8)} -attr vt d
+load net {regs.operator[]#26:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#26:mux.itm} 10 {regs.operator[]#26:mux.itm(0)} {regs.operator[]#26:mux.itm(1)} {regs.operator[]#26:mux.itm(2)} {regs.operator[]#26:mux.itm(3)} {regs.operator[]#26:mux.itm(4)} {regs.operator[]#26:mux.itm(5)} {regs.operator[]#26:mux.itm(6)} {regs.operator[]#26:mux.itm(7)} {regs.operator[]#26:mux.itm(8)} {regs.operator[]#26:mux.itm(9)} -attr xrf 44293 -attr oid 169 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0).itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0).itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0).itm(9)} -attr xrf 44294 -attr oid 170 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0).itm} 10 {slc(regs.regs(1).sva.dfm:mx0).itm(0)} {slc(regs.regs(1).sva.dfm:mx0).itm(1)} {slc(regs.regs(1).sva.dfm:mx0).itm(2)} {slc(regs.regs(1).sva.dfm:mx0).itm(3)} {slc(regs.regs(1).sva.dfm:mx0).itm(4)} {slc(regs.regs(1).sva.dfm:mx0).itm(5)} {slc(regs.regs(1).sva.dfm:mx0).itm(6)} {slc(regs.regs(1).sva.dfm:mx0).itm(7)} {slc(regs.regs(1).sva.dfm:mx0).itm(8)} {slc(regs.regs(1).sva.dfm:mx0).itm(9)} -attr xrf 44295 -attr oid 171 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0).itm} 10 {slc(regs.regs(0).sva.dfm:mx0).itm(0)} {slc(regs.regs(0).sva.dfm:mx0).itm(1)} {slc(regs.regs(0).sva.dfm:mx0).itm(2)} {slc(regs.regs(0).sva.dfm:mx0).itm(3)} {slc(regs.regs(0).sva.dfm:mx0).itm(4)} {slc(regs.regs(0).sva.dfm:mx0).itm(5)} {slc(regs.regs(0).sva.dfm:mx0).itm(6)} {slc(regs.regs(0).sva.dfm:mx0).itm(7)} {slc(regs.regs(0).sva.dfm:mx0).itm(8)} {slc(regs.regs(0).sva.dfm:mx0).itm(9)} -attr xrf 44296 -attr oid 172 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {mux#13.itm(0)} -attr vt d
+load net {mux#13.itm(1)} -attr vt d
+load net {mux#13.itm(2)} -attr vt d
+load net {mux#13.itm(3)} -attr vt d
+load net {mux#13.itm(4)} -attr vt d
+load net {mux#13.itm(5)} -attr vt d
+load net {mux#13.itm(6)} -attr vt d
+load net {mux#13.itm(7)} -attr vt d
+load net {mux#13.itm(8)} -attr vt d
+load net {mux#13.itm(9)} -attr vt d
+load net {mux#13.itm(10)} -attr vt d
+load net {mux#13.itm(11)} -attr vt d
+load net {mux#13.itm(12)} -attr vt d
+load net {mux#13.itm(13)} -attr vt d
+load net {mux#13.itm(14)} -attr vt d
+load net {mux#13.itm(15)} -attr vt d
+load netBundle {mux#13.itm} 16 {mux#13.itm(0)} {mux#13.itm(1)} {mux#13.itm(2)} {mux#13.itm(3)} {mux#13.itm(4)} {mux#13.itm(5)} {mux#13.itm(6)} {mux#13.itm(7)} {mux#13.itm(8)} {mux#13.itm(9)} {mux#13.itm(10)} {mux#13.itm(11)} {mux#13.itm(12)} {mux#13.itm(13)} {mux#13.itm(14)} {mux#13.itm(15)} -attr xrf 44297 -attr oid 173 -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {FRAME:for:acc#3.itm(0)} -attr vt d
+load net {FRAME:for:acc#3.itm(1)} -attr vt d
+load net {FRAME:for:acc#3.itm(2)} -attr vt d
+load net {FRAME:for:acc#3.itm(3)} -attr vt d
+load net {FRAME:for:acc#3.itm(4)} -attr vt d
+load net {FRAME:for:acc#3.itm(5)} -attr vt d
+load net {FRAME:for:acc#3.itm(6)} -attr vt d
+load net {FRAME:for:acc#3.itm(7)} -attr vt d
+load net {FRAME:for:acc#3.itm(8)} -attr vt d
+load net {FRAME:for:acc#3.itm(9)} -attr vt d
+load net {FRAME:for:acc#3.itm(10)} -attr vt d
+load net {FRAME:for:acc#3.itm(11)} -attr vt d
+load net {FRAME:for:acc#3.itm(12)} -attr vt d
+load net {FRAME:for:acc#3.itm(13)} -attr vt d
+load net {FRAME:for:acc#3.itm(14)} -attr vt d
+load net {FRAME:for:acc#3.itm(15)} -attr vt d
+load netBundle {FRAME:for:acc#3.itm} 16 {FRAME:for:acc#3.itm(0)} {FRAME:for:acc#3.itm(1)} {FRAME:for:acc#3.itm(2)} {FRAME:for:acc#3.itm(3)} {FRAME:for:acc#3.itm(4)} {FRAME:for:acc#3.itm(5)} {FRAME:for:acc#3.itm(6)} {FRAME:for:acc#3.itm(7)} {FRAME:for:acc#3.itm(8)} {FRAME:for:acc#3.itm(9)} {FRAME:for:acc#3.itm(10)} {FRAME:for:acc#3.itm(11)} {FRAME:for:acc#3.itm(12)} {FRAME:for:acc#3.itm(13)} {FRAME:for:acc#3.itm(14)} {FRAME:for:acc#3.itm(15)} -attr xrf 44298 -attr oid 174 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:mul#2.itm(0)} -attr vt d
+load net {FRAME:for:mul#2.itm(1)} -attr vt d
+load net {FRAME:for:mul#2.itm(2)} -attr vt d
+load net {FRAME:for:mul#2.itm(3)} -attr vt d
+load net {FRAME:for:mul#2.itm(4)} -attr vt d
+load net {FRAME:for:mul#2.itm(5)} -attr vt d
+load net {FRAME:for:mul#2.itm(6)} -attr vt d
+load net {FRAME:for:mul#2.itm(7)} -attr vt d
+load net {FRAME:for:mul#2.itm(8)} -attr vt d
+load net {FRAME:for:mul#2.itm(9)} -attr vt d
+load net {FRAME:for:mul#2.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#2.itm} 11 {FRAME:for:mul#2.itm(0)} {FRAME:for:mul#2.itm(1)} {FRAME:for:mul#2.itm(2)} {FRAME:for:mul#2.itm(3)} {FRAME:for:mul#2.itm(4)} {FRAME:for:mul#2.itm(5)} {FRAME:for:mul#2.itm(6)} {FRAME:for:mul#2.itm(7)} {FRAME:for:mul#2.itm(8)} {FRAME:for:mul#2.itm(9)} {FRAME:for:mul#2.itm(10)} -attr xrf 44299 -attr oid 175 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {regs.operator[]#20:mux.itm(0)} -attr vt d
+load net {regs.operator[]#20:mux.itm(1)} -attr vt d
+load net {regs.operator[]#20:mux.itm(2)} -attr vt d
+load net {regs.operator[]#20:mux.itm(3)} -attr vt d
+load net {regs.operator[]#20:mux.itm(4)} -attr vt d
+load net {regs.operator[]#20:mux.itm(5)} -attr vt d
+load net {regs.operator[]#20:mux.itm(6)} -attr vt d
+load net {regs.operator[]#20:mux.itm(7)} -attr vt d
+load net {regs.operator[]#20:mux.itm(8)} -attr vt d
+load net {regs.operator[]#20:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#20:mux.itm} 10 {regs.operator[]#20:mux.itm(0)} {regs.operator[]#20:mux.itm(1)} {regs.operator[]#20:mux.itm(2)} {regs.operator[]#20:mux.itm(3)} {regs.operator[]#20:mux.itm(4)} {regs.operator[]#20:mux.itm(5)} {regs.operator[]#20:mux.itm(6)} {regs.operator[]#20:mux.itm(7)} {regs.operator[]#20:mux.itm(8)} {regs.operator[]#20:mux.itm(9)} -attr xrf 44300 -attr oid 176 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm(9)} -attr xrf 44301 -attr oid 177 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#6.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#6.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#6.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#6.itm(9)} -attr xrf 44302 -attr oid 178 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#6.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#6.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#6.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#6.itm(9)} -attr xrf 44303 -attr oid 179 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {mux#14.itm(0)} -attr vt d
+load net {mux#14.itm(1)} -attr vt d
+load net {mux#14.itm(2)} -attr vt d
+load net {mux#14.itm(3)} -attr vt d
+load net {mux#14.itm(4)} -attr vt d
+load net {mux#14.itm(5)} -attr vt d
+load net {mux#14.itm(6)} -attr vt d
+load net {mux#14.itm(7)} -attr vt d
+load net {mux#14.itm(8)} -attr vt d
+load net {mux#14.itm(9)} -attr vt d
+load net {mux#14.itm(10)} -attr vt d
+load net {mux#14.itm(11)} -attr vt d
+load net {mux#14.itm(12)} -attr vt d
+load net {mux#14.itm(13)} -attr vt d
+load net {mux#14.itm(14)} -attr vt d
+load net {mux#14.itm(15)} -attr vt d
+load netBundle {mux#14.itm} 16 {mux#14.itm(0)} {mux#14.itm(1)} {mux#14.itm(2)} {mux#14.itm(3)} {mux#14.itm(4)} {mux#14.itm(5)} {mux#14.itm(6)} {mux#14.itm(7)} {mux#14.itm(8)} {mux#14.itm(9)} {mux#14.itm(10)} {mux#14.itm(11)} {mux#14.itm(12)} {mux#14.itm(13)} {mux#14.itm(14)} {mux#14.itm(15)} -attr xrf 44304 -attr oid 180 -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {FRAME:for:acc#12.itm(0)} -attr vt d
+load net {FRAME:for:acc#12.itm(1)} -attr vt d
+load net {FRAME:for:acc#12.itm(2)} -attr vt d
+load net {FRAME:for:acc#12.itm(3)} -attr vt d
+load net {FRAME:for:acc#12.itm(4)} -attr vt d
+load net {FRAME:for:acc#12.itm(5)} -attr vt d
+load net {FRAME:for:acc#12.itm(6)} -attr vt d
+load net {FRAME:for:acc#12.itm(7)} -attr vt d
+load net {FRAME:for:acc#12.itm(8)} -attr vt d
+load net {FRAME:for:acc#12.itm(9)} -attr vt d
+load net {FRAME:for:acc#12.itm(10)} -attr vt d
+load net {FRAME:for:acc#12.itm(11)} -attr vt d
+load net {FRAME:for:acc#12.itm(12)} -attr vt d
+load net {FRAME:for:acc#12.itm(13)} -attr vt d
+load net {FRAME:for:acc#12.itm(14)} -attr vt d
+load net {FRAME:for:acc#12.itm(15)} -attr vt d
+load netBundle {FRAME:for:acc#12.itm} 16 {FRAME:for:acc#12.itm(0)} {FRAME:for:acc#12.itm(1)} {FRAME:for:acc#12.itm(2)} {FRAME:for:acc#12.itm(3)} {FRAME:for:acc#12.itm(4)} {FRAME:for:acc#12.itm(5)} {FRAME:for:acc#12.itm(6)} {FRAME:for:acc#12.itm(7)} {FRAME:for:acc#12.itm(8)} {FRAME:for:acc#12.itm(9)} {FRAME:for:acc#12.itm(10)} {FRAME:for:acc#12.itm(11)} {FRAME:for:acc#12.itm(12)} {FRAME:for:acc#12.itm(13)} {FRAME:for:acc#12.itm(14)} {FRAME:for:acc#12.itm(15)} -attr xrf 44305 -attr oid 181 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:mul#7.itm(0)} -attr vt d
+load net {FRAME:for:mul#7.itm(1)} -attr vt d
+load net {FRAME:for:mul#7.itm(2)} -attr vt d
+load net {FRAME:for:mul#7.itm(3)} -attr vt d
+load net {FRAME:for:mul#7.itm(4)} -attr vt d
+load net {FRAME:for:mul#7.itm(5)} -attr vt d
+load net {FRAME:for:mul#7.itm(6)} -attr vt d
+load net {FRAME:for:mul#7.itm(7)} -attr vt d
+load net {FRAME:for:mul#7.itm(8)} -attr vt d
+load net {FRAME:for:mul#7.itm(9)} -attr vt d
+load net {FRAME:for:mul#7.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#7.itm} 11 {FRAME:for:mul#7.itm(0)} {FRAME:for:mul#7.itm(1)} {FRAME:for:mul#7.itm(2)} {FRAME:for:mul#7.itm(3)} {FRAME:for:mul#7.itm(4)} {FRAME:for:mul#7.itm(5)} {FRAME:for:mul#7.itm(6)} {FRAME:for:mul#7.itm(7)} {FRAME:for:mul#7.itm(8)} {FRAME:for:mul#7.itm(9)} {FRAME:for:mul#7.itm(10)} -attr xrf 44306 -attr oid 182 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {regs.operator[]#25:mux.itm(0)} -attr vt d
+load net {regs.operator[]#25:mux.itm(1)} -attr vt d
+load net {regs.operator[]#25:mux.itm(2)} -attr vt d
+load net {regs.operator[]#25:mux.itm(3)} -attr vt d
+load net {regs.operator[]#25:mux.itm(4)} -attr vt d
+load net {regs.operator[]#25:mux.itm(5)} -attr vt d
+load net {regs.operator[]#25:mux.itm(6)} -attr vt d
+load net {regs.operator[]#25:mux.itm(7)} -attr vt d
+load net {regs.operator[]#25:mux.itm(8)} -attr vt d
+load net {regs.operator[]#25:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#25:mux.itm} 10 {regs.operator[]#25:mux.itm(0)} {regs.operator[]#25:mux.itm(1)} {regs.operator[]#25:mux.itm(2)} {regs.operator[]#25:mux.itm(3)} {regs.operator[]#25:mux.itm(4)} {regs.operator[]#25:mux.itm(5)} {regs.operator[]#25:mux.itm(6)} {regs.operator[]#25:mux.itm(7)} {regs.operator[]#25:mux.itm(8)} {regs.operator[]#25:mux.itm(9)} -attr xrf 44307 -attr oid 183 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm(9)} -attr xrf 44308 -attr oid 184 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#1.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#1.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#1.itm(9)} -attr xrf 44309 -attr oid 185 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#1.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#1.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#1.itm(9)} -attr xrf 44310 -attr oid 186 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {mux#15.itm(0)} -attr vt d
+load net {mux#15.itm(1)} -attr vt d
+load net {mux#15.itm(2)} -attr vt d
+load net {mux#15.itm(3)} -attr vt d
+load net {mux#15.itm(4)} -attr vt d
+load net {mux#15.itm(5)} -attr vt d
+load net {mux#15.itm(6)} -attr vt d
+load net {mux#15.itm(7)} -attr vt d
+load net {mux#15.itm(8)} -attr vt d
+load net {mux#15.itm(9)} -attr vt d
+load net {mux#15.itm(10)} -attr vt d
+load net {mux#15.itm(11)} -attr vt d
+load net {mux#15.itm(12)} -attr vt d
+load net {mux#15.itm(13)} -attr vt d
+load net {mux#15.itm(14)} -attr vt d
+load net {mux#15.itm(15)} -attr vt d
+load netBundle {mux#15.itm} 16 {mux#15.itm(0)} {mux#15.itm(1)} {mux#15.itm(2)} {mux#15.itm(3)} {mux#15.itm(4)} {mux#15.itm(5)} {mux#15.itm(6)} {mux#15.itm(7)} {mux#15.itm(8)} {mux#15.itm(9)} {mux#15.itm(10)} {mux#15.itm(11)} {mux#15.itm(12)} {mux#15.itm(13)} {mux#15.itm(14)} {mux#15.itm(15)} -attr xrf 44311 -attr oid 187 -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {FRAME:for:acc#2.itm(0)} -attr vt d
+load net {FRAME:for:acc#2.itm(1)} -attr vt d
+load net {FRAME:for:acc#2.itm(2)} -attr vt d
+load net {FRAME:for:acc#2.itm(3)} -attr vt d
+load net {FRAME:for:acc#2.itm(4)} -attr vt d
+load net {FRAME:for:acc#2.itm(5)} -attr vt d
+load net {FRAME:for:acc#2.itm(6)} -attr vt d
+load net {FRAME:for:acc#2.itm(7)} -attr vt d
+load net {FRAME:for:acc#2.itm(8)} -attr vt d
+load net {FRAME:for:acc#2.itm(9)} -attr vt d
+load net {FRAME:for:acc#2.itm(10)} -attr vt d
+load net {FRAME:for:acc#2.itm(11)} -attr vt d
+load net {FRAME:for:acc#2.itm(12)} -attr vt d
+load net {FRAME:for:acc#2.itm(13)} -attr vt d
+load net {FRAME:for:acc#2.itm(14)} -attr vt d
+load net {FRAME:for:acc#2.itm(15)} -attr vt d
+load netBundle {FRAME:for:acc#2.itm} 16 {FRAME:for:acc#2.itm(0)} {FRAME:for:acc#2.itm(1)} {FRAME:for:acc#2.itm(2)} {FRAME:for:acc#2.itm(3)} {FRAME:for:acc#2.itm(4)} {FRAME:for:acc#2.itm(5)} {FRAME:for:acc#2.itm(6)} {FRAME:for:acc#2.itm(7)} {FRAME:for:acc#2.itm(8)} {FRAME:for:acc#2.itm(9)} {FRAME:for:acc#2.itm(10)} {FRAME:for:acc#2.itm(11)} {FRAME:for:acc#2.itm(12)} {FRAME:for:acc#2.itm(13)} {FRAME:for:acc#2.itm(14)} {FRAME:for:acc#2.itm(15)} -attr xrf 44312 -attr oid 188 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:mul#1.itm(0)} -attr vt d
+load net {FRAME:for:mul#1.itm(1)} -attr vt d
+load net {FRAME:for:mul#1.itm(2)} -attr vt d
+load net {FRAME:for:mul#1.itm(3)} -attr vt d
+load net {FRAME:for:mul#1.itm(4)} -attr vt d
+load net {FRAME:for:mul#1.itm(5)} -attr vt d
+load net {FRAME:for:mul#1.itm(6)} -attr vt d
+load net {FRAME:for:mul#1.itm(7)} -attr vt d
+load net {FRAME:for:mul#1.itm(8)} -attr vt d
+load net {FRAME:for:mul#1.itm(9)} -attr vt d
+load net {FRAME:for:mul#1.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#1.itm} 11 {FRAME:for:mul#1.itm(0)} {FRAME:for:mul#1.itm(1)} {FRAME:for:mul#1.itm(2)} {FRAME:for:mul#1.itm(3)} {FRAME:for:mul#1.itm(4)} {FRAME:for:mul#1.itm(5)} {FRAME:for:mul#1.itm(6)} {FRAME:for:mul#1.itm(7)} {FRAME:for:mul#1.itm(8)} {FRAME:for:mul#1.itm(9)} {FRAME:for:mul#1.itm(10)} -attr xrf 44313 -attr oid 189 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {regs.operator[]#19:mux.itm(0)} -attr vt d
+load net {regs.operator[]#19:mux.itm(1)} -attr vt d
+load net {regs.operator[]#19:mux.itm(2)} -attr vt d
+load net {regs.operator[]#19:mux.itm(3)} -attr vt d
+load net {regs.operator[]#19:mux.itm(4)} -attr vt d
+load net {regs.operator[]#19:mux.itm(5)} -attr vt d
+load net {regs.operator[]#19:mux.itm(6)} -attr vt d
+load net {regs.operator[]#19:mux.itm(7)} -attr vt d
+load net {regs.operator[]#19:mux.itm(8)} -attr vt d
+load net {regs.operator[]#19:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#19:mux.itm} 10 {regs.operator[]#19:mux.itm(0)} {regs.operator[]#19:mux.itm(1)} {regs.operator[]#19:mux.itm(2)} {regs.operator[]#19:mux.itm(3)} {regs.operator[]#19:mux.itm(4)} {regs.operator[]#19:mux.itm(5)} {regs.operator[]#19:mux.itm(6)} {regs.operator[]#19:mux.itm(7)} {regs.operator[]#19:mux.itm(8)} {regs.operator[]#19:mux.itm(9)} -attr xrf 44314 -attr oid 190 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm(9)} -attr xrf 44315 -attr oid 191 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#7.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#7.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#7.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#7.itm(9)} -attr xrf 44316 -attr oid 192 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#7.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#7.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#7.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#7.itm(9)} -attr xrf 44317 -attr oid 193 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {mux#16.itm(0)} -attr vt d
+load net {mux#16.itm(1)} -attr vt d
+load net {mux#16.itm(2)} -attr vt d
+load net {mux#16.itm(3)} -attr vt d
+load net {mux#16.itm(4)} -attr vt d
+load net {mux#16.itm(5)} -attr vt d
+load net {mux#16.itm(6)} -attr vt d
+load net {mux#16.itm(7)} -attr vt d
+load net {mux#16.itm(8)} -attr vt d
+load net {mux#16.itm(9)} -attr vt d
+load net {mux#16.itm(10)} -attr vt d
+load net {mux#16.itm(11)} -attr vt d
+load net {mux#16.itm(12)} -attr vt d
+load net {mux#16.itm(13)} -attr vt d
+load net {mux#16.itm(14)} -attr vt d
+load net {mux#16.itm(15)} -attr vt d
+load netBundle {mux#16.itm} 16 {mux#16.itm(0)} {mux#16.itm(1)} {mux#16.itm(2)} {mux#16.itm(3)} {mux#16.itm(4)} {mux#16.itm(5)} {mux#16.itm(6)} {mux#16.itm(7)} {mux#16.itm(8)} {mux#16.itm(9)} {mux#16.itm(10)} {mux#16.itm(11)} {mux#16.itm(12)} {mux#16.itm(13)} {mux#16.itm(14)} {mux#16.itm(15)} -attr xrf 44318 -attr oid 194 -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {FRAME:for:acc#10.itm(0)} -attr vt d
+load net {FRAME:for:acc#10.itm(1)} -attr vt d
+load net {FRAME:for:acc#10.itm(2)} -attr vt d
+load net {FRAME:for:acc#10.itm(3)} -attr vt d
+load net {FRAME:for:acc#10.itm(4)} -attr vt d
+load net {FRAME:for:acc#10.itm(5)} -attr vt d
+load net {FRAME:for:acc#10.itm(6)} -attr vt d
+load net {FRAME:for:acc#10.itm(7)} -attr vt d
+load net {FRAME:for:acc#10.itm(8)} -attr vt d
+load net {FRAME:for:acc#10.itm(9)} -attr vt d
+load net {FRAME:for:acc#10.itm(10)} -attr vt d
+load net {FRAME:for:acc#10.itm(11)} -attr vt d
+load net {FRAME:for:acc#10.itm(12)} -attr vt d
+load net {FRAME:for:acc#10.itm(13)} -attr vt d
+load net {FRAME:for:acc#10.itm(14)} -attr vt d
+load net {FRAME:for:acc#10.itm(15)} -attr vt d
+load netBundle {FRAME:for:acc#10.itm} 16 {FRAME:for:acc#10.itm(0)} {FRAME:for:acc#10.itm(1)} {FRAME:for:acc#10.itm(2)} {FRAME:for:acc#10.itm(3)} {FRAME:for:acc#10.itm(4)} {FRAME:for:acc#10.itm(5)} {FRAME:for:acc#10.itm(6)} {FRAME:for:acc#10.itm(7)} {FRAME:for:acc#10.itm(8)} {FRAME:for:acc#10.itm(9)} {FRAME:for:acc#10.itm(10)} {FRAME:for:acc#10.itm(11)} {FRAME:for:acc#10.itm(12)} {FRAME:for:acc#10.itm(13)} {FRAME:for:acc#10.itm(14)} {FRAME:for:acc#10.itm(15)} -attr xrf 44319 -attr oid 195 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:mul#6.itm(0)} -attr vt d
+load net {FRAME:for:mul#6.itm(1)} -attr vt d
+load net {FRAME:for:mul#6.itm(2)} -attr vt d
+load net {FRAME:for:mul#6.itm(3)} -attr vt d
+load net {FRAME:for:mul#6.itm(4)} -attr vt d
+load net {FRAME:for:mul#6.itm(5)} -attr vt d
+load net {FRAME:for:mul#6.itm(6)} -attr vt d
+load net {FRAME:for:mul#6.itm(7)} -attr vt d
+load net {FRAME:for:mul#6.itm(8)} -attr vt d
+load net {FRAME:for:mul#6.itm(9)} -attr vt d
+load net {FRAME:for:mul#6.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul#6.itm} 11 {FRAME:for:mul#6.itm(0)} {FRAME:for:mul#6.itm(1)} {FRAME:for:mul#6.itm(2)} {FRAME:for:mul#6.itm(3)} {FRAME:for:mul#6.itm(4)} {FRAME:for:mul#6.itm(5)} {FRAME:for:mul#6.itm(6)} {FRAME:for:mul#6.itm(7)} {FRAME:for:mul#6.itm(8)} {FRAME:for:mul#6.itm(9)} {FRAME:for:mul#6.itm(10)} -attr xrf 44320 -attr oid 196 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {regs.operator[]#24:mux.itm(0)} -attr vt d
+load net {regs.operator[]#24:mux.itm(1)} -attr vt d
+load net {regs.operator[]#24:mux.itm(2)} -attr vt d
+load net {regs.operator[]#24:mux.itm(3)} -attr vt d
+load net {regs.operator[]#24:mux.itm(4)} -attr vt d
+load net {regs.operator[]#24:mux.itm(5)} -attr vt d
+load net {regs.operator[]#24:mux.itm(6)} -attr vt d
+load net {regs.operator[]#24:mux.itm(7)} -attr vt d
+load net {regs.operator[]#24:mux.itm(8)} -attr vt d
+load net {regs.operator[]#24:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#24:mux.itm} 10 {regs.operator[]#24:mux.itm(0)} {regs.operator[]#24:mux.itm(1)} {regs.operator[]#24:mux.itm(2)} {regs.operator[]#24:mux.itm(3)} {regs.operator[]#24:mux.itm(4)} {regs.operator[]#24:mux.itm(5)} {regs.operator[]#24:mux.itm(6)} {regs.operator[]#24:mux.itm(7)} {regs.operator[]#24:mux.itm(8)} {regs.operator[]#24:mux.itm(9)} -attr xrf 44321 -attr oid 197 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm(9)} -attr xrf 44322 -attr oid 198 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#2.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#2.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#2.itm(9)} -attr xrf 44323 -attr oid 199 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#2.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#2.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#2.itm(9)} -attr xrf 44324 -attr oid 200 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {mux#17.itm(0)} -attr vt d
+load net {mux#17.itm(1)} -attr vt d
+load net {mux#17.itm(2)} -attr vt d
+load net {mux#17.itm(3)} -attr vt d
+load net {mux#17.itm(4)} -attr vt d
+load net {mux#17.itm(5)} -attr vt d
+load net {mux#17.itm(6)} -attr vt d
+load net {mux#17.itm(7)} -attr vt d
+load net {mux#17.itm(8)} -attr vt d
+load net {mux#17.itm(9)} -attr vt d
+load net {mux#17.itm(10)} -attr vt d
+load net {mux#17.itm(11)} -attr vt d
+load net {mux#17.itm(12)} -attr vt d
+load net {mux#17.itm(13)} -attr vt d
+load net {mux#17.itm(14)} -attr vt d
+load net {mux#17.itm(15)} -attr vt d
+load netBundle {mux#17.itm} 16 {mux#17.itm(0)} {mux#17.itm(1)} {mux#17.itm(2)} {mux#17.itm(3)} {mux#17.itm(4)} {mux#17.itm(5)} {mux#17.itm(6)} {mux#17.itm(7)} {mux#17.itm(8)} {mux#17.itm(9)} {mux#17.itm(10)} {mux#17.itm(11)} {mux#17.itm(12)} {mux#17.itm(13)} {mux#17.itm(14)} {mux#17.itm(15)} -attr xrf 44325 -attr oid 201 -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {FRAME:for:acc#1.itm(0)} -attr vt d
+load net {FRAME:for:acc#1.itm(1)} -attr vt d
+load net {FRAME:for:acc#1.itm(2)} -attr vt d
+load net {FRAME:for:acc#1.itm(3)} -attr vt d
+load net {FRAME:for:acc#1.itm(4)} -attr vt d
+load net {FRAME:for:acc#1.itm(5)} -attr vt d
+load net {FRAME:for:acc#1.itm(6)} -attr vt d
+load net {FRAME:for:acc#1.itm(7)} -attr vt d
+load net {FRAME:for:acc#1.itm(8)} -attr vt d
+load net {FRAME:for:acc#1.itm(9)} -attr vt d
+load net {FRAME:for:acc#1.itm(10)} -attr vt d
+load net {FRAME:for:acc#1.itm(11)} -attr vt d
+load net {FRAME:for:acc#1.itm(12)} -attr vt d
+load net {FRAME:for:acc#1.itm(13)} -attr vt d
+load net {FRAME:for:acc#1.itm(14)} -attr vt d
+load net {FRAME:for:acc#1.itm(15)} -attr vt d
+load netBundle {FRAME:for:acc#1.itm} 16 {FRAME:for:acc#1.itm(0)} {FRAME:for:acc#1.itm(1)} {FRAME:for:acc#1.itm(2)} {FRAME:for:acc#1.itm(3)} {FRAME:for:acc#1.itm(4)} {FRAME:for:acc#1.itm(5)} {FRAME:for:acc#1.itm(6)} {FRAME:for:acc#1.itm(7)} {FRAME:for:acc#1.itm(8)} {FRAME:for:acc#1.itm(9)} {FRAME:for:acc#1.itm(10)} {FRAME:for:acc#1.itm(11)} {FRAME:for:acc#1.itm(12)} {FRAME:for:acc#1.itm(13)} {FRAME:for:acc#1.itm(14)} {FRAME:for:acc#1.itm(15)} -attr xrf 44326 -attr oid 202 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:mul.itm(0)} -attr vt d
+load net {FRAME:for:mul.itm(1)} -attr vt d
+load net {FRAME:for:mul.itm(2)} -attr vt d
+load net {FRAME:for:mul.itm(3)} -attr vt d
+load net {FRAME:for:mul.itm(4)} -attr vt d
+load net {FRAME:for:mul.itm(5)} -attr vt d
+load net {FRAME:for:mul.itm(6)} -attr vt d
+load net {FRAME:for:mul.itm(7)} -attr vt d
+load net {FRAME:for:mul.itm(8)} -attr vt d
+load net {FRAME:for:mul.itm(9)} -attr vt d
+load net {FRAME:for:mul.itm(10)} -attr vt d
+load netBundle {FRAME:for:mul.itm} 11 {FRAME:for:mul.itm(0)} {FRAME:for:mul.itm(1)} {FRAME:for:mul.itm(2)} {FRAME:for:mul.itm(3)} {FRAME:for:mul.itm(4)} {FRAME:for:mul.itm(5)} {FRAME:for:mul.itm(6)} {FRAME:for:mul.itm(7)} {FRAME:for:mul.itm(8)} {FRAME:for:mul.itm(9)} {FRAME:for:mul.itm(10)} -attr xrf 44327 -attr oid 203 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {regs.operator[]#18:mux.itm(0)} -attr vt d
+load net {regs.operator[]#18:mux.itm(1)} -attr vt d
+load net {regs.operator[]#18:mux.itm(2)} -attr vt d
+load net {regs.operator[]#18:mux.itm(3)} -attr vt d
+load net {regs.operator[]#18:mux.itm(4)} -attr vt d
+load net {regs.operator[]#18:mux.itm(5)} -attr vt d
+load net {regs.operator[]#18:mux.itm(6)} -attr vt d
+load net {regs.operator[]#18:mux.itm(7)} -attr vt d
+load net {regs.operator[]#18:mux.itm(8)} -attr vt d
+load net {regs.operator[]#18:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#18:mux.itm} 10 {regs.operator[]#18:mux.itm(0)} {regs.operator[]#18:mux.itm(1)} {regs.operator[]#18:mux.itm(2)} {regs.operator[]#18:mux.itm(3)} {regs.operator[]#18:mux.itm(4)} {regs.operator[]#18:mux.itm(5)} {regs.operator[]#18:mux.itm(6)} {regs.operator[]#18:mux.itm(7)} {regs.operator[]#18:mux.itm(8)} {regs.operator[]#18:mux.itm(9)} -attr xrf 44328 -attr oid 204 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm} 10 {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(0)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(1)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(2)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(3)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(4)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(5)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(6)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(7)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(8)} {slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm(9)} -attr xrf 44329 -attr oid 205 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva.dfm:mx0)#8.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva.dfm:mx0)#8.itm} 10 {slc(regs.regs(1).sva.dfm:mx0)#8.itm(0)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(1)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(2)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(3)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(4)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(5)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(6)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(7)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(8)} {slc(regs.regs(1).sva.dfm:mx0)#8.itm(9)} -attr xrf 44330 -attr oid 206 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva.dfm:mx0)#8.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva.dfm:mx0)#8.itm} 10 {slc(regs.regs(0).sva.dfm:mx0)#8.itm(0)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(1)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(2)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(3)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(4)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(5)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(6)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(7)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(8)} {slc(regs.regs(0).sva.dfm:mx0)#8.itm(9)} -attr xrf 44331 -attr oid 207 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {mux#18.itm(0)} -attr vt d
+load net {mux#18.itm(1)} -attr vt d
+load net {mux#18.itm(2)} -attr vt d
+load net {mux#18.itm(3)} -attr vt d
+load net {mux#18.itm(4)} -attr vt d
+load net {mux#18.itm(5)} -attr vt d
+load net {mux#18.itm(6)} -attr vt d
+load net {mux#18.itm(7)} -attr vt d
+load net {mux#18.itm(8)} -attr vt d
+load net {mux#18.itm(9)} -attr vt d
+load net {mux#18.itm(10)} -attr vt d
+load net {mux#18.itm(11)} -attr vt d
+load net {mux#18.itm(12)} -attr vt d
+load net {mux#18.itm(13)} -attr vt d
+load net {mux#18.itm(14)} -attr vt d
+load net {mux#18.itm(15)} -attr vt d
+load net {mux#18.itm(16)} -attr vt d
+load net {mux#18.itm(17)} -attr vt d
+load net {mux#18.itm(18)} -attr vt d
+load netBundle {mux#18.itm} 19 {mux#18.itm(0)} {mux#18.itm(1)} {mux#18.itm(2)} {mux#18.itm(3)} {mux#18.itm(4)} {mux#18.itm(5)} {mux#18.itm(6)} {mux#18.itm(7)} {mux#18.itm(8)} {mux#18.itm(9)} {mux#18.itm(10)} {mux#18.itm(11)} {mux#18.itm(12)} {mux#18.itm(13)} {mux#18.itm(14)} {mux#18.itm(15)} {mux#18.itm(16)} {mux#18.itm(17)} {mux#18.itm(18)} -attr xrf 44332 -attr oid 208 -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {FRAME:acc#22.itm(0)} -attr vt d
+load net {FRAME:acc#22.itm(1)} -attr vt d
+load net {FRAME:acc#22.itm(2)} -attr vt d
+load net {FRAME:acc#22.itm(3)} -attr vt d
+load net {FRAME:acc#22.itm(4)} -attr vt d
+load net {FRAME:acc#22.itm(5)} -attr vt d
+load net {FRAME:acc#22.itm(6)} -attr vt d
+load net {FRAME:acc#22.itm(7)} -attr vt d
+load net {FRAME:acc#22.itm(8)} -attr vt d
+load net {FRAME:acc#22.itm(9)} -attr vt d
+load net {FRAME:acc#22.itm(10)} -attr vt d
+load net {FRAME:acc#22.itm(11)} -attr vt d
+load netBundle {FRAME:acc#22.itm} 12 {FRAME:acc#22.itm(0)} {FRAME:acc#22.itm(1)} {FRAME:acc#22.itm(2)} {FRAME:acc#22.itm(3)} {FRAME:acc#22.itm(4)} {FRAME:acc#22.itm(5)} {FRAME:acc#22.itm(6)} {FRAME:acc#22.itm(7)} {FRAME:acc#22.itm(8)} {FRAME:acc#22.itm(9)} {FRAME:acc#22.itm(10)} {FRAME:acc#22.itm(11)} -attr xrf 44333 -attr oid 209 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#21.itm(0)} -attr vt d
+load net {FRAME:acc#21.itm(1)} -attr vt d
+load net {FRAME:acc#21.itm(2)} -attr vt d
+load net {FRAME:acc#21.itm(3)} -attr vt d
+load net {FRAME:acc#21.itm(4)} -attr vt d
+load net {FRAME:acc#21.itm(5)} -attr vt d
+load net {FRAME:acc#21.itm(6)} -attr vt d
+load net {FRAME:acc#21.itm(7)} -attr vt d
+load net {FRAME:acc#21.itm(8)} -attr vt d
+load net {FRAME:acc#21.itm(9)} -attr vt d
+load netBundle {FRAME:acc#21.itm} 10 {FRAME:acc#21.itm(0)} {FRAME:acc#21.itm(1)} {FRAME:acc#21.itm(2)} {FRAME:acc#21.itm(3)} {FRAME:acc#21.itm(4)} {FRAME:acc#21.itm(5)} {FRAME:acc#21.itm(6)} {FRAME:acc#21.itm(7)} {FRAME:acc#21.itm(8)} {FRAME:acc#21.itm(9)} -attr xrf 44334 -attr oid 210 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#20.itm(0)} -attr vt d
+load net {FRAME:acc#20.itm(1)} -attr vt d
+load net {FRAME:acc#20.itm(2)} -attr vt d
+load net {FRAME:acc#20.itm(3)} -attr vt d
+load net {FRAME:acc#20.itm(4)} -attr vt d
+load net {FRAME:acc#20.itm(5)} -attr vt d
+load net {FRAME:acc#20.itm(6)} -attr vt d
+load net {FRAME:acc#20.itm(7)} -attr vt d
+load netBundle {FRAME:acc#20.itm} 8 {FRAME:acc#20.itm(0)} {FRAME:acc#20.itm(1)} {FRAME:acc#20.itm(2)} {FRAME:acc#20.itm(3)} {FRAME:acc#20.itm(4)} {FRAME:acc#20.itm(5)} {FRAME:acc#20.itm(6)} {FRAME:acc#20.itm(7)} -attr xrf 44335 -attr oid 211 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#19.itm(0)} -attr vt d
+load net {FRAME:acc#19.itm(1)} -attr vt d
+load net {FRAME:acc#19.itm(2)} -attr vt d
+load net {FRAME:acc#19.itm(3)} -attr vt d
+load net {FRAME:acc#19.itm(4)} -attr vt d
+load netBundle {FRAME:acc#19.itm} 5 {FRAME:acc#19.itm(0)} {FRAME:acc#19.itm(1)} {FRAME:acc#19.itm(2)} {FRAME:acc#19.itm(3)} {FRAME:acc#19.itm(4)} -attr xrf 44336 -attr oid 212 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {conc#147.itm(0)} -attr vt d
+load net {conc#147.itm(1)} -attr vt d
+load net {conc#147.itm(2)} -attr vt d
+load net {conc#147.itm(3)} -attr vt d
+load net {conc#147.itm(4)} -attr vt d
+load netBundle {conc#147.itm} 5 {conc#147.itm(0)} {conc#147.itm(1)} {conc#147.itm(2)} {conc#147.itm(3)} {conc#147.itm(4)} -attr xrf 44337 -attr oid 213 -attr vt d -attr @path {/sobel/sobel:core/conc#147.itm}
+load net {exs#4.itm(0)} -attr vt d
+load net {exs#4.itm(1)} -attr vt d
+load net {exs#4.itm(2)} -attr vt d
+load net {exs#4.itm(3)} -attr vt d
+load net {exs#4.itm(4)} -attr vt d
+load net {exs#4.itm(5)} -attr vt d
+load net {exs#4.itm(6)} -attr vt d
+load net {exs#4.itm(7)} -attr vt d
+load net {exs#4.itm(8)} -attr vt d
+load net {exs#4.itm(9)} -attr vt d
+load net {exs#4.itm(10)} -attr vt d
+load netBundle {exs#4.itm} 11 {exs#4.itm(0)} {exs#4.itm(1)} {exs#4.itm(2)} {exs#4.itm(3)} {exs#4.itm(4)} {exs#4.itm(5)} {exs#4.itm(6)} {exs#4.itm(7)} {exs#4.itm(8)} {exs#4.itm(9)} {exs#4.itm(10)} -attr xrf 44338 -attr oid 214 -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {conc#148.itm(0)} -attr vt d
+load net {conc#148.itm(1)} -attr vt d
+load net {conc#148.itm(2)} -attr vt d
+load net {conc#148.itm(3)} -attr vt d
+load net {conc#148.itm(4)} -attr vt d
+load net {conc#148.itm(5)} -attr vt d
+load net {conc#148.itm(6)} -attr vt d
+load net {conc#148.itm(7)} -attr vt d
+load net {conc#148.itm(8)} -attr vt d
+load netBundle {conc#148.itm} 9 {conc#148.itm(0)} {conc#148.itm(1)} {conc#148.itm(2)} {conc#148.itm(3)} {conc#148.itm(4)} {conc#148.itm(5)} {conc#148.itm(6)} {conc#148.itm(7)} {conc#148.itm(8)} -attr xrf 44339 -attr oid 215 -attr vt d -attr @path {/sobel/sobel:core/conc#148.itm}
+load net {FRAME:exs#10.itm(0)} -attr vt d
+load net {FRAME:exs#10.itm(1)} -attr vt d
+load net {FRAME:exs#10.itm(2)} -attr vt d
+load netBundle {FRAME:exs#10.itm} 3 {FRAME:exs#10.itm(0)} {FRAME:exs#10.itm(1)} {FRAME:exs#10.itm(2)} -attr xrf 44340 -attr oid 216 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#10.itm}
+load net {FRAME:acc#34.itm(0)} -attr vt d
+load net {FRAME:acc#34.itm(1)} -attr vt d
+load net {FRAME:acc#34.itm(2)} -attr vt d
+load net {FRAME:acc#34.itm(3)} -attr vt d
+load net {FRAME:acc#34.itm(4)} -attr vt d
+load net {FRAME:acc#34.itm(5)} -attr vt d
+load net {FRAME:acc#34.itm(6)} -attr vt d
+load net {FRAME:acc#34.itm(7)} -attr vt d
+load net {FRAME:acc#34.itm(8)} -attr vt d
+load net {FRAME:acc#34.itm(9)} -attr vt d
+load net {FRAME:acc#34.itm(10)} -attr vt d
+load net {FRAME:acc#34.itm(11)} -attr vt d
+load netBundle {FRAME:acc#34.itm} 12 {FRAME:acc#34.itm(0)} {FRAME:acc#34.itm(1)} {FRAME:acc#34.itm(2)} {FRAME:acc#34.itm(3)} {FRAME:acc#34.itm(4)} {FRAME:acc#34.itm(5)} {FRAME:acc#34.itm(6)} {FRAME:acc#34.itm(7)} {FRAME:acc#34.itm(8)} {FRAME:acc#34.itm(9)} {FRAME:acc#34.itm(10)} {FRAME:acc#34.itm(11)} -attr xrf 44341 -attr oid 217 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#33.itm(0)} -attr vt d
+load net {FRAME:acc#33.itm(1)} -attr vt d
+load net {FRAME:acc#33.itm(2)} -attr vt d
+load net {FRAME:acc#33.itm(3)} -attr vt d
+load net {FRAME:acc#33.itm(4)} -attr vt d
+load net {FRAME:acc#33.itm(5)} -attr vt d
+load net {FRAME:acc#33.itm(6)} -attr vt d
+load net {FRAME:acc#33.itm(7)} -attr vt d
+load net {FRAME:acc#33.itm(8)} -attr vt d
+load net {FRAME:acc#33.itm(9)} -attr vt d
+load netBundle {FRAME:acc#33.itm} 10 {FRAME:acc#33.itm(0)} {FRAME:acc#33.itm(1)} {FRAME:acc#33.itm(2)} {FRAME:acc#33.itm(3)} {FRAME:acc#33.itm(4)} {FRAME:acc#33.itm(5)} {FRAME:acc#33.itm(6)} {FRAME:acc#33.itm(7)} {FRAME:acc#33.itm(8)} {FRAME:acc#33.itm(9)} -attr xrf 44342 -attr oid 218 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#32.itm(0)} -attr vt d
+load net {FRAME:acc#32.itm(1)} -attr vt d
+load net {FRAME:acc#32.itm(2)} -attr vt d
+load net {FRAME:acc#32.itm(3)} -attr vt d
+load net {FRAME:acc#32.itm(4)} -attr vt d
+load net {FRAME:acc#32.itm(5)} -attr vt d
+load net {FRAME:acc#32.itm(6)} -attr vt d
+load net {FRAME:acc#32.itm(7)} -attr vt d
+load netBundle {FRAME:acc#32.itm} 8 {FRAME:acc#32.itm(0)} {FRAME:acc#32.itm(1)} {FRAME:acc#32.itm(2)} {FRAME:acc#32.itm(3)} {FRAME:acc#32.itm(4)} {FRAME:acc#32.itm(5)} {FRAME:acc#32.itm(6)} {FRAME:acc#32.itm(7)} -attr xrf 44343 -attr oid 219 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#31.itm(0)} -attr vt d
+load net {FRAME:acc#31.itm(1)} -attr vt d
+load net {FRAME:acc#31.itm(2)} -attr vt d
+load net {FRAME:acc#31.itm(3)} -attr vt d
+load net {FRAME:acc#31.itm(4)} -attr vt d
+load netBundle {FRAME:acc#31.itm} 5 {FRAME:acc#31.itm(0)} {FRAME:acc#31.itm(1)} {FRAME:acc#31.itm(2)} {FRAME:acc#31.itm(3)} {FRAME:acc#31.itm(4)} -attr xrf 44344 -attr oid 220 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {conc#150.itm(0)} -attr vt d
+load net {conc#150.itm(1)} -attr vt d
+load net {conc#150.itm(2)} -attr vt d
+load net {conc#150.itm(3)} -attr vt d
+load net {conc#150.itm(4)} -attr vt d
+load netBundle {conc#150.itm} 5 {conc#150.itm(0)} {conc#150.itm(1)} {conc#150.itm(2)} {conc#150.itm(3)} {conc#150.itm(4)} -attr xrf 44345 -attr oid 221 -attr vt d -attr @path {/sobel/sobel:core/conc#150.itm}
+load net {exs#5.itm(0)} -attr vt d
+load net {exs#5.itm(1)} -attr vt d
+load net {exs#5.itm(2)} -attr vt d
+load net {exs#5.itm(3)} -attr vt d
+load net {exs#5.itm(4)} -attr vt d
+load net {exs#5.itm(5)} -attr vt d
+load net {exs#5.itm(6)} -attr vt d
+load net {exs#5.itm(7)} -attr vt d
+load net {exs#5.itm(8)} -attr vt d
+load net {exs#5.itm(9)} -attr vt d
+load net {exs#5.itm(10)} -attr vt d
+load netBundle {exs#5.itm} 11 {exs#5.itm(0)} {exs#5.itm(1)} {exs#5.itm(2)} {exs#5.itm(3)} {exs#5.itm(4)} {exs#5.itm(5)} {exs#5.itm(6)} {exs#5.itm(7)} {exs#5.itm(8)} {exs#5.itm(9)} {exs#5.itm(10)} -attr xrf 44346 -attr oid 222 -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {conc#151.itm(0)} -attr vt d
+load net {conc#151.itm(1)} -attr vt d
+load net {conc#151.itm(2)} -attr vt d
+load net {conc#151.itm(3)} -attr vt d
+load net {conc#151.itm(4)} -attr vt d
+load net {conc#151.itm(5)} -attr vt d
+load net {conc#151.itm(6)} -attr vt d
+load net {conc#151.itm(7)} -attr vt d
+load net {conc#151.itm(8)} -attr vt d
+load netBundle {conc#151.itm} 9 {conc#151.itm(0)} {conc#151.itm(1)} {conc#151.itm(2)} {conc#151.itm(3)} {conc#151.itm(4)} {conc#151.itm(5)} {conc#151.itm(6)} {conc#151.itm(7)} {conc#151.itm(8)} -attr xrf 44347 -attr oid 223 -attr vt d -attr @path {/sobel/sobel:core/conc#151.itm}
+load net {FRAME:exs#16.itm(0)} -attr vt d
+load net {FRAME:exs#16.itm(1)} -attr vt d
+load net {FRAME:exs#16.itm(2)} -attr vt d
+load netBundle {FRAME:exs#16.itm} 3 {FRAME:exs#16.itm(0)} {FRAME:exs#16.itm(1)} {FRAME:exs#16.itm(2)} -attr xrf 44348 -attr oid 224 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#16.itm}
+load net {slc(FRAME:p#1.sva#2).itm(0)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(1)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(2)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(3)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(4)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(5)} -attr vt d
+load net {slc(FRAME:p#1.sva#2).itm(6)} -attr vt d
+load netBundle {slc(FRAME:p#1.sva#2).itm} 7 {slc(FRAME:p#1.sva#2).itm(0)} {slc(FRAME:p#1.sva#2).itm(1)} {slc(FRAME:p#1.sva#2).itm(2)} {slc(FRAME:p#1.sva#2).itm(3)} {slc(FRAME:p#1.sva#2).itm(4)} {slc(FRAME:p#1.sva#2).itm(5)} {slc(FRAME:p#1.sva#2).itm(6)} -attr xrf 44349 -attr oid 225 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {exs.itm(0)} -attr vt d
+load net {exs.itm(1)} -attr vt d
+load net {exs.itm(2)} -attr vt d
+load net {exs.itm(3)} -attr vt d
+load net {exs.itm(4)} -attr vt d
+load net {exs.itm(5)} -attr vt d
+load net {exs.itm(6)} -attr vt d
+load net {exs.itm(7)} -attr vt d
+load net {exs.itm(8)} -attr vt d
+load net {exs.itm(9)} -attr vt d
+load net {exs.itm(10)} -attr vt d
+load net {exs.itm(11)} -attr vt d
+load net {exs.itm(12)} -attr vt d
+load net {exs.itm(13)} -attr vt d
+load net {exs.itm(14)} -attr vt d
+load net {exs.itm(15)} -attr vt d
+load net {exs.itm(16)} -attr vt d
+load net {exs.itm(17)} -attr vt d
+load net {exs.itm(18)} -attr vt d
+load netBundle {exs.itm} 19 {exs.itm(0)} {exs.itm(1)} {exs.itm(2)} {exs.itm(3)} {exs.itm(4)} {exs.itm(5)} {exs.itm(6)} {exs.itm(7)} {exs.itm(8)} {exs.itm(9)} {exs.itm(10)} {exs.itm(11)} {exs.itm(12)} {exs.itm(13)} {exs.itm(14)} {exs.itm(15)} {exs.itm(16)} {exs.itm(17)} {exs.itm(18)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:acc#11.itm(0)} -attr vt d
+load net {FRAME:acc#11.itm(1)} -attr vt d
+load net {FRAME:acc#11.itm(2)} -attr vt d
+load net {FRAME:acc#11.itm(3)} -attr vt d
+load net {FRAME:acc#11.itm(4)} -attr vt d
+load net {FRAME:acc#11.itm(5)} -attr vt d
+load netBundle {FRAME:acc#11.itm} 6 {FRAME:acc#11.itm(0)} {FRAME:acc#11.itm(1)} {FRAME:acc#11.itm(2)} {FRAME:acc#11.itm(3)} {FRAME:acc#11.itm(4)} {FRAME:acc#11.itm(5)} -attr xrf 44350 -attr oid 226 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#10.itm(0)} -attr vt d
+load net {FRAME:acc#10.itm(1)} -attr vt d
+load net {FRAME:acc#10.itm(2)} -attr vt d
+load net {FRAME:acc#10.itm(3)} -attr vt d
+load net {FRAME:acc#10.itm(4)} -attr vt d
+load netBundle {FRAME:acc#10.itm} 5 {FRAME:acc#10.itm(0)} {FRAME:acc#10.itm(1)} {FRAME:acc#10.itm(2)} {FRAME:acc#10.itm(3)} {FRAME:acc#10.itm(4)} -attr xrf 44351 -attr oid 227 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#8.itm(0)} -attr vt d
+load net {FRAME:acc#8.itm(1)} -attr vt d
+load net {FRAME:acc#8.itm(2)} -attr vt d
+load net {FRAME:acc#8.itm(3)} -attr vt d
+load netBundle {FRAME:acc#8.itm} 4 {FRAME:acc#8.itm(0)} {FRAME:acc#8.itm(1)} {FRAME:acc#8.itm(2)} {FRAME:acc#8.itm(3)} -attr xrf 44352 -attr oid 228 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {slc(red#2.sg1.sva).itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva).itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva).itm(2)} -attr vt d
+load netBundle {slc(red#2.sg1.sva).itm} 3 {slc(red#2.sg1.sva).itm(0)} {slc(red#2.sg1.sva).itm(1)} {slc(red#2.sg1.sva).itm(2)} -attr xrf 44353 -attr oid 229 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva).itm}
+load net {FRAME:not#2.itm(0)} -attr vt d
+load net {FRAME:not#2.itm(1)} -attr vt d
+load net {FRAME:not#2.itm(2)} -attr vt d
+load netBundle {FRAME:not#2.itm} 3 {FRAME:not#2.itm(0)} {FRAME:not#2.itm(1)} {FRAME:not#2.itm(2)} -attr xrf 44354 -attr oid 230 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {slc(red#2.sg1.sva)#2.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#2.itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva)#2.itm(2)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#2.itm} 3 {slc(red#2.sg1.sva)#2.itm(0)} {slc(red#2.sg1.sva)#2.itm(1)} {slc(red#2.sg1.sva)#2.itm(2)} -attr xrf 44355 -attr oid 231 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#2.itm}
+load net {FRAME:acc#7.itm(0)} -attr vt d
+load net {FRAME:acc#7.itm(1)} -attr vt d
+load net {FRAME:acc#7.itm(2)} -attr vt d
+load net {FRAME:acc#7.itm(3)} -attr vt d
+load netBundle {FRAME:acc#7.itm} 4 {FRAME:acc#7.itm(0)} {FRAME:acc#7.itm(1)} {FRAME:acc#7.itm(2)} {FRAME:acc#7.itm(3)} -attr xrf 44356 -attr oid 232 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {conc#153.itm(0)} -attr vt d
+load net {conc#153.itm(1)} -attr vt d
+load net {conc#153.itm(2)} -attr vt d
+load netBundle {conc#153.itm} 3 {conc#153.itm(0)} {conc#153.itm(1)} {conc#153.itm(2)} -attr xrf 44357 -attr oid 233 -attr vt d -attr @path {/sobel/sobel:core/conc#153.itm}
+load net {slc(red#2.sg1.sva)#5.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#5.itm(1)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#5.itm} 2 {slc(red#2.sg1.sva)#5.itm(0)} {slc(red#2.sg1.sva)#5.itm(1)} -attr xrf 44358 -attr oid 234 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#5.itm}
+load net {FRAME:acc#9.itm(0)} -attr vt d
+load net {FRAME:acc#9.itm(1)} -attr vt d
+load net {FRAME:acc#9.itm(2)} -attr vt d
+load net {FRAME:acc#9.itm(3)} -attr vt d
+load netBundle {FRAME:acc#9.itm} 4 {FRAME:acc#9.itm(0)} {FRAME:acc#9.itm(1)} {FRAME:acc#9.itm(2)} {FRAME:acc#9.itm(3)} -attr xrf 44359 -attr oid 235 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {slc(red#2.sg1.sva)#6.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#6.itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva)#6.itm(2)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#6.itm} 3 {slc(red#2.sg1.sva)#6.itm(0)} {slc(red#2.sg1.sva)#6.itm(1)} {slc(red#2.sg1.sva)#6.itm(2)} -attr xrf 44360 -attr oid 236 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#6.itm}
+load net {FRAME:not#1.itm(0)} -attr vt d
+load net {FRAME:not#1.itm(1)} -attr vt d
+load net {FRAME:not#1.itm(2)} -attr vt d
+load netBundle {FRAME:not#1.itm} 3 {FRAME:not#1.itm(0)} {FRAME:not#1.itm(1)} {FRAME:not#1.itm(2)} -attr xrf 44361 -attr oid 237 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {slc(red#2.sg1.sva)#7.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#7.itm(1)} -attr vt d
+load net {slc(red#2.sg1.sva)#7.itm(2)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#7.itm} 3 {slc(red#2.sg1.sva)#7.itm(0)} {slc(red#2.sg1.sva)#7.itm(1)} {slc(red#2.sg1.sva)#7.itm(2)} -attr xrf 44362 -attr oid 238 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#7.itm}
+load net {ACC2-3:acc#1.itm(0)} -attr vt d
+load net {ACC2-3:acc#1.itm(1)} -attr vt d
+load net {ACC2-3:acc#1.itm(2)} -attr vt d
+load net {ACC2-3:acc#1.itm(3)} -attr vt d
+load net {ACC2-3:acc#1.itm(4)} -attr vt d
+load net {ACC2-3:acc#1.itm(5)} -attr vt d
+load net {ACC2-3:acc#1.itm(6)} -attr vt d
+load net {ACC2-3:acc#1.itm(7)} -attr vt d
+load net {ACC2-3:acc#1.itm(8)} -attr vt d
+load net {ACC2-3:acc#1.itm(9)} -attr vt d
+load net {ACC2-3:acc#1.itm(10)} -attr vt d
+load net {ACC2-3:acc#1.itm(11)} -attr vt d
+load net {ACC2-3:acc#1.itm(12)} -attr vt d
+load net {ACC2-3:acc#1.itm(13)} -attr vt d
+load net {ACC2-3:acc#1.itm(14)} -attr vt d
+load net {ACC2-3:acc#1.itm(15)} -attr vt d
+load netBundle {ACC2-3:acc#1.itm} 16 {ACC2-3:acc#1.itm(0)} {ACC2-3:acc#1.itm(1)} {ACC2-3:acc#1.itm(2)} {ACC2-3:acc#1.itm(3)} {ACC2-3:acc#1.itm(4)} {ACC2-3:acc#1.itm(5)} {ACC2-3:acc#1.itm(6)} {ACC2-3:acc#1.itm(7)} {ACC2-3:acc#1.itm(8)} {ACC2-3:acc#1.itm(9)} {ACC2-3:acc#1.itm(10)} {ACC2-3:acc#1.itm(11)} {ACC2-3:acc#1.itm(12)} {ACC2-3:acc#1.itm(13)} {ACC2-3:acc#1.itm(14)} {ACC2-3:acc#1.itm(15)} -attr xrf 44363 -attr oid 239 -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2:conc.itm(0)} -attr vt d
+load net {ACC2:conc.itm(1)} -attr vt d
+load net {ACC2:conc.itm(2)} -attr vt d
+load net {ACC2:conc.itm(3)} -attr vt d
+load net {ACC2:conc.itm(4)} -attr vt d
+load net {ACC2:conc.itm(5)} -attr vt d
+load net {ACC2:conc.itm(6)} -attr vt d
+load net {ACC2:conc.itm(7)} -attr vt d
+load net {ACC2:conc.itm(8)} -attr vt d
+load net {ACC2:conc.itm(9)} -attr vt d
+load net {ACC2:conc.itm(10)} -attr vt d
+load net {ACC2:conc.itm(11)} -attr vt d
+load net {ACC2:conc.itm(12)} -attr vt d
+load net {ACC2:conc.itm(13)} -attr vt d
+load net {ACC2:conc.itm(14)} -attr vt d
+load net {ACC2:conc.itm(15)} -attr vt d
+load netBundle {ACC2:conc.itm} 16 {ACC2:conc.itm(0)} {ACC2:conc.itm(1)} {ACC2:conc.itm(2)} {ACC2:conc.itm(3)} {ACC2:conc.itm(4)} {ACC2:conc.itm(5)} {ACC2:conc.itm(6)} {ACC2:conc.itm(7)} {ACC2:conc.itm(8)} {ACC2:conc.itm(9)} {ACC2:conc.itm(10)} {ACC2:conc.itm(11)} {ACC2:conc.itm(12)} {ACC2:conc.itm(13)} {ACC2:conc.itm(14)} {ACC2:conc.itm(15)} -attr xrf 44364 -attr oid 240 -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(0)} -attr vt d
+load net {ACC2:acc.itm(1)} -attr vt d
+load net {ACC2:acc.itm(2)} -attr vt d
+load net {ACC2:acc.itm(3)} -attr vt d
+load net {ACC2:acc.itm(4)} -attr vt d
+load net {ACC2:acc.itm(5)} -attr vt d
+load net {ACC2:acc.itm(6)} -attr vt d
+load net {ACC2:acc.itm(7)} -attr vt d
+load net {ACC2:acc.itm(8)} -attr vt d
+load net {ACC2:acc.itm(9)} -attr vt d
+load net {ACC2:acc.itm(10)} -attr vt d
+load net {ACC2:acc.itm(11)} -attr vt d
+load net {ACC2:acc.itm(12)} -attr vt d
+load net {ACC2:acc.itm(13)} -attr vt d
+load net {ACC2:acc.itm(14)} -attr vt d
+load netBundle {ACC2:acc.itm} 15 {ACC2:acc.itm(0)} {ACC2:acc.itm(1)} {ACC2:acc.itm(2)} {ACC2:acc.itm(3)} {ACC2:acc.itm(4)} {ACC2:acc.itm(5)} {ACC2:acc.itm(6)} {ACC2:acc.itm(7)} {ACC2:acc.itm(8)} {ACC2:acc.itm(9)} {ACC2:acc.itm(10)} {ACC2:acc.itm(11)} {ACC2:acc.itm(12)} {ACC2:acc.itm(13)} {ACC2:acc.itm(14)} -attr xrf 44365 -attr oid 241 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {slc(r(2).sva#1).itm(0)} -attr vt d
+load net {slc(r(2).sva#1).itm(1)} -attr vt d
+load net {slc(r(2).sva#1).itm(2)} -attr vt d
+load net {slc(r(2).sva#1).itm(3)} -attr vt d
+load net {slc(r(2).sva#1).itm(4)} -attr vt d
+load net {slc(r(2).sva#1).itm(5)} -attr vt d
+load net {slc(r(2).sva#1).itm(6)} -attr vt d
+load net {slc(r(2).sva#1).itm(7)} -attr vt d
+load net {slc(r(2).sva#1).itm(8)} -attr vt d
+load net {slc(r(2).sva#1).itm(9)} -attr vt d
+load net {slc(r(2).sva#1).itm(10)} -attr vt d
+load net {slc(r(2).sva#1).itm(11)} -attr vt d
+load net {slc(r(2).sva#1).itm(12)} -attr vt d
+load net {slc(r(2).sva#1).itm(13)} -attr vt d
+load net {slc(r(2).sva#1).itm(14)} -attr vt d
+load netBundle {slc(r(2).sva#1).itm} 15 {slc(r(2).sva#1).itm(0)} {slc(r(2).sva#1).itm(1)} {slc(r(2).sva#1).itm(2)} {slc(r(2).sva#1).itm(3)} {slc(r(2).sva#1).itm(4)} {slc(r(2).sva#1).itm(5)} {slc(r(2).sva#1).itm(6)} {slc(r(2).sva#1).itm(7)} {slc(r(2).sva#1).itm(8)} {slc(r(2).sva#1).itm(9)} {slc(r(2).sva#1).itm(10)} {slc(r(2).sva#1).itm(11)} {slc(r(2).sva#1).itm(12)} {slc(r(2).sva#1).itm(13)} {slc(r(2).sva#1).itm(14)} -attr xrf 44366 -attr oid 242 -attr vt d -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {slc(red#2.sg1.sva)#12.itm(0)} -attr vt d
+load net {slc(red#2.sg1.sva)#12.itm(1)} -attr vt d
+load netBundle {slc(red#2.sg1.sva)#12.itm} 2 {slc(red#2.sg1.sva)#12.itm(0)} {slc(red#2.sg1.sva)#12.itm(1)} -attr xrf 44367 -attr oid 243 -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#12.itm}
+load net {ACC2-3:acc#3.itm(0)} -attr vt d
+load net {ACC2-3:acc#3.itm(1)} -attr vt d
+load net {ACC2-3:acc#3.itm(2)} -attr vt d
+load net {ACC2-3:acc#3.itm(3)} -attr vt d
+load net {ACC2-3:acc#3.itm(4)} -attr vt d
+load net {ACC2-3:acc#3.itm(5)} -attr vt d
+load net {ACC2-3:acc#3.itm(6)} -attr vt d
+load net {ACC2-3:acc#3.itm(7)} -attr vt d
+load net {ACC2-3:acc#3.itm(8)} -attr vt d
+load net {ACC2-3:acc#3.itm(9)} -attr vt d
+load net {ACC2-3:acc#3.itm(10)} -attr vt d
+load net {ACC2-3:acc#3.itm(11)} -attr vt d
+load net {ACC2-3:acc#3.itm(12)} -attr vt d
+load net {ACC2-3:acc#3.itm(13)} -attr vt d
+load net {ACC2-3:acc#3.itm(14)} -attr vt d
+load net {ACC2-3:acc#3.itm(15)} -attr vt d
+load netBundle {ACC2-3:acc#3.itm} 16 {ACC2-3:acc#3.itm(0)} {ACC2-3:acc#3.itm(1)} {ACC2-3:acc#3.itm(2)} {ACC2-3:acc#3.itm(3)} {ACC2-3:acc#3.itm(4)} {ACC2-3:acc#3.itm(5)} {ACC2-3:acc#3.itm(6)} {ACC2-3:acc#3.itm(7)} {ACC2-3:acc#3.itm(8)} {ACC2-3:acc#3.itm(9)} {ACC2-3:acc#3.itm(10)} {ACC2-3:acc#3.itm(11)} {ACC2-3:acc#3.itm(12)} {ACC2-3:acc#3.itm(13)} {ACC2-3:acc#3.itm(14)} {ACC2-3:acc#3.itm(15)} -attr xrf 44368 -attr oid 244 -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2:conc#2.itm(0)} -attr vt d
+load net {ACC2:conc#2.itm(1)} -attr vt d
+load net {ACC2:conc#2.itm(2)} -attr vt d
+load net {ACC2:conc#2.itm(3)} -attr vt d
+load net {ACC2:conc#2.itm(4)} -attr vt d
+load net {ACC2:conc#2.itm(5)} -attr vt d
+load net {ACC2:conc#2.itm(6)} -attr vt d
+load net {ACC2:conc#2.itm(7)} -attr vt d
+load net {ACC2:conc#2.itm(8)} -attr vt d
+load net {ACC2:conc#2.itm(9)} -attr vt d
+load net {ACC2:conc#2.itm(10)} -attr vt d
+load net {ACC2:conc#2.itm(11)} -attr vt d
+load net {ACC2:conc#2.itm(12)} -attr vt d
+load net {ACC2:conc#2.itm(13)} -attr vt d
+load net {ACC2:conc#2.itm(14)} -attr vt d
+load net {ACC2:conc#2.itm(15)} -attr vt d
+load netBundle {ACC2:conc#2.itm} 16 {ACC2:conc#2.itm(0)} {ACC2:conc#2.itm(1)} {ACC2:conc#2.itm(2)} {ACC2:conc#2.itm(3)} {ACC2:conc#2.itm(4)} {ACC2:conc#2.itm(5)} {ACC2:conc#2.itm(6)} {ACC2:conc#2.itm(7)} {ACC2:conc#2.itm(8)} {ACC2:conc#2.itm(9)} {ACC2:conc#2.itm(10)} {ACC2:conc#2.itm(11)} {ACC2:conc#2.itm(12)} {ACC2:conc#2.itm(13)} {ACC2:conc#2.itm(14)} {ACC2:conc#2.itm(15)} -attr xrf 44369 -attr oid 245 -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(0)} -attr vt d
+load net {ACC2:acc#8.itm(1)} -attr vt d
+load net {ACC2:acc#8.itm(2)} -attr vt d
+load net {ACC2:acc#8.itm(3)} -attr vt d
+load net {ACC2:acc#8.itm(4)} -attr vt d
+load net {ACC2:acc#8.itm(5)} -attr vt d
+load net {ACC2:acc#8.itm(6)} -attr vt d
+load net {ACC2:acc#8.itm(7)} -attr vt d
+load net {ACC2:acc#8.itm(8)} -attr vt d
+load net {ACC2:acc#8.itm(9)} -attr vt d
+load net {ACC2:acc#8.itm(10)} -attr vt d
+load net {ACC2:acc#8.itm(11)} -attr vt d
+load net {ACC2:acc#8.itm(12)} -attr vt d
+load net {ACC2:acc#8.itm(13)} -attr vt d
+load net {ACC2:acc#8.itm(14)} -attr vt d
+load netBundle {ACC2:acc#8.itm} 15 {ACC2:acc#8.itm(0)} {ACC2:acc#8.itm(1)} {ACC2:acc#8.itm(2)} {ACC2:acc#8.itm(3)} {ACC2:acc#8.itm(4)} {ACC2:acc#8.itm(5)} {ACC2:acc#8.itm(6)} {ACC2:acc#8.itm(7)} {ACC2:acc#8.itm(8)} {ACC2:acc#8.itm(9)} {ACC2:acc#8.itm(10)} {ACC2:acc#8.itm(11)} {ACC2:acc#8.itm(12)} {ACC2:acc#8.itm(13)} {ACC2:acc#8.itm(14)} -attr xrf 44370 -attr oid 246 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {slc(b(2).sva#1).itm(0)} -attr vt d
+load net {slc(b(2).sva#1).itm(1)} -attr vt d
+load net {slc(b(2).sva#1).itm(2)} -attr vt d
+load net {slc(b(2).sva#1).itm(3)} -attr vt d
+load net {slc(b(2).sva#1).itm(4)} -attr vt d
+load net {slc(b(2).sva#1).itm(5)} -attr vt d
+load net {slc(b(2).sva#1).itm(6)} -attr vt d
+load net {slc(b(2).sva#1).itm(7)} -attr vt d
+load net {slc(b(2).sva#1).itm(8)} -attr vt d
+load net {slc(b(2).sva#1).itm(9)} -attr vt d
+load net {slc(b(2).sva#1).itm(10)} -attr vt d
+load net {slc(b(2).sva#1).itm(11)} -attr vt d
+load net {slc(b(2).sva#1).itm(12)} -attr vt d
+load net {slc(b(2).sva#1).itm(13)} -attr vt d
+load net {slc(b(2).sva#1).itm(14)} -attr vt d
+load netBundle {slc(b(2).sva#1).itm} 15 {slc(b(2).sva#1).itm(0)} {slc(b(2).sva#1).itm(1)} {slc(b(2).sva#1).itm(2)} {slc(b(2).sva#1).itm(3)} {slc(b(2).sva#1).itm(4)} {slc(b(2).sva#1).itm(5)} {slc(b(2).sva#1).itm(6)} {slc(b(2).sva#1).itm(7)} {slc(b(2).sva#1).itm(8)} {slc(b(2).sva#1).itm(9)} {slc(b(2).sva#1).itm(10)} {slc(b(2).sva#1).itm(11)} {slc(b(2).sva#1).itm(12)} {slc(b(2).sva#1).itm(13)} {slc(b(2).sva#1).itm(14)} -attr xrf 44371 -attr oid 247 -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {FRAME:acc#28.itm(0)} -attr vt d
+load net {FRAME:acc#28.itm(1)} -attr vt d
+load net {FRAME:acc#28.itm(2)} -attr vt d
+load net {FRAME:acc#28.itm(3)} -attr vt d
+load net {FRAME:acc#28.itm(4)} -attr vt d
+load net {FRAME:acc#28.itm(5)} -attr vt d
+load netBundle {FRAME:acc#28.itm} 6 {FRAME:acc#28.itm(0)} {FRAME:acc#28.itm(1)} {FRAME:acc#28.itm(2)} {FRAME:acc#28.itm(3)} {FRAME:acc#28.itm(4)} {FRAME:acc#28.itm(5)} -attr xrf 44372 -attr oid 248 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#27.itm(0)} -attr vt d
+load net {FRAME:acc#27.itm(1)} -attr vt d
+load net {FRAME:acc#27.itm(2)} -attr vt d
+load net {FRAME:acc#27.itm(3)} -attr vt d
+load net {FRAME:acc#27.itm(4)} -attr vt d
+load netBundle {FRAME:acc#27.itm} 5 {FRAME:acc#27.itm(0)} {FRAME:acc#27.itm(1)} {FRAME:acc#27.itm(2)} {FRAME:acc#27.itm(3)} {FRAME:acc#27.itm(4)} -attr xrf 44373 -attr oid 249 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#25.itm(0)} -attr vt d
+load net {FRAME:acc#25.itm(1)} -attr vt d
+load net {FRAME:acc#25.itm(2)} -attr vt d
+load net {FRAME:acc#25.itm(3)} -attr vt d
+load netBundle {FRAME:acc#25.itm} 4 {FRAME:acc#25.itm(0)} {FRAME:acc#25.itm(1)} {FRAME:acc#25.itm(2)} {FRAME:acc#25.itm(3)} -attr xrf 44374 -attr oid 250 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {slc(blue#2.sg1.sva)#1.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#1.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#1.itm} 3 {slc(blue#2.sg1.sva)#1.itm(0)} {slc(blue#2.sg1.sva)#1.itm(1)} {slc(blue#2.sg1.sva)#1.itm(2)} -attr xrf 44375 -attr oid 251 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#1.itm}
+load net {FRAME:not#18.itm(0)} -attr vt d
+load net {FRAME:not#18.itm(1)} -attr vt d
+load net {FRAME:not#18.itm(2)} -attr vt d
+load netBundle {FRAME:not#18.itm} 3 {FRAME:not#18.itm(0)} {FRAME:not#18.itm(1)} {FRAME:not#18.itm(2)} -attr xrf 44376 -attr oid 252 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load net {slc(blue#2.sg1.sva)#3.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#3.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#3.itm(2)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#3.itm} 3 {slc(blue#2.sg1.sva)#3.itm(0)} {slc(blue#2.sg1.sva)#3.itm(1)} {slc(blue#2.sg1.sva)#3.itm(2)} -attr xrf 44377 -attr oid 253 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#3.itm}
+load net {FRAME:acc#24.itm(0)} -attr vt d
+load net {FRAME:acc#24.itm(1)} -attr vt d
+load net {FRAME:acc#24.itm(2)} -attr vt d
+load net {FRAME:acc#24.itm(3)} -attr vt d
+load netBundle {FRAME:acc#24.itm} 4 {FRAME:acc#24.itm(0)} {FRAME:acc#24.itm(1)} {FRAME:acc#24.itm(2)} {FRAME:acc#24.itm(3)} -attr xrf 44378 -attr oid 254 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {conc#154.itm(0)} -attr vt d
+load net {conc#154.itm(1)} -attr vt d
+load net {conc#154.itm(2)} -attr vt d
+load netBundle {conc#154.itm} 3 {conc#154.itm(0)} {conc#154.itm(1)} {conc#154.itm(2)} -attr xrf 44379 -attr oid 255 -attr vt d -attr @path {/sobel/sobel:core/conc#154.itm}
+load net {slc(blue#2.sg1.sva)#4.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#4.itm} 2 {slc(blue#2.sg1.sva)#4.itm(0)} {slc(blue#2.sg1.sva)#4.itm(1)} -attr xrf 44380 -attr oid 256 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#4.itm}
+load net {FRAME:acc#26.itm(0)} -attr vt d
+load net {FRAME:acc#26.itm(1)} -attr vt d
+load net {FRAME:acc#26.itm(2)} -attr vt d
+load net {FRAME:acc#26.itm(3)} -attr vt d
+load netBundle {FRAME:acc#26.itm} 4 {FRAME:acc#26.itm(0)} {FRAME:acc#26.itm(1)} {FRAME:acc#26.itm(2)} {FRAME:acc#26.itm(3)} -attr xrf 44381 -attr oid 257 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {slc(blue#2.sg1.sva)#5.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#5.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#5.itm(2)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#5.itm} 3 {slc(blue#2.sg1.sva)#5.itm(0)} {slc(blue#2.sg1.sva)#5.itm(1)} {slc(blue#2.sg1.sva)#5.itm(2)} -attr xrf 44382 -attr oid 258 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#5.itm}
+load net {FRAME:not#17.itm(0)} -attr vt d
+load net {FRAME:not#17.itm(1)} -attr vt d
+load net {FRAME:not#17.itm(2)} -attr vt d
+load netBundle {FRAME:not#17.itm} 3 {FRAME:not#17.itm(0)} {FRAME:not#17.itm(1)} {FRAME:not#17.itm(2)} -attr xrf 44383 -attr oid 259 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load net {slc(blue#2.sg1.sva)#6.itm(0)} -attr vt d
+load net {slc(blue#2.sg1.sva)#6.itm(1)} -attr vt d
+load net {slc(blue#2.sg1.sva)#6.itm(2)} -attr vt d
+load netBundle {slc(blue#2.sg1.sva)#6.itm} 3 {slc(blue#2.sg1.sva)#6.itm(0)} {slc(blue#2.sg1.sva)#6.itm(1)} {slc(blue#2.sg1.sva)#6.itm(2)} -attr xrf 44384 -attr oid 260 -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#2.itm(0)} -attr vt d
+load net {ACC2-3:acc#2.itm(1)} -attr vt d
+load net {ACC2-3:acc#2.itm(2)} -attr vt d
+load net {ACC2-3:acc#2.itm(3)} -attr vt d
+load net {ACC2-3:acc#2.itm(4)} -attr vt d
+load net {ACC2-3:acc#2.itm(5)} -attr vt d
+load net {ACC2-3:acc#2.itm(6)} -attr vt d
+load net {ACC2-3:acc#2.itm(7)} -attr vt d
+load net {ACC2-3:acc#2.itm(8)} -attr vt d
+load net {ACC2-3:acc#2.itm(9)} -attr vt d
+load net {ACC2-3:acc#2.itm(10)} -attr vt d
+load net {ACC2-3:acc#2.itm(11)} -attr vt d
+load net {ACC2-3:acc#2.itm(12)} -attr vt d
+load net {ACC2-3:acc#2.itm(13)} -attr vt d
+load net {ACC2-3:acc#2.itm(14)} -attr vt d
+load net {ACC2-3:acc#2.itm(15)} -attr vt d
+load netBundle {ACC2-3:acc#2.itm} 16 {ACC2-3:acc#2.itm(0)} {ACC2-3:acc#2.itm(1)} {ACC2-3:acc#2.itm(2)} {ACC2-3:acc#2.itm(3)} {ACC2-3:acc#2.itm(4)} {ACC2-3:acc#2.itm(5)} {ACC2-3:acc#2.itm(6)} {ACC2-3:acc#2.itm(7)} {ACC2-3:acc#2.itm(8)} {ACC2-3:acc#2.itm(9)} {ACC2-3:acc#2.itm(10)} {ACC2-3:acc#2.itm(11)} {ACC2-3:acc#2.itm(12)} {ACC2-3:acc#2.itm(13)} {ACC2-3:acc#2.itm(14)} {ACC2-3:acc#2.itm(15)} -attr xrf 44385 -attr oid 261 -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2:conc#1.itm(0)} -attr vt d
+load net {ACC2:conc#1.itm(1)} -attr vt d
+load net {ACC2:conc#1.itm(2)} -attr vt d
+load net {ACC2:conc#1.itm(3)} -attr vt d
+load net {ACC2:conc#1.itm(4)} -attr vt d
+load net {ACC2:conc#1.itm(5)} -attr vt d
+load net {ACC2:conc#1.itm(6)} -attr vt d
+load net {ACC2:conc#1.itm(7)} -attr vt d
+load net {ACC2:conc#1.itm(8)} -attr vt d
+load net {ACC2:conc#1.itm(9)} -attr vt d
+load net {ACC2:conc#1.itm(10)} -attr vt d
+load net {ACC2:conc#1.itm(11)} -attr vt d
+load net {ACC2:conc#1.itm(12)} -attr vt d
+load net {ACC2:conc#1.itm(13)} -attr vt d
+load net {ACC2:conc#1.itm(14)} -attr vt d
+load net {ACC2:conc#1.itm(15)} -attr vt d
+load netBundle {ACC2:conc#1.itm} 16 {ACC2:conc#1.itm(0)} {ACC2:conc#1.itm(1)} {ACC2:conc#1.itm(2)} {ACC2:conc#1.itm(3)} {ACC2:conc#1.itm(4)} {ACC2:conc#1.itm(5)} {ACC2:conc#1.itm(6)} {ACC2:conc#1.itm(7)} {ACC2:conc#1.itm(8)} {ACC2:conc#1.itm(9)} {ACC2:conc#1.itm(10)} {ACC2:conc#1.itm(11)} {ACC2:conc#1.itm(12)} {ACC2:conc#1.itm(13)} {ACC2:conc#1.itm(14)} {ACC2:conc#1.itm(15)} -attr xrf 44386 -attr oid 262 -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(0)} -attr vt d
+load net {ACC2:acc#7.itm(1)} -attr vt d
+load net {ACC2:acc#7.itm(2)} -attr vt d
+load net {ACC2:acc#7.itm(3)} -attr vt d
+load net {ACC2:acc#7.itm(4)} -attr vt d
+load net {ACC2:acc#7.itm(5)} -attr vt d
+load net {ACC2:acc#7.itm(6)} -attr vt d
+load net {ACC2:acc#7.itm(7)} -attr vt d
+load net {ACC2:acc#7.itm(8)} -attr vt d
+load net {ACC2:acc#7.itm(9)} -attr vt d
+load net {ACC2:acc#7.itm(10)} -attr vt d
+load net {ACC2:acc#7.itm(11)} -attr vt d
+load net {ACC2:acc#7.itm(12)} -attr vt d
+load net {ACC2:acc#7.itm(13)} -attr vt d
+load net {ACC2:acc#7.itm(14)} -attr vt d
+load netBundle {ACC2:acc#7.itm} 15 {ACC2:acc#7.itm(0)} {ACC2:acc#7.itm(1)} {ACC2:acc#7.itm(2)} {ACC2:acc#7.itm(3)} {ACC2:acc#7.itm(4)} {ACC2:acc#7.itm(5)} {ACC2:acc#7.itm(6)} {ACC2:acc#7.itm(7)} {ACC2:acc#7.itm(8)} {ACC2:acc#7.itm(9)} {ACC2:acc#7.itm(10)} {ACC2:acc#7.itm(11)} {ACC2:acc#7.itm(12)} {ACC2:acc#7.itm(13)} {ACC2:acc#7.itm(14)} -attr xrf 44387 -attr oid 263 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {slc(g(2).sva#1).itm(0)} -attr vt d
+load net {slc(g(2).sva#1).itm(1)} -attr vt d
+load net {slc(g(2).sva#1).itm(2)} -attr vt d
+load net {slc(g(2).sva#1).itm(3)} -attr vt d
+load net {slc(g(2).sva#1).itm(4)} -attr vt d
+load net {slc(g(2).sva#1).itm(5)} -attr vt d
+load net {slc(g(2).sva#1).itm(6)} -attr vt d
+load net {slc(g(2).sva#1).itm(7)} -attr vt d
+load net {slc(g(2).sva#1).itm(8)} -attr vt d
+load net {slc(g(2).sva#1).itm(9)} -attr vt d
+load net {slc(g(2).sva#1).itm(10)} -attr vt d
+load net {slc(g(2).sva#1).itm(11)} -attr vt d
+load net {slc(g(2).sva#1).itm(12)} -attr vt d
+load net {slc(g(2).sva#1).itm(13)} -attr vt d
+load net {slc(g(2).sva#1).itm(14)} -attr vt d
+load netBundle {slc(g(2).sva#1).itm} 15 {slc(g(2).sva#1).itm(0)} {slc(g(2).sva#1).itm(1)} {slc(g(2).sva#1).itm(2)} {slc(g(2).sva#1).itm(3)} {slc(g(2).sva#1).itm(4)} {slc(g(2).sva#1).itm(5)} {slc(g(2).sva#1).itm(6)} {slc(g(2).sva#1).itm(7)} {slc(g(2).sva#1).itm(8)} {slc(g(2).sva#1).itm(9)} {slc(g(2).sva#1).itm(10)} {slc(g(2).sva#1).itm(11)} {slc(g(2).sva#1).itm(12)} {slc(g(2).sva#1).itm(13)} {slc(g(2).sva#1).itm(14)} -attr xrf 44388 -attr oid 264 -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {FRAME:acc#16.itm(0)} -attr vt d
+load net {FRAME:acc#16.itm(1)} -attr vt d
+load net {FRAME:acc#16.itm(2)} -attr vt d
+load net {FRAME:acc#16.itm(3)} -attr vt d
+load net {FRAME:acc#16.itm(4)} -attr vt d
+load net {FRAME:acc#16.itm(5)} -attr vt d
+load netBundle {FRAME:acc#16.itm} 6 {FRAME:acc#16.itm(0)} {FRAME:acc#16.itm(1)} {FRAME:acc#16.itm(2)} {FRAME:acc#16.itm(3)} {FRAME:acc#16.itm(4)} {FRAME:acc#16.itm(5)} -attr xrf 44389 -attr oid 265 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#15.itm(0)} -attr vt d
+load net {FRAME:acc#15.itm(1)} -attr vt d
+load net {FRAME:acc#15.itm(2)} -attr vt d
+load net {FRAME:acc#15.itm(3)} -attr vt d
+load net {FRAME:acc#15.itm(4)} -attr vt d
+load netBundle {FRAME:acc#15.itm} 5 {FRAME:acc#15.itm(0)} {FRAME:acc#15.itm(1)} {FRAME:acc#15.itm(2)} {FRAME:acc#15.itm(3)} {FRAME:acc#15.itm(4)} -attr xrf 44390 -attr oid 266 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#13.itm(0)} -attr vt d
+load net {FRAME:acc#13.itm(1)} -attr vt d
+load net {FRAME:acc#13.itm(2)} -attr vt d
+load net {FRAME:acc#13.itm(3)} -attr vt d
+load netBundle {FRAME:acc#13.itm} 4 {FRAME:acc#13.itm(0)} {FRAME:acc#13.itm(1)} {FRAME:acc#13.itm(2)} {FRAME:acc#13.itm(3)} -attr xrf 44391 -attr oid 267 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {slc(green#2.sg1.sva)#1.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#1.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#1.itm(2)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#1.itm} 3 {slc(green#2.sg1.sva)#1.itm(0)} {slc(green#2.sg1.sva)#1.itm(1)} {slc(green#2.sg1.sva)#1.itm(2)} -attr xrf 44392 -attr oid 268 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#1.itm}
+load net {FRAME:not#10.itm(0)} -attr vt d
+load net {FRAME:not#10.itm(1)} -attr vt d
+load net {FRAME:not#10.itm(2)} -attr vt d
+load netBundle {FRAME:not#10.itm} 3 {FRAME:not#10.itm(0)} {FRAME:not#10.itm(1)} {FRAME:not#10.itm(2)} -attr xrf 44393 -attr oid 269 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load net {slc(green#2.sg1.sva)#3.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#3.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#3.itm(2)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#3.itm} 3 {slc(green#2.sg1.sva)#3.itm(0)} {slc(green#2.sg1.sva)#3.itm(1)} {slc(green#2.sg1.sva)#3.itm(2)} -attr xrf 44394 -attr oid 270 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#3.itm}
+load net {FRAME:acc#12.itm(0)} -attr vt d
+load net {FRAME:acc#12.itm(1)} -attr vt d
+load net {FRAME:acc#12.itm(2)} -attr vt d
+load net {FRAME:acc#12.itm(3)} -attr vt d
+load netBundle {FRAME:acc#12.itm} 4 {FRAME:acc#12.itm(0)} {FRAME:acc#12.itm(1)} {FRAME:acc#12.itm(2)} {FRAME:acc#12.itm(3)} -attr xrf 44395 -attr oid 271 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {conc#155.itm(0)} -attr vt d
+load net {conc#155.itm(1)} -attr vt d
+load net {conc#155.itm(2)} -attr vt d
+load netBundle {conc#155.itm} 3 {conc#155.itm(0)} {conc#155.itm(1)} {conc#155.itm(2)} -attr xrf 44396 -attr oid 272 -attr vt d -attr @path {/sobel/sobel:core/conc#155.itm}
+load net {slc(green#2.sg1.sva)#4.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#4.itm(1)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#4.itm} 2 {slc(green#2.sg1.sva)#4.itm(0)} {slc(green#2.sg1.sva)#4.itm(1)} -attr xrf 44397 -attr oid 273 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#4.itm}
+load net {FRAME:acc#14.itm(0)} -attr vt d
+load net {FRAME:acc#14.itm(1)} -attr vt d
+load net {FRAME:acc#14.itm(2)} -attr vt d
+load net {FRAME:acc#14.itm(3)} -attr vt d
+load netBundle {FRAME:acc#14.itm} 4 {FRAME:acc#14.itm(0)} {FRAME:acc#14.itm(1)} {FRAME:acc#14.itm(2)} {FRAME:acc#14.itm(3)} -attr xrf 44398 -attr oid 274 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {slc(green#2.sg1.sva)#5.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#5.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#5.itm(2)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#5.itm} 3 {slc(green#2.sg1.sva)#5.itm(0)} {slc(green#2.sg1.sva)#5.itm(1)} {slc(green#2.sg1.sva)#5.itm(2)} -attr xrf 44399 -attr oid 275 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#5.itm}
+load net {FRAME:not#9.itm(0)} -attr vt d
+load net {FRAME:not#9.itm(1)} -attr vt d
+load net {FRAME:not#9.itm(2)} -attr vt d
+load netBundle {FRAME:not#9.itm} 3 {FRAME:not#9.itm(0)} {FRAME:not#9.itm(1)} {FRAME:not#9.itm(2)} -attr xrf 44400 -attr oid 276 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load net {slc(green#2.sg1.sva)#6.itm(0)} -attr vt d
+load net {slc(green#2.sg1.sva)#6.itm(1)} -attr vt d
+load net {slc(green#2.sg1.sva)#6.itm(2)} -attr vt d
+load netBundle {slc(green#2.sg1.sva)#6.itm} 3 {slc(green#2.sg1.sva)#6.itm(0)} {slc(green#2.sg1.sva)#6.itm(1)} {slc(green#2.sg1.sva)#6.itm(2)} -attr xrf 44401 -attr oid 277 -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#6.itm}
+load net {FRAME:for:exs#35.itm(0)} -attr vt d
+load net {FRAME:for:exs#35.itm(1)} -attr vt d
+load net {FRAME:for:exs#35.itm(2)} -attr vt d
+load net {FRAME:for:exs#35.itm(3)} -attr vt d
+load net {FRAME:for:exs#35.itm(4)} -attr vt d
+load net {FRAME:for:exs#35.itm(5)} -attr vt d
+load net {FRAME:for:exs#35.itm(6)} -attr vt d
+load net {FRAME:for:exs#35.itm(7)} -attr vt d
+load net {FRAME:for:exs#35.itm(8)} -attr vt d
+load net {FRAME:for:exs#35.itm(9)} -attr vt d
+load net {FRAME:for:exs#35.itm(10)} -attr vt d
+load net {FRAME:for:exs#35.itm(11)} -attr vt d
+load net {FRAME:for:exs#35.itm(12)} -attr vt d
+load net {FRAME:for:exs#35.itm(13)} -attr vt d
+load net {FRAME:for:exs#35.itm(14)} -attr vt d
+load netBundle {FRAME:for:exs#35.itm} 15 {FRAME:for:exs#35.itm(0)} {FRAME:for:exs#35.itm(1)} {FRAME:for:exs#35.itm(2)} {FRAME:for:exs#35.itm(3)} {FRAME:for:exs#35.itm(4)} {FRAME:for:exs#35.itm(5)} {FRAME:for:exs#35.itm(6)} {FRAME:for:exs#35.itm(7)} {FRAME:for:exs#35.itm(8)} {FRAME:for:exs#35.itm(9)} {FRAME:for:exs#35.itm(10)} {FRAME:for:exs#35.itm(11)} {FRAME:for:exs#35.itm(12)} {FRAME:for:exs#35.itm(13)} {FRAME:for:exs#35.itm(14)} -attr xrf 44402 -attr oid 278 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {FRAME:for#1:mul#8.itm(0)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(1)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(2)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(3)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(4)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(5)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(6)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(7)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(8)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(9)} -attr vt d
+load net {FRAME:for#1:mul#8.itm(10)} -attr vt d
+load netBundle {FRAME:for#1:mul#8.itm} 11 {FRAME:for#1:mul#8.itm(0)} {FRAME:for#1:mul#8.itm(1)} {FRAME:for#1:mul#8.itm(2)} {FRAME:for#1:mul#8.itm(3)} {FRAME:for#1:mul#8.itm(4)} {FRAME:for#1:mul#8.itm(5)} {FRAME:for#1:mul#8.itm(6)} {FRAME:for#1:mul#8.itm(7)} {FRAME:for#1:mul#8.itm(8)} {FRAME:for#1:mul#8.itm(9)} {FRAME:for#1:mul#8.itm(10)} -attr xrf 44403 -attr oid 279 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {regs.operator[]#35:mux.itm(0)} -attr vt d
+load net {regs.operator[]#35:mux.itm(1)} -attr vt d
+load net {regs.operator[]#35:mux.itm(2)} -attr vt d
+load net {regs.operator[]#35:mux.itm(3)} -attr vt d
+load net {regs.operator[]#35:mux.itm(4)} -attr vt d
+load net {regs.operator[]#35:mux.itm(5)} -attr vt d
+load net {regs.operator[]#35:mux.itm(6)} -attr vt d
+load net {regs.operator[]#35:mux.itm(7)} -attr vt d
+load net {regs.operator[]#35:mux.itm(8)} -attr vt d
+load net {regs.operator[]#35:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#35:mux.itm} 10 {regs.operator[]#35:mux.itm(0)} {regs.operator[]#35:mux.itm(1)} {regs.operator[]#35:mux.itm(2)} {regs.operator[]#35:mux.itm(3)} {regs.operator[]#35:mux.itm(4)} {regs.operator[]#35:mux.itm(5)} {regs.operator[]#35:mux.itm(6)} {regs.operator[]#35:mux.itm(7)} {regs.operator[]#35:mux.itm(8)} {regs.operator[]#35:mux.itm(9)} -attr xrf 44404 -attr oid 280 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm).itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm).itm} 10 {slc(regs.regs(2).lpi#1.dfm).itm(0)} {slc(regs.regs(2).lpi#1.dfm).itm(1)} {slc(regs.regs(2).lpi#1.dfm).itm(2)} {slc(regs.regs(2).lpi#1.dfm).itm(3)} {slc(regs.regs(2).lpi#1.dfm).itm(4)} {slc(regs.regs(2).lpi#1.dfm).itm(5)} {slc(regs.regs(2).lpi#1.dfm).itm(6)} {slc(regs.regs(2).lpi#1.dfm).itm(7)} {slc(regs.regs(2).lpi#1.dfm).itm(8)} {slc(regs.regs(2).lpi#1.dfm).itm(9)} -attr xrf 44405 -attr oid 281 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {slc(regs.regs(1).sva).itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva).itm} 10 {slc(regs.regs(1).sva).itm(0)} {slc(regs.regs(1).sva).itm(1)} {slc(regs.regs(1).sva).itm(2)} {slc(regs.regs(1).sva).itm(3)} {slc(regs.regs(1).sva).itm(4)} {slc(regs.regs(1).sva).itm(5)} {slc(regs.regs(1).sva).itm(6)} {slc(regs.regs(1).sva).itm(7)} {slc(regs.regs(1).sva).itm(8)} {slc(regs.regs(1).sva).itm(9)} -attr xrf 44406 -attr oid 282 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {slc(regs.regs(0).sva).itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva).itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva).itm} 10 {slc(regs.regs(0).sva).itm(0)} {slc(regs.regs(0).sva).itm(1)} {slc(regs.regs(0).sva).itm(2)} {slc(regs.regs(0).sva).itm(3)} {slc(regs.regs(0).sva).itm(4)} {slc(regs.regs(0).sva).itm(5)} {slc(regs.regs(0).sva).itm(6)} {slc(regs.regs(0).sva).itm(7)} {slc(regs.regs(0).sva).itm(8)} {slc(regs.regs(0).sva).itm(9)} -attr xrf 44407 -attr oid 283 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {FRAME:for#1:mul#2.itm(0)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(1)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(2)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(3)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(4)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(5)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(6)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(7)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(8)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(9)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(10)} -attr vt d
+load net {FRAME:for#1:mul#2.itm(11)} -attr vt d
+load netBundle {FRAME:for#1:mul#2.itm} 12 {FRAME:for#1:mul#2.itm(0)} {FRAME:for#1:mul#2.itm(1)} {FRAME:for#1:mul#2.itm(2)} {FRAME:for#1:mul#2.itm(3)} {FRAME:for#1:mul#2.itm(4)} {FRAME:for#1:mul#2.itm(5)} {FRAME:for#1:mul#2.itm(6)} {FRAME:for#1:mul#2.itm(7)} {FRAME:for#1:mul#2.itm(8)} {FRAME:for#1:mul#2.itm(9)} {FRAME:for#1:mul#2.itm(10)} {FRAME:for#1:mul#2.itm(11)} -attr xrf 44408 -attr oid 284 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {regs.operator[]#29:mux.itm(0)} -attr vt d
+load net {regs.operator[]#29:mux.itm(1)} -attr vt d
+load net {regs.operator[]#29:mux.itm(2)} -attr vt d
+load net {regs.operator[]#29:mux.itm(3)} -attr vt d
+load net {regs.operator[]#29:mux.itm(4)} -attr vt d
+load net {regs.operator[]#29:mux.itm(5)} -attr vt d
+load net {regs.operator[]#29:mux.itm(6)} -attr vt d
+load net {regs.operator[]#29:mux.itm(7)} -attr vt d
+load net {regs.operator[]#29:mux.itm(8)} -attr vt d
+load net {regs.operator[]#29:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#29:mux.itm} 10 {regs.operator[]#29:mux.itm(0)} {regs.operator[]#29:mux.itm(1)} {regs.operator[]#29:mux.itm(2)} {regs.operator[]#29:mux.itm(3)} {regs.operator[]#29:mux.itm(4)} {regs.operator[]#29:mux.itm(5)} {regs.operator[]#29:mux.itm(6)} {regs.operator[]#29:mux.itm(7)} {regs.operator[]#29:mux.itm(8)} {regs.operator[]#29:mux.itm(9)} -attr xrf 44409 -attr oid 285 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm)#3.itm} 10 {slc(regs.regs(2).lpi#1.dfm)#3.itm(0)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(1)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(2)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(3)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(4)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(5)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(6)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(7)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(8)} {slc(regs.regs(2).lpi#1.dfm)#3.itm(9)} -attr xrf 44410 -attr oid 286 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {slc(regs.regs(1).sva)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#3.itm} 10 {slc(regs.regs(1).sva)#3.itm(0)} {slc(regs.regs(1).sva)#3.itm(1)} {slc(regs.regs(1).sva)#3.itm(2)} {slc(regs.regs(1).sva)#3.itm(3)} {slc(regs.regs(1).sva)#3.itm(4)} {slc(regs.regs(1).sva)#3.itm(5)} {slc(regs.regs(1).sva)#3.itm(6)} {slc(regs.regs(1).sva)#3.itm(7)} {slc(regs.regs(1).sva)#3.itm(8)} {slc(regs.regs(1).sva)#3.itm(9)} -attr xrf 44411 -attr oid 287 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {slc(regs.regs(0).sva)#3.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#3.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#3.itm} 10 {slc(regs.regs(0).sva)#3.itm(0)} {slc(regs.regs(0).sva)#3.itm(1)} {slc(regs.regs(0).sva)#3.itm(2)} {slc(regs.regs(0).sva)#3.itm(3)} {slc(regs.regs(0).sva)#3.itm(4)} {slc(regs.regs(0).sva)#3.itm(5)} {slc(regs.regs(0).sva)#3.itm(6)} {slc(regs.regs(0).sva)#3.itm(7)} {slc(regs.regs(0).sva)#3.itm(8)} {slc(regs.regs(0).sva)#3.itm(9)} -attr xrf 44412 -attr oid 288 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {conc#156.itm(0)} -attr vt d
+load net {conc#156.itm(1)} -attr vt d
+load netBundle {conc#156.itm} 2 {conc#156.itm(0)} {conc#156.itm(1)} -attr xrf 44413 -attr oid 289 -attr vt d -attr @path {/sobel/sobel:core/conc#156.itm}
+load net {FRAME:for:exs#36.itm(0)} -attr vt d
+load net {FRAME:for:exs#36.itm(1)} -attr vt d
+load net {FRAME:for:exs#36.itm(2)} -attr vt d
+load net {FRAME:for:exs#36.itm(3)} -attr vt d
+load net {FRAME:for:exs#36.itm(4)} -attr vt d
+load net {FRAME:for:exs#36.itm(5)} -attr vt d
+load net {FRAME:for:exs#36.itm(6)} -attr vt d
+load net {FRAME:for:exs#36.itm(7)} -attr vt d
+load net {FRAME:for:exs#36.itm(8)} -attr vt d
+load net {FRAME:for:exs#36.itm(9)} -attr vt d
+load net {FRAME:for:exs#36.itm(10)} -attr vt d
+load net {FRAME:for:exs#36.itm(11)} -attr vt d
+load net {FRAME:for:exs#36.itm(12)} -attr vt d
+load net {FRAME:for:exs#36.itm(13)} -attr vt d
+load net {FRAME:for:exs#36.itm(14)} -attr vt d
+load netBundle {FRAME:for:exs#36.itm} 15 {FRAME:for:exs#36.itm(0)} {FRAME:for:exs#36.itm(1)} {FRAME:for:exs#36.itm(2)} {FRAME:for:exs#36.itm(3)} {FRAME:for:exs#36.itm(4)} {FRAME:for:exs#36.itm(5)} {FRAME:for:exs#36.itm(6)} {FRAME:for:exs#36.itm(7)} {FRAME:for:exs#36.itm(8)} {FRAME:for:exs#36.itm(9)} {FRAME:for:exs#36.itm(10)} {FRAME:for:exs#36.itm(11)} {FRAME:for:exs#36.itm(12)} {FRAME:for:exs#36.itm(13)} {FRAME:for:exs#36.itm(14)} -attr xrf 44414 -attr oid 290 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {FRAME:for#1:mul#7.itm(0)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(1)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(2)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(3)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(4)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(5)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(6)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(7)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(8)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(9)} -attr vt d
+load net {FRAME:for#1:mul#7.itm(10)} -attr vt d
+load netBundle {FRAME:for#1:mul#7.itm} 11 {FRAME:for#1:mul#7.itm(0)} {FRAME:for#1:mul#7.itm(1)} {FRAME:for#1:mul#7.itm(2)} {FRAME:for#1:mul#7.itm(3)} {FRAME:for#1:mul#7.itm(4)} {FRAME:for#1:mul#7.itm(5)} {FRAME:for#1:mul#7.itm(6)} {FRAME:for#1:mul#7.itm(7)} {FRAME:for#1:mul#7.itm(8)} {FRAME:for#1:mul#7.itm(9)} {FRAME:for#1:mul#7.itm(10)} -attr xrf 44415 -attr oid 291 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {regs.operator[]#34:mux.itm(0)} -attr vt d
+load net {regs.operator[]#34:mux.itm(1)} -attr vt d
+load net {regs.operator[]#34:mux.itm(2)} -attr vt d
+load net {regs.operator[]#34:mux.itm(3)} -attr vt d
+load net {regs.operator[]#34:mux.itm(4)} -attr vt d
+load net {regs.operator[]#34:mux.itm(5)} -attr vt d
+load net {regs.operator[]#34:mux.itm(6)} -attr vt d
+load net {regs.operator[]#34:mux.itm(7)} -attr vt d
+load net {regs.operator[]#34:mux.itm(8)} -attr vt d
+load net {regs.operator[]#34:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#34:mux.itm} 10 {regs.operator[]#34:mux.itm(0)} {regs.operator[]#34:mux.itm(1)} {regs.operator[]#34:mux.itm(2)} {regs.operator[]#34:mux.itm(3)} {regs.operator[]#34:mux.itm(4)} {regs.operator[]#34:mux.itm(5)} {regs.operator[]#34:mux.itm(6)} {regs.operator[]#34:mux.itm(7)} {regs.operator[]#34:mux.itm(8)} {regs.operator[]#34:mux.itm(9)} -attr xrf 44416 -attr oid 292 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm)#1.itm} 10 {slc(regs.regs(2).lpi#1.dfm)#1.itm(0)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(1)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(2)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(3)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(4)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(5)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(6)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(7)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(8)} {slc(regs.regs(2).lpi#1.dfm)#1.itm(9)} -attr xrf 44417 -attr oid 293 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {slc(regs.regs(1).sva)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#1.itm} 10 {slc(regs.regs(1).sva)#1.itm(0)} {slc(regs.regs(1).sva)#1.itm(1)} {slc(regs.regs(1).sva)#1.itm(2)} {slc(regs.regs(1).sva)#1.itm(3)} {slc(regs.regs(1).sva)#1.itm(4)} {slc(regs.regs(1).sva)#1.itm(5)} {slc(regs.regs(1).sva)#1.itm(6)} {slc(regs.regs(1).sva)#1.itm(7)} {slc(regs.regs(1).sva)#1.itm(8)} {slc(regs.regs(1).sva)#1.itm(9)} -attr xrf 44418 -attr oid 294 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {slc(regs.regs(0).sva)#1.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#1.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#1.itm} 10 {slc(regs.regs(0).sva)#1.itm(0)} {slc(regs.regs(0).sva)#1.itm(1)} {slc(regs.regs(0).sva)#1.itm(2)} {slc(regs.regs(0).sva)#1.itm(3)} {slc(regs.regs(0).sva)#1.itm(4)} {slc(regs.regs(0).sva)#1.itm(5)} {slc(regs.regs(0).sva)#1.itm(6)} {slc(regs.regs(0).sva)#1.itm(7)} {slc(regs.regs(0).sva)#1.itm(8)} {slc(regs.regs(0).sva)#1.itm(9)} -attr xrf 44419 -attr oid 295 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {FRAME:for#1:mul#1.itm(0)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(1)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(2)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(3)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(4)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(5)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(6)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(7)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(8)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(9)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(10)} -attr vt d
+load net {FRAME:for#1:mul#1.itm(11)} -attr vt d
+load netBundle {FRAME:for#1:mul#1.itm} 12 {FRAME:for#1:mul#1.itm(0)} {FRAME:for#1:mul#1.itm(1)} {FRAME:for#1:mul#1.itm(2)} {FRAME:for#1:mul#1.itm(3)} {FRAME:for#1:mul#1.itm(4)} {FRAME:for#1:mul#1.itm(5)} {FRAME:for#1:mul#1.itm(6)} {FRAME:for#1:mul#1.itm(7)} {FRAME:for#1:mul#1.itm(8)} {FRAME:for#1:mul#1.itm(9)} {FRAME:for#1:mul#1.itm(10)} {FRAME:for#1:mul#1.itm(11)} -attr xrf 44420 -attr oid 296 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {regs.operator[]#28:mux.itm(0)} -attr vt d
+load net {regs.operator[]#28:mux.itm(1)} -attr vt d
+load net {regs.operator[]#28:mux.itm(2)} -attr vt d
+load net {regs.operator[]#28:mux.itm(3)} -attr vt d
+load net {regs.operator[]#28:mux.itm(4)} -attr vt d
+load net {regs.operator[]#28:mux.itm(5)} -attr vt d
+load net {regs.operator[]#28:mux.itm(6)} -attr vt d
+load net {regs.operator[]#28:mux.itm(7)} -attr vt d
+load net {regs.operator[]#28:mux.itm(8)} -attr vt d
+load net {regs.operator[]#28:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#28:mux.itm} 10 {regs.operator[]#28:mux.itm(0)} {regs.operator[]#28:mux.itm(1)} {regs.operator[]#28:mux.itm(2)} {regs.operator[]#28:mux.itm(3)} {regs.operator[]#28:mux.itm(4)} {regs.operator[]#28:mux.itm(5)} {regs.operator[]#28:mux.itm(6)} {regs.operator[]#28:mux.itm(7)} {regs.operator[]#28:mux.itm(8)} {regs.operator[]#28:mux.itm(9)} -attr xrf 44421 -attr oid 297 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm)#4.itm} 10 {slc(regs.regs(2).lpi#1.dfm)#4.itm(0)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(1)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(2)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(3)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(4)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(5)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(6)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(7)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(8)} {slc(regs.regs(2).lpi#1.dfm)#4.itm(9)} -attr xrf 44422 -attr oid 298 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {slc(regs.regs(1).sva)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#4.itm} 10 {slc(regs.regs(1).sva)#4.itm(0)} {slc(regs.regs(1).sva)#4.itm(1)} {slc(regs.regs(1).sva)#4.itm(2)} {slc(regs.regs(1).sva)#4.itm(3)} {slc(regs.regs(1).sva)#4.itm(4)} {slc(regs.regs(1).sva)#4.itm(5)} {slc(regs.regs(1).sva)#4.itm(6)} {slc(regs.regs(1).sva)#4.itm(7)} {slc(regs.regs(1).sva)#4.itm(8)} {slc(regs.regs(1).sva)#4.itm(9)} -attr xrf 44423 -attr oid 299 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {slc(regs.regs(0).sva)#4.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#4.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#4.itm} 10 {slc(regs.regs(0).sva)#4.itm(0)} {slc(regs.regs(0).sva)#4.itm(1)} {slc(regs.regs(0).sva)#4.itm(2)} {slc(regs.regs(0).sva)#4.itm(3)} {slc(regs.regs(0).sva)#4.itm(4)} {slc(regs.regs(0).sva)#4.itm(5)} {slc(regs.regs(0).sva)#4.itm(6)} {slc(regs.regs(0).sva)#4.itm(7)} {slc(regs.regs(0).sva)#4.itm(8)} {slc(regs.regs(0).sva)#4.itm(9)} -attr xrf 44424 -attr oid 300 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {conc#157.itm(0)} -attr vt d
+load net {conc#157.itm(1)} -attr vt d
+load netBundle {conc#157.itm} 2 {conc#157.itm(0)} {conc#157.itm(1)} -attr xrf 44425 -attr oid 301 -attr vt d -attr @path {/sobel/sobel:core/conc#157.itm}
+load net {FRAME:for:exs#31.itm(0)} -attr vt d
+load net {FRAME:for:exs#31.itm(1)} -attr vt d
+load net {FRAME:for:exs#31.itm(2)} -attr vt d
+load net {FRAME:for:exs#31.itm(3)} -attr vt d
+load net {FRAME:for:exs#31.itm(4)} -attr vt d
+load net {FRAME:for:exs#31.itm(5)} -attr vt d
+load net {FRAME:for:exs#31.itm(6)} -attr vt d
+load net {FRAME:for:exs#31.itm(7)} -attr vt d
+load net {FRAME:for:exs#31.itm(8)} -attr vt d
+load net {FRAME:for:exs#31.itm(9)} -attr vt d
+load net {FRAME:for:exs#31.itm(10)} -attr vt d
+load net {FRAME:for:exs#31.itm(11)} -attr vt d
+load net {FRAME:for:exs#31.itm(12)} -attr vt d
+load net {FRAME:for:exs#31.itm(13)} -attr vt d
+load net {FRAME:for:exs#31.itm(14)} -attr vt d
+load netBundle {FRAME:for:exs#31.itm} 15 {FRAME:for:exs#31.itm(0)} {FRAME:for:exs#31.itm(1)} {FRAME:for:exs#31.itm(2)} {FRAME:for:exs#31.itm(3)} {FRAME:for:exs#31.itm(4)} {FRAME:for:exs#31.itm(5)} {FRAME:for:exs#31.itm(6)} {FRAME:for:exs#31.itm(7)} {FRAME:for:exs#31.itm(8)} {FRAME:for:exs#31.itm(9)} {FRAME:for:exs#31.itm(10)} {FRAME:for:exs#31.itm(11)} {FRAME:for:exs#31.itm(12)} {FRAME:for:exs#31.itm(13)} {FRAME:for:exs#31.itm(14)} -attr xrf 44426 -attr oid 302 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {FRAME:for#1:mul#6.itm(0)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(1)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(2)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(3)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(4)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(5)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(6)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(7)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(8)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(9)} -attr vt d
+load net {FRAME:for#1:mul#6.itm(10)} -attr vt d
+load netBundle {FRAME:for#1:mul#6.itm} 11 {FRAME:for#1:mul#6.itm(0)} {FRAME:for#1:mul#6.itm(1)} {FRAME:for#1:mul#6.itm(2)} {FRAME:for#1:mul#6.itm(3)} {FRAME:for#1:mul#6.itm(4)} {FRAME:for#1:mul#6.itm(5)} {FRAME:for#1:mul#6.itm(6)} {FRAME:for#1:mul#6.itm(7)} {FRAME:for#1:mul#6.itm(8)} {FRAME:for#1:mul#6.itm(9)} {FRAME:for#1:mul#6.itm(10)} -attr xrf 44427 -attr oid 303 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {regs.operator[]#33:mux.itm(0)} -attr vt d
+load net {regs.operator[]#33:mux.itm(1)} -attr vt d
+load net {regs.operator[]#33:mux.itm(2)} -attr vt d
+load net {regs.operator[]#33:mux.itm(3)} -attr vt d
+load net {regs.operator[]#33:mux.itm(4)} -attr vt d
+load net {regs.operator[]#33:mux.itm(5)} -attr vt d
+load net {regs.operator[]#33:mux.itm(6)} -attr vt d
+load net {regs.operator[]#33:mux.itm(7)} -attr vt d
+load net {regs.operator[]#33:mux.itm(8)} -attr vt d
+load net {regs.operator[]#33:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#33:mux.itm} 10 {regs.operator[]#33:mux.itm(0)} {regs.operator[]#33:mux.itm(1)} {regs.operator[]#33:mux.itm(2)} {regs.operator[]#33:mux.itm(3)} {regs.operator[]#33:mux.itm(4)} {regs.operator[]#33:mux.itm(5)} {regs.operator[]#33:mux.itm(6)} {regs.operator[]#33:mux.itm(7)} {regs.operator[]#33:mux.itm(8)} {regs.operator[]#33:mux.itm(9)} -attr xrf 44428 -attr oid 304 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm)#2.itm} 10 {slc(regs.regs(2).lpi#1.dfm)#2.itm(0)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(1)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(2)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(3)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(4)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(5)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(6)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(7)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(8)} {slc(regs.regs(2).lpi#1.dfm)#2.itm(9)} -attr xrf 44429 -attr oid 305 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {slc(regs.regs(1).sva)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#2.itm} 10 {slc(regs.regs(1).sva)#2.itm(0)} {slc(regs.regs(1).sva)#2.itm(1)} {slc(regs.regs(1).sva)#2.itm(2)} {slc(regs.regs(1).sva)#2.itm(3)} {slc(regs.regs(1).sva)#2.itm(4)} {slc(regs.regs(1).sva)#2.itm(5)} {slc(regs.regs(1).sva)#2.itm(6)} {slc(regs.regs(1).sva)#2.itm(7)} {slc(regs.regs(1).sva)#2.itm(8)} {slc(regs.regs(1).sva)#2.itm(9)} -attr xrf 44430 -attr oid 306 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {slc(regs.regs(0).sva)#2.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#2.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#2.itm} 10 {slc(regs.regs(0).sva)#2.itm(0)} {slc(regs.regs(0).sva)#2.itm(1)} {slc(regs.regs(0).sva)#2.itm(2)} {slc(regs.regs(0).sva)#2.itm(3)} {slc(regs.regs(0).sva)#2.itm(4)} {slc(regs.regs(0).sva)#2.itm(5)} {slc(regs.regs(0).sva)#2.itm(6)} {slc(regs.regs(0).sva)#2.itm(7)} {slc(regs.regs(0).sva)#2.itm(8)} {slc(regs.regs(0).sva)#2.itm(9)} -attr xrf 44431 -attr oid 307 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {FRAME:for#1:mul.itm(0)} -attr vt d
+load net {FRAME:for#1:mul.itm(1)} -attr vt d
+load net {FRAME:for#1:mul.itm(2)} -attr vt d
+load net {FRAME:for#1:mul.itm(3)} -attr vt d
+load net {FRAME:for#1:mul.itm(4)} -attr vt d
+load net {FRAME:for#1:mul.itm(5)} -attr vt d
+load net {FRAME:for#1:mul.itm(6)} -attr vt d
+load net {FRAME:for#1:mul.itm(7)} -attr vt d
+load net {FRAME:for#1:mul.itm(8)} -attr vt d
+load net {FRAME:for#1:mul.itm(9)} -attr vt d
+load net {FRAME:for#1:mul.itm(10)} -attr vt d
+load net {FRAME:for#1:mul.itm(11)} -attr vt d
+load netBundle {FRAME:for#1:mul.itm} 12 {FRAME:for#1:mul.itm(0)} {FRAME:for#1:mul.itm(1)} {FRAME:for#1:mul.itm(2)} {FRAME:for#1:mul.itm(3)} {FRAME:for#1:mul.itm(4)} {FRAME:for#1:mul.itm(5)} {FRAME:for#1:mul.itm(6)} {FRAME:for#1:mul.itm(7)} {FRAME:for#1:mul.itm(8)} {FRAME:for#1:mul.itm(9)} {FRAME:for#1:mul.itm(10)} {FRAME:for#1:mul.itm(11)} -attr xrf 44432 -attr oid 308 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {regs.operator[]#27:mux.itm(0)} -attr vt d
+load net {regs.operator[]#27:mux.itm(1)} -attr vt d
+load net {regs.operator[]#27:mux.itm(2)} -attr vt d
+load net {regs.operator[]#27:mux.itm(3)} -attr vt d
+load net {regs.operator[]#27:mux.itm(4)} -attr vt d
+load net {regs.operator[]#27:mux.itm(5)} -attr vt d
+load net {regs.operator[]#27:mux.itm(6)} -attr vt d
+load net {regs.operator[]#27:mux.itm(7)} -attr vt d
+load net {regs.operator[]#27:mux.itm(8)} -attr vt d
+load net {regs.operator[]#27:mux.itm(9)} -attr vt d
+load netBundle {regs.operator[]#27:mux.itm} 10 {regs.operator[]#27:mux.itm(0)} {regs.operator[]#27:mux.itm(1)} {regs.operator[]#27:mux.itm(2)} {regs.operator[]#27:mux.itm(3)} {regs.operator[]#27:mux.itm(4)} {regs.operator[]#27:mux.itm(5)} {regs.operator[]#27:mux.itm(6)} {regs.operator[]#27:mux.itm(7)} {regs.operator[]#27:mux.itm(8)} {regs.operator[]#27:mux.itm(9)} -attr xrf 44433 -attr oid 309 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(2).lpi#1.dfm)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(2).lpi#1.dfm)#5.itm} 10 {slc(regs.regs(2).lpi#1.dfm)#5.itm(0)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(1)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(2)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(3)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(4)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(5)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(6)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(7)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(8)} {slc(regs.regs(2).lpi#1.dfm)#5.itm(9)} -attr xrf 44434 -attr oid 310 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {slc(regs.regs(1).sva)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(1).sva)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(1).sva)#5.itm} 10 {slc(regs.regs(1).sva)#5.itm(0)} {slc(regs.regs(1).sva)#5.itm(1)} {slc(regs.regs(1).sva)#5.itm(2)} {slc(regs.regs(1).sva)#5.itm(3)} {slc(regs.regs(1).sva)#5.itm(4)} {slc(regs.regs(1).sva)#5.itm(5)} {slc(regs.regs(1).sva)#5.itm(6)} {slc(regs.regs(1).sva)#5.itm(7)} {slc(regs.regs(1).sva)#5.itm(8)} {slc(regs.regs(1).sva)#5.itm(9)} -attr xrf 44435 -attr oid 311 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {slc(regs.regs(0).sva)#5.itm(0)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(1)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(2)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(3)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(4)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(5)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(6)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(7)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(8)} -attr vt d
+load net {slc(regs.regs(0).sva)#5.itm(9)} -attr vt d
+load netBundle {slc(regs.regs(0).sva)#5.itm} 10 {slc(regs.regs(0).sva)#5.itm(0)} {slc(regs.regs(0).sva)#5.itm(1)} {slc(regs.regs(0).sva)#5.itm(2)} {slc(regs.regs(0).sva)#5.itm(3)} {slc(regs.regs(0).sva)#5.itm(4)} {slc(regs.regs(0).sva)#5.itm(5)} {slc(regs.regs(0).sva)#5.itm(6)} {slc(regs.regs(0).sva)#5.itm(7)} {slc(regs.regs(0).sva)#5.itm(8)} {slc(regs.regs(0).sva)#5.itm(9)} -attr xrf 44436 -attr oid 312 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {conc#158.itm(0)} -attr vt d
+load net {conc#158.itm(1)} -attr vt d
+load netBundle {conc#158.itm} 2 {conc#158.itm(0)} {conc#158.itm(1)} -attr xrf 44437 -attr oid 313 -attr vt d -attr @path {/sobel/sobel:core/conc#158.itm}
+load net {FRAME:for:exs#37.itm(0)} -attr vt d
+load net {FRAME:for:exs#37.itm(1)} -attr vt d
+load net {FRAME:for:exs#37.itm(2)} -attr vt d
+load net {FRAME:for:exs#37.itm(3)} -attr vt d
+load net {FRAME:for:exs#37.itm(4)} -attr vt d
+load net {FRAME:for:exs#37.itm(5)} -attr vt d
+load net {FRAME:for:exs#37.itm(6)} -attr vt d
+load net {FRAME:for:exs#37.itm(7)} -attr vt d
+load net {FRAME:for:exs#37.itm(8)} -attr vt d
+load net {FRAME:for:exs#37.itm(9)} -attr vt d
+load net {FRAME:for:exs#37.itm(10)} -attr vt d
+load net {FRAME:for:exs#37.itm(11)} -attr vt d
+load net {FRAME:for:exs#37.itm(12)} -attr vt d
+load net {FRAME:for:exs#37.itm(13)} -attr vt d
+load net {FRAME:for:exs#37.itm(14)} -attr vt d
+load net {FRAME:for:exs#37.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#37.itm} 16 {FRAME:for:exs#37.itm(0)} {FRAME:for:exs#37.itm(1)} {FRAME:for:exs#37.itm(2)} {FRAME:for:exs#37.itm(3)} {FRAME:for:exs#37.itm(4)} {FRAME:for:exs#37.itm(5)} {FRAME:for:exs#37.itm(6)} {FRAME:for:exs#37.itm(7)} {FRAME:for:exs#37.itm(8)} {FRAME:for:exs#37.itm(9)} {FRAME:for:exs#37.itm(10)} {FRAME:for:exs#37.itm(11)} {FRAME:for:exs#37.itm(12)} {FRAME:for:exs#37.itm(13)} {FRAME:for:exs#37.itm(14)} {FRAME:for:exs#37.itm(15)} -attr xrf 44438 -attr oid 314 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {FRAME:for:exs#39.itm(0)} -attr vt d
+load net {FRAME:for:exs#39.itm(1)} -attr vt d
+load net {FRAME:for:exs#39.itm(2)} -attr vt d
+load net {FRAME:for:exs#39.itm(3)} -attr vt d
+load net {FRAME:for:exs#39.itm(4)} -attr vt d
+load net {FRAME:for:exs#39.itm(5)} -attr vt d
+load net {FRAME:for:exs#39.itm(6)} -attr vt d
+load net {FRAME:for:exs#39.itm(7)} -attr vt d
+load net {FRAME:for:exs#39.itm(8)} -attr vt d
+load net {FRAME:for:exs#39.itm(9)} -attr vt d
+load net {FRAME:for:exs#39.itm(10)} -attr vt d
+load net {FRAME:for:exs#39.itm(11)} -attr vt d
+load net {FRAME:for:exs#39.itm(12)} -attr vt d
+load net {FRAME:for:exs#39.itm(13)} -attr vt d
+load net {FRAME:for:exs#39.itm(14)} -attr vt d
+load net {FRAME:for:exs#39.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#39.itm} 16 {FRAME:for:exs#39.itm(0)} {FRAME:for:exs#39.itm(1)} {FRAME:for:exs#39.itm(2)} {FRAME:for:exs#39.itm(3)} {FRAME:for:exs#39.itm(4)} {FRAME:for:exs#39.itm(5)} {FRAME:for:exs#39.itm(6)} {FRAME:for:exs#39.itm(7)} {FRAME:for:exs#39.itm(8)} {FRAME:for:exs#39.itm(9)} {FRAME:for:exs#39.itm(10)} {FRAME:for:exs#39.itm(11)} {FRAME:for:exs#39.itm(12)} {FRAME:for:exs#39.itm(13)} {FRAME:for:exs#39.itm(14)} {FRAME:for:exs#39.itm(15)} -attr xrf 44439 -attr oid 315 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {FRAME:for:exs#41.itm(0)} -attr vt d
+load net {FRAME:for:exs#41.itm(1)} -attr vt d
+load net {FRAME:for:exs#41.itm(2)} -attr vt d
+load net {FRAME:for:exs#41.itm(3)} -attr vt d
+load net {FRAME:for:exs#41.itm(4)} -attr vt d
+load net {FRAME:for:exs#41.itm(5)} -attr vt d
+load net {FRAME:for:exs#41.itm(6)} -attr vt d
+load net {FRAME:for:exs#41.itm(7)} -attr vt d
+load net {FRAME:for:exs#41.itm(8)} -attr vt d
+load net {FRAME:for:exs#41.itm(9)} -attr vt d
+load net {FRAME:for:exs#41.itm(10)} -attr vt d
+load net {FRAME:for:exs#41.itm(11)} -attr vt d
+load net {FRAME:for:exs#41.itm(12)} -attr vt d
+load net {FRAME:for:exs#41.itm(13)} -attr vt d
+load net {FRAME:for:exs#41.itm(14)} -attr vt d
+load net {FRAME:for:exs#41.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#41.itm} 16 {FRAME:for:exs#41.itm(0)} {FRAME:for:exs#41.itm(1)} {FRAME:for:exs#41.itm(2)} {FRAME:for:exs#41.itm(3)} {FRAME:for:exs#41.itm(4)} {FRAME:for:exs#41.itm(5)} {FRAME:for:exs#41.itm(6)} {FRAME:for:exs#41.itm(7)} {FRAME:for:exs#41.itm(8)} {FRAME:for:exs#41.itm(9)} {FRAME:for:exs#41.itm(10)} {FRAME:for:exs#41.itm(11)} {FRAME:for:exs#41.itm(12)} {FRAME:for:exs#41.itm(13)} {FRAME:for:exs#41.itm(14)} {FRAME:for:exs#41.itm(15)} -attr xrf 44440 -attr oid 316 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {FRAME:for:exs#38.itm(0)} -attr vt d
+load net {FRAME:for:exs#38.itm(1)} -attr vt d
+load net {FRAME:for:exs#38.itm(2)} -attr vt d
+load net {FRAME:for:exs#38.itm(3)} -attr vt d
+load net {FRAME:for:exs#38.itm(4)} -attr vt d
+load net {FRAME:for:exs#38.itm(5)} -attr vt d
+load net {FRAME:for:exs#38.itm(6)} -attr vt d
+load net {FRAME:for:exs#38.itm(7)} -attr vt d
+load net {FRAME:for:exs#38.itm(8)} -attr vt d
+load net {FRAME:for:exs#38.itm(9)} -attr vt d
+load net {FRAME:for:exs#38.itm(10)} -attr vt d
+load net {FRAME:for:exs#38.itm(11)} -attr vt d
+load net {FRAME:for:exs#38.itm(12)} -attr vt d
+load net {FRAME:for:exs#38.itm(13)} -attr vt d
+load net {FRAME:for:exs#38.itm(14)} -attr vt d
+load net {FRAME:for:exs#38.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#38.itm} 16 {FRAME:for:exs#38.itm(0)} {FRAME:for:exs#38.itm(1)} {FRAME:for:exs#38.itm(2)} {FRAME:for:exs#38.itm(3)} {FRAME:for:exs#38.itm(4)} {FRAME:for:exs#38.itm(5)} {FRAME:for:exs#38.itm(6)} {FRAME:for:exs#38.itm(7)} {FRAME:for:exs#38.itm(8)} {FRAME:for:exs#38.itm(9)} {FRAME:for:exs#38.itm(10)} {FRAME:for:exs#38.itm(11)} {FRAME:for:exs#38.itm(12)} {FRAME:for:exs#38.itm(13)} {FRAME:for:exs#38.itm(14)} {FRAME:for:exs#38.itm(15)} -attr xrf 44441 -attr oid 317 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {FRAME:for:exs#40.itm(0)} -attr vt d
+load net {FRAME:for:exs#40.itm(1)} -attr vt d
+load net {FRAME:for:exs#40.itm(2)} -attr vt d
+load net {FRAME:for:exs#40.itm(3)} -attr vt d
+load net {FRAME:for:exs#40.itm(4)} -attr vt d
+load net {FRAME:for:exs#40.itm(5)} -attr vt d
+load net {FRAME:for:exs#40.itm(6)} -attr vt d
+load net {FRAME:for:exs#40.itm(7)} -attr vt d
+load net {FRAME:for:exs#40.itm(8)} -attr vt d
+load net {FRAME:for:exs#40.itm(9)} -attr vt d
+load net {FRAME:for:exs#40.itm(10)} -attr vt d
+load net {FRAME:for:exs#40.itm(11)} -attr vt d
+load net {FRAME:for:exs#40.itm(12)} -attr vt d
+load net {FRAME:for:exs#40.itm(13)} -attr vt d
+load net {FRAME:for:exs#40.itm(14)} -attr vt d
+load net {FRAME:for:exs#40.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#40.itm} 16 {FRAME:for:exs#40.itm(0)} {FRAME:for:exs#40.itm(1)} {FRAME:for:exs#40.itm(2)} {FRAME:for:exs#40.itm(3)} {FRAME:for:exs#40.itm(4)} {FRAME:for:exs#40.itm(5)} {FRAME:for:exs#40.itm(6)} {FRAME:for:exs#40.itm(7)} {FRAME:for:exs#40.itm(8)} {FRAME:for:exs#40.itm(9)} {FRAME:for:exs#40.itm(10)} {FRAME:for:exs#40.itm(11)} {FRAME:for:exs#40.itm(12)} {FRAME:for:exs#40.itm(13)} {FRAME:for:exs#40.itm(14)} {FRAME:for:exs#40.itm(15)} -attr xrf 44442 -attr oid 318 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {FRAME:for:exs#33.itm(0)} -attr vt d
+load net {FRAME:for:exs#33.itm(1)} -attr vt d
+load net {FRAME:for:exs#33.itm(2)} -attr vt d
+load net {FRAME:for:exs#33.itm(3)} -attr vt d
+load net {FRAME:for:exs#33.itm(4)} -attr vt d
+load net {FRAME:for:exs#33.itm(5)} -attr vt d
+load net {FRAME:for:exs#33.itm(6)} -attr vt d
+load net {FRAME:for:exs#33.itm(7)} -attr vt d
+load net {FRAME:for:exs#33.itm(8)} -attr vt d
+load net {FRAME:for:exs#33.itm(9)} -attr vt d
+load net {FRAME:for:exs#33.itm(10)} -attr vt d
+load net {FRAME:for:exs#33.itm(11)} -attr vt d
+load net {FRAME:for:exs#33.itm(12)} -attr vt d
+load net {FRAME:for:exs#33.itm(13)} -attr vt d
+load net {FRAME:for:exs#33.itm(14)} -attr vt d
+load net {FRAME:for:exs#33.itm(15)} -attr vt d
+load netBundle {FRAME:for:exs#33.itm} 16 {FRAME:for:exs#33.itm(0)} {FRAME:for:exs#33.itm(1)} {FRAME:for:exs#33.itm(2)} {FRAME:for:exs#33.itm(3)} {FRAME:for:exs#33.itm(4)} {FRAME:for:exs#33.itm(5)} {FRAME:for:exs#33.itm(6)} {FRAME:for:exs#33.itm(7)} {FRAME:for:exs#33.itm(8)} {FRAME:for:exs#33.itm(9)} {FRAME:for:exs#33.itm(10)} {FRAME:for:exs#33.itm(11)} {FRAME:for:exs#33.itm(12)} {FRAME:for:exs#33.itm(13)} {FRAME:for:exs#33.itm(14)} {FRAME:for:exs#33.itm(15)} -attr xrf 44443 -attr oid 319 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {FRAME:for:exs#26.itm(0)} -attr vt d
+load net {FRAME:for:exs#26.itm(1)} -attr vt d
+load netBundle {FRAME:for:exs#26.itm} 2 {FRAME:for:exs#26.itm(0)} {FRAME:for:exs#26.itm(1)} -attr xrf 44444 -attr oid 320 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#26.itm}
+load net {clk} -attr xrf 44445 -attr oid 321
+load net {clk} -port {clk} -attr xrf 44446 -attr oid 322
+load net {en} -attr xrf 44447 -attr oid 323
+load net {en} -port {en} -attr xrf 44448 -attr oid 324
+load net {arst_n} -attr xrf 44449 -attr oid 325
+load net {arst_n} -port {arst_n} -attr xrf 44450 -attr oid 326
+load net {vin:rsc:mgc_in_wire.d(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 44451 -attr oid 327 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(0)} -port {vin:rsc:mgc_in_wire.d(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(1)} -port {vin:rsc:mgc_in_wire.d(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(2)} -port {vin:rsc:mgc_in_wire.d(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(3)} -port {vin:rsc:mgc_in_wire.d(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(4)} -port {vin:rsc:mgc_in_wire.d(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(5)} -port {vin:rsc:mgc_in_wire.d(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(6)} -port {vin:rsc:mgc_in_wire.d(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(7)} -port {vin:rsc:mgc_in_wire.d(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(8)} -port {vin:rsc:mgc_in_wire.d(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(9)} -port {vin:rsc:mgc_in_wire.d(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(10)} -port {vin:rsc:mgc_in_wire.d(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(11)} -port {vin:rsc:mgc_in_wire.d(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(12)} -port {vin:rsc:mgc_in_wire.d(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(13)} -port {vin:rsc:mgc_in_wire.d(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(14)} -port {vin:rsc:mgc_in_wire.d(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(15)} -port {vin:rsc:mgc_in_wire.d(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(16)} -port {vin:rsc:mgc_in_wire.d(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(17)} -port {vin:rsc:mgc_in_wire.d(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(18)} -port {vin:rsc:mgc_in_wire.d(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(19)} -port {vin:rsc:mgc_in_wire.d(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(20)} -port {vin:rsc:mgc_in_wire.d(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(21)} -port {vin:rsc:mgc_in_wire.d(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(22)} -port {vin:rsc:mgc_in_wire.d(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(23)} -port {vin:rsc:mgc_in_wire.d(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(24)} -port {vin:rsc:mgc_in_wire.d(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(25)} -port {vin:rsc:mgc_in_wire.d(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(26)} -port {vin:rsc:mgc_in_wire.d(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(27)} -port {vin:rsc:mgc_in_wire.d(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(28)} -port {vin:rsc:mgc_in_wire.d(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(29)} -port {vin:rsc:mgc_in_wire.d(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(30)} -port {vin:rsc:mgc_in_wire.d(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(31)} -port {vin:rsc:mgc_in_wire.d(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(32)} -port {vin:rsc:mgc_in_wire.d(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(33)} -port {vin:rsc:mgc_in_wire.d(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(34)} -port {vin:rsc:mgc_in_wire.d(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(35)} -port {vin:rsc:mgc_in_wire.d(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(36)} -port {vin:rsc:mgc_in_wire.d(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(37)} -port {vin:rsc:mgc_in_wire.d(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(38)} -port {vin:rsc:mgc_in_wire.d(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(39)} -port {vin:rsc:mgc_in_wire.d(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(40)} -port {vin:rsc:mgc_in_wire.d(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(41)} -port {vin:rsc:mgc_in_wire.d(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(42)} -port {vin:rsc:mgc_in_wire.d(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(43)} -port {vin:rsc:mgc_in_wire.d(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(44)} -port {vin:rsc:mgc_in_wire.d(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(45)} -port {vin:rsc:mgc_in_wire.d(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(46)} -port {vin:rsc:mgc_in_wire.d(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(47)} -port {vin:rsc:mgc_in_wire.d(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(48)} -port {vin:rsc:mgc_in_wire.d(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(49)} -port {vin:rsc:mgc_in_wire.d(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(50)} -port {vin:rsc:mgc_in_wire.d(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(51)} -port {vin:rsc:mgc_in_wire.d(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(52)} -port {vin:rsc:mgc_in_wire.d(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(53)} -port {vin:rsc:mgc_in_wire.d(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(54)} -port {vin:rsc:mgc_in_wire.d(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(55)} -port {vin:rsc:mgc_in_wire.d(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(56)} -port {vin:rsc:mgc_in_wire.d(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(57)} -port {vin:rsc:mgc_in_wire.d(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(58)} -port {vin:rsc:mgc_in_wire.d(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(59)} -port {vin:rsc:mgc_in_wire.d(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(60)} -port {vin:rsc:mgc_in_wire.d(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(61)} -port {vin:rsc:mgc_in_wire.d(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(62)} -port {vin:rsc:mgc_in_wire.d(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(63)} -port {vin:rsc:mgc_in_wire.d(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(64)} -port {vin:rsc:mgc_in_wire.d(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(65)} -port {vin:rsc:mgc_in_wire.d(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(66)} -port {vin:rsc:mgc_in_wire.d(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(67)} -port {vin:rsc:mgc_in_wire.d(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(68)} -port {vin:rsc:mgc_in_wire.d(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(69)} -port {vin:rsc:mgc_in_wire.d(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(70)} -port {vin:rsc:mgc_in_wire.d(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(71)} -port {vin:rsc:mgc_in_wire.d(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(72)} -port {vin:rsc:mgc_in_wire.d(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(73)} -port {vin:rsc:mgc_in_wire.d(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(74)} -port {vin:rsc:mgc_in_wire.d(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(75)} -port {vin:rsc:mgc_in_wire.d(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(76)} -port {vin:rsc:mgc_in_wire.d(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(77)} -port {vin:rsc:mgc_in_wire.d(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(78)} -port {vin:rsc:mgc_in_wire.d(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(79)} -port {vin:rsc:mgc_in_wire.d(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(80)} -port {vin:rsc:mgc_in_wire.d(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(81)} -port {vin:rsc:mgc_in_wire.d(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(82)} -port {vin:rsc:mgc_in_wire.d(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(83)} -port {vin:rsc:mgc_in_wire.d(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(84)} -port {vin:rsc:mgc_in_wire.d(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(85)} -port {vin:rsc:mgc_in_wire.d(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(86)} -port {vin:rsc:mgc_in_wire.d(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(87)} -port {vin:rsc:mgc_in_wire.d(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(88)} -port {vin:rsc:mgc_in_wire.d(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d(89)} -port {vin:rsc:mgc_in_wire.d(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 44452 -attr oid 328 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d
+load netBundle {vout:rsc:mgc_out_stdreg.d} 30 {vout:rsc:mgc_out_stdreg.d(0)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(29)} -attr xrf 44453 -attr oid 329 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -port {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -port {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -port {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -port {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -port {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -port {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -port {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -port {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -port {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -port {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -port {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -port {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -port {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -port {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -port {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -port {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -port {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -port {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -port {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -port {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -port {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -port {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -port {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -port {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -port {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -port {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -port {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -port {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -port {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -port {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load inst "FRAME:acc#38" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 44454 -attr oid 330 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,5)"
+load net {FRAME:acc#37.itm#1(0)} -pin "FRAME:acc#38" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(1)} -pin "FRAME:acc#38" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(2)} -pin "FRAME:acc#38" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(3)} -pin "FRAME:acc#38" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(4)} -pin "FRAME:acc#38" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:slc(acc.imod#9)#4.itm#1} -pin "FRAME:acc#38" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc.itm}
+load net {PWR} -pin "FRAME:acc#38" {B(1)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:acc#38" {B(2)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {GND} -pin "FRAME:acc#38" {B(3)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {PWR} -pin "FRAME:acc#38" {B(4)} -attr @path {/sobel/sobel:core/conc.itm}
+load net {FRAME:acc#38.itm(0)} -pin "FRAME:acc#38" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(1)} -pin "FRAME:acc#38" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(2)} -pin "FRAME:acc#38" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(3)} -pin "FRAME:acc#38" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(4)} -pin "FRAME:acc#38" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load inst "FRAME:acc#39" "add(6,0,5,1,8)" "INTERFACE" -attr xrf 44455 -attr oid 331 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,5,1,8)"
+load net {red:slc(red#2.sg1).itm#1(0)} -pin "FRAME:acc#39" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(1)} -pin "FRAME:acc#39" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(2)} -pin "FRAME:acc#39" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(3)} -pin "FRAME:acc#39" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(4)} -pin "FRAME:acc#39" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(5)} -pin "FRAME:acc#39" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {FRAME:acc#38.itm(0)} -pin "FRAME:acc#39" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(1)} -pin "FRAME:acc#39" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(2)} -pin "FRAME:acc#39" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(3)} -pin "FRAME:acc#39" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#38.itm(4)} -pin "FRAME:acc#39" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#38.itm}
+load net {FRAME:acc#39.itm(0)} -pin "FRAME:acc#39" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(1)} -pin "FRAME:acc#39" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(2)} -pin "FRAME:acc#39" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(3)} -pin "FRAME:acc#39" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(4)} -pin "FRAME:acc#39" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(5)} -pin "FRAME:acc#39" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(6)} -pin "FRAME:acc#39" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(7)} -pin "FRAME:acc#39" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load inst "FRAME:acc#40" "add(9,0,8,1,10)" "INTERFACE" -attr xrf 44456 -attr oid 332 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,1,10)"
+load net {FRAME:mul#1.itm#1(0)} -pin "FRAME:acc#40" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(1)} -pin "FRAME:acc#40" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(2)} -pin "FRAME:acc#40" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(3)} -pin "FRAME:acc#40" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(4)} -pin "FRAME:acc#40" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(5)} -pin "FRAME:acc#40" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(6)} -pin "FRAME:acc#40" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(7)} -pin "FRAME:acc#40" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(8)} -pin "FRAME:acc#40" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:acc#39.itm(0)} -pin "FRAME:acc#40" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(1)} -pin "FRAME:acc#40" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(2)} -pin "FRAME:acc#40" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(3)} -pin "FRAME:acc#40" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(4)} -pin "FRAME:acc#40" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(5)} -pin "FRAME:acc#40" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(6)} -pin "FRAME:acc#40" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#39.itm(7)} -pin "FRAME:acc#40" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#39.itm}
+load net {FRAME:acc#40.itm(0)} -pin "FRAME:acc#40" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(1)} -pin "FRAME:acc#40" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(2)} -pin "FRAME:acc#40" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(3)} -pin "FRAME:acc#40" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(4)} -pin "FRAME:acc#40" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(5)} -pin "FRAME:acc#40" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(6)} -pin "FRAME:acc#40" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(7)} -pin "FRAME:acc#40" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(8)} -pin "FRAME:acc#40" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(9)} -pin "FRAME:acc#40" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load inst "FRAME:acc#2" "add(10,-1,10,-1,10)" "INTERFACE" -attr xrf 44457 -attr oid 333 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2} -attr area 11.241230 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,0,10)"
+load net {FRAME:acc#41.itm#3(0)} -pin "FRAME:acc#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#3(1)} -pin "FRAME:acc#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#3(2)} -pin "FRAME:acc#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#3(3)} -pin "FRAME:acc#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#3(4)} -pin "FRAME:acc#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#3(5)} -pin "FRAME:acc#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#1.sg1(0)} -pin "FRAME:acc#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#1.sg1(1)} -pin "FRAME:acc#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#1.sg2(0)} -pin "FRAME:acc#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#41.itm#1.sg2(1)} -pin "FRAME:acc#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#36.itm}
+load net {FRAME:acc#40.itm(0)} -pin "FRAME:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(1)} -pin "FRAME:acc#2" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(2)} -pin "FRAME:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(3)} -pin "FRAME:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(4)} -pin "FRAME:acc#2" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(5)} -pin "FRAME:acc#2" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(6)} -pin "FRAME:acc#2" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(7)} -pin "FRAME:acc#2" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(8)} -pin "FRAME:acc#2" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#40.itm(9)} -pin "FRAME:acc#2" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#40.itm}
+load net {FRAME:acc#2.itm(0)} -pin "FRAME:acc#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(1)} -pin "FRAME:acc#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(2)} -pin "FRAME:acc#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(3)} -pin "FRAME:acc#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(4)} -pin "FRAME:acc#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(5)} -pin "FRAME:acc#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(6)} -pin "FRAME:acc#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(7)} -pin "FRAME:acc#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(8)} -pin "FRAME:acc#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(9)} -pin "FRAME:acc#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load inst "FRAME:or" "or(2,10)" "INTERFACE" -attr xrf 44458 -attr oid 334 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or} -attr area 7.298324 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(10,2)"
+load net {FRAME:acc#2.itm(0)} -pin "FRAME:or" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(1)} -pin "FRAME:or" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(2)} -pin "FRAME:or" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(3)} -pin "FRAME:or" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(4)} -pin "FRAME:or" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(5)} -pin "FRAME:or" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(6)} -pin "FRAME:or" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(7)} -pin "FRAME:or" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(8)} -pin "FRAME:or" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#2.itm(9)} -pin "FRAME:or" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#2.itm}
+load net {FRAME:acc#3.psp.sva(10)} -pin "FRAME:or" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {FRAME:acc#3.psp.sva(11)} -pin "FRAME:or" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(2)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(3)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(4)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(5)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(6)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(7)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(8)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {GND} -pin "FRAME:or" {A1(9)} -attr @path {/sobel/sobel:core/conc#137.itm}
+load net {FRAME:or.itm(0)} -pin "FRAME:or" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(1)} -pin "FRAME:or" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(2)} -pin "FRAME:or" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(3)} -pin "FRAME:or" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(4)} -pin "FRAME:or" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(5)} -pin "FRAME:or" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(6)} -pin "FRAME:or" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(7)} -pin "FRAME:or" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(8)} -pin "FRAME:or" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load net {FRAME:or.itm(9)} -pin "FRAME:or" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
+load inst "FRAME:or#3" "or(2,6)" "INTERFACE" -attr xrf 44459 -attr oid 335 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3} -attr area 4.378994 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(6,2)"
+load net {FRAME:acc#3.psp.sva(0)} -pin "FRAME:or#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {FRAME:acc#3.psp.sva(1)} -pin "FRAME:or#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {FRAME:acc#3.psp.sva(2)} -pin "FRAME:or#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {FRAME:acc#3.psp.sva(3)} -pin "FRAME:or#3" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {FRAME:acc#3.psp.sva(4)} -pin "FRAME:or#3" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {FRAME:acc#3.psp.sva(5)} -pin "FRAME:or#3" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#3.psp.sva)#2.itm}
+load net {FRAME:acc#4.psp.sva(10)} -pin "FRAME:or#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {FRAME:acc#4.psp.sva(11)} -pin "FRAME:or#3" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {GND} -pin "FRAME:or#3" {A1(2)} -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {GND} -pin "FRAME:or#3" {A1(3)} -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {GND} -pin "FRAME:or#3" {A1(4)} -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {GND} -pin "FRAME:or#3" {A1(5)} -attr @path {/sobel/sobel:core/conc#138.itm}
+load net {FRAME:or#3.itm(0)} -pin "FRAME:or#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(1)} -pin "FRAME:or#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(2)} -pin "FRAME:or#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(3)} -pin "FRAME:or#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(4)} -pin "FRAME:or#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load net {FRAME:or#3.itm(5)} -pin "FRAME:or#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
+load inst "nand" "nand(3,1)" "INTERFACE" -attr @path {/sobel/sobel:core/nand} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,3)"
+load net {exit:FRAME:for#1.sva#2.st#1} -pin "nand" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.sva#2.st#1}
+load net {exit:FRAME:for.lpi#1.dfm.st#1} -pin "nand" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm.st#1}
+load net {main.stage_0#2} -pin "nand" {A2(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load net {nand.itm} -pin "nand" {Z(0)} -attr @path {/sobel/sobel:core/nand.itm}
+load inst "mux" "mux(2,30)" "INTERFACE" -attr xrf 44460 -attr oid 336 -attr vt d -attr @path {/sobel/sobel:core/mux} -attr area 27.583690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(30,1,2)"
+load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "mux" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "mux" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "mux" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "mux" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "mux" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "mux" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "mux" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "mux" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "mux" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "mux" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "mux" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "mux" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "mux" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "mux" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "mux" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "mux" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "mux" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "mux" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "mux" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "mux" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "mux" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "mux" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "mux" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "mux" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "mux" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "mux" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "mux" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "mux" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "mux" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "mux" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {FRAME:acc#4.psp.sva(0)} -pin "mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(1)} -pin "mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(2)} -pin "mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(3)} -pin "mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(4)} -pin "mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(5)} -pin "mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(6)} -pin "mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(7)} -pin "mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(8)} -pin "mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#4.psp.sva(9)} -pin "mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or#3.itm(0)} -pin "mux" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or#3.itm(1)} -pin "mux" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or#3.itm(2)} -pin "mux" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or#3.itm(3)} -pin "mux" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or#3.itm(4)} -pin "mux" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or#3.itm(5)} -pin "mux" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#3.psp.sva(6)} -pin "mux" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#3.psp.sva(7)} -pin "mux" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#3.psp.sva(8)} -pin "mux" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:acc#3.psp.sva(9)} -pin "mux" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(0)} -pin "mux" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(1)} -pin "mux" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(2)} -pin "mux" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(3)} -pin "mux" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(4)} -pin "mux" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(5)} -pin "mux" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(6)} -pin "mux" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(7)} -pin "mux" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(8)} -pin "mux" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {FRAME:or.itm(9)} -pin "mux" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#21.itm}
+load net {nand.itm} -pin "mux" {S(0)} -attr @path {/sobel/sobel:core/nand.itm}
+load net {mux.itm(0)} -pin "mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(1)} -pin "mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(2)} -pin "mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(3)} -pin "mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(4)} -pin "mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(5)} -pin "mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(6)} -pin "mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(7)} -pin "mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(8)} -pin "mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(9)} -pin "mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(10)} -pin "mux" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(11)} -pin "mux" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(12)} -pin "mux" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(13)} -pin "mux" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(14)} -pin "mux" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(15)} -pin "mux" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(16)} -pin "mux" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(17)} -pin "mux" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(18)} -pin "mux" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(19)} -pin "mux" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(20)} -pin "mux" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(21)} -pin "mux" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(22)} -pin "mux" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(23)} -pin "mux" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(24)} -pin "mux" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(25)} -pin "mux" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(26)} -pin "mux" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(27)} -pin "mux" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(28)} -pin "mux" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(29)} -pin "mux" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load inst "reg(vout:rsc:mgc_out_stdreg.d)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 44461 -attr oid 337 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)}
+load net {mux.itm(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {mux.itm(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
+load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
+load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {clk} -attr xrf 44462 -attr oid 338 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
+load inst "FRAME:acc#43" "add(2,-1,1,1,2)" "INTERFACE" -attr xrf 44463 -attr oid 339 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#43} -attr area 3.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,1,2)"
+load net {FRAME:mul.sdt(8)} -pin "FRAME:acc#43" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt).itm}
+load net {FRAME:mul.sdt(9)} -pin "FRAME:acc#43" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt).itm}
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:acc#43" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#3.itm}
+load net {FRAME:acc#43.itm(0)} -pin "FRAME:acc#43" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#43.itm}
+load net {FRAME:acc#43.itm(1)} -pin "FRAME:acc#43" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#43.itm}
+load inst "reg(FRAME:acc#41.itm#1.sg2)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 44464 -attr oid 340 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:acc#41.itm#1.sg2)}
+load net {FRAME:acc#43.itm(0)} -pin "reg(FRAME:acc#41.itm#1.sg2)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#43.itm}
+load net {FRAME:acc#43.itm(1)} -pin "reg(FRAME:acc#41.itm#1.sg2)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#43.itm}
+load net {GND} -pin "reg(FRAME:acc#41.itm#1.sg2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_2}
+load net {GND} -pin "reg(FRAME:acc#41.itm#1.sg2)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_2}
+load net {clk} -pin "reg(FRAME:acc#41.itm#1.sg2)" {clk} -attr xrf 44465 -attr oid 341 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:acc#41.itm#1.sg2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:acc#41.itm#1.sg2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:acc#41.itm#1.sg2(0)} -pin "reg(FRAME:acc#41.itm#1.sg2)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#1.sg2}
+load net {FRAME:acc#41.itm#1.sg2(1)} -pin "reg(FRAME:acc#41.itm#1.sg2)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#1.sg2}
+load inst "reg(FRAME:acc#41.itm#1.sg1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 44466 -attr oid 342 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:acc#41.itm#1.sg1)}
+load net {FRAME:mul.sdt(6)} -pin "reg(FRAME:acc#41.itm#1.sg1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#2.itm}
+load net {FRAME:mul.sdt(7)} -pin "reg(FRAME:acc#41.itm#1.sg1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#2.itm}
+load net {GND} -pin "reg(FRAME:acc#41.itm#1.sg1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_2}
+load net {GND} -pin "reg(FRAME:acc#41.itm#1.sg1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_2}
+load net {clk} -pin "reg(FRAME:acc#41.itm#1.sg1)" {clk} -attr xrf 44467 -attr oid 343 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:acc#41.itm#1.sg1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:acc#41.itm#1.sg1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:acc#41.itm#1.sg1(0)} -pin "reg(FRAME:acc#41.itm#1.sg1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#1.sg1}
+load net {FRAME:acc#41.itm#1.sg1(1)} -pin "reg(FRAME:acc#41.itm#1.sg1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#1.sg1}
+load inst "FRAME:acc#44" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 44468 -attr oid 344 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44} -attr area 6.285690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
+load net {FRAME:mul.sdt(0)} -pin "FRAME:acc#44" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#1.itm}
+load net {FRAME:mul.sdt(1)} -pin "FRAME:acc#44" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#1.itm}
+load net {FRAME:mul.sdt(2)} -pin "FRAME:acc#44" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#1.itm}
+load net {FRAME:mul.sdt(3)} -pin "FRAME:acc#44" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#1.itm}
+load net {FRAME:mul.sdt(4)} -pin "FRAME:acc#44" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:mul.sdt)#1.itm}
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:acc#44" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#3.itm}
+load net {GND} -pin "FRAME:acc#44" {B(1)} -attr @path {/sobel/sobel:core/exs#3.itm}
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:acc#44" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#3.itm}
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:acc#44" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#3.itm}
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:acc#44" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#3.itm}
+load net {FRAME:acc#44.itm(0)} -pin "FRAME:acc#44" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(1)} -pin "FRAME:acc#44" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(2)} -pin "FRAME:acc#44" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(3)} -pin "FRAME:acc#44" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(4)} -pin "FRAME:acc#44" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(5)} -pin "FRAME:acc#44" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load inst "reg(FRAME:acc#41.itm#3)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 44469 -attr oid 345 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:acc#41.itm#3)}
+load net {FRAME:acc#44.itm(0)} -pin "reg(FRAME:acc#41.itm#3)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(1)} -pin "reg(FRAME:acc#41.itm#3)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(2)} -pin "reg(FRAME:acc#41.itm#3)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(3)} -pin "reg(FRAME:acc#41.itm#3)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(4)} -pin "reg(FRAME:acc#41.itm#3)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {FRAME:acc#44.itm(5)} -pin "reg(FRAME:acc#41.itm#3)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#44.itm}
+load net {GND} -pin "reg(FRAME:acc#41.itm#3)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(FRAME:acc#41.itm#3)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(FRAME:acc#41.itm#3)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(FRAME:acc#41.itm#3)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(FRAME:acc#41.itm#3)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(FRAME:acc#41.itm#3)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
+load net {clk} -pin "reg(FRAME:acc#41.itm#3)" {clk} -attr xrf 44470 -attr oid 346 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:acc#41.itm#3)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:acc#41.itm#3)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:acc#41.itm#3(0)} -pin "reg(FRAME:acc#41.itm#3)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load net {FRAME:acc#41.itm#3(1)} -pin "reg(FRAME:acc#41.itm#3)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load net {FRAME:acc#41.itm#3(2)} -pin "reg(FRAME:acc#41.itm#3)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load net {FRAME:acc#41.itm#3(3)} -pin "reg(FRAME:acc#41.itm#3)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load net {FRAME:acc#41.itm#3(4)} -pin "reg(FRAME:acc#41.itm#3)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load net {FRAME:acc#41.itm#3(5)} -pin "reg(FRAME:acc#41.itm#3)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#41.itm#3}
+load inst "FRAME:mul#1" "mul(3,0,6,0,9)" "INTERFACE" -attr xrf 44471 -attr oid 347 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
+load net {ACC2-3:acc#1.itm(10)} -pin "FRAME:mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#13.itm}
+load net {ACC2-3:acc#1.itm(11)} -pin "FRAME:mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#13.itm}
+load net {ACC2-3:acc#1.itm(12)} -pin "FRAME:mul#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#13.itm}
+load net {PWR} -pin "FRAME:mul#1" {B(0)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#1" {B(1)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#1" {B(2)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(3)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(4)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#1" {B(5)} -attr @path {/sobel/sobel:core/C57_6}
+load net {FRAME:mul#1.itm(0)} -pin "FRAME:mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(1)} -pin "FRAME:mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(2)} -pin "FRAME:mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(3)} -pin "FRAME:mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(4)} -pin "FRAME:mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(5)} -pin "FRAME:mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(6)} -pin "FRAME:mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(7)} -pin "FRAME:mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(8)} -pin "FRAME:mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load inst "reg(FRAME:mul#1.itm#1)" "reg(9,1,1,-1,0)" "INTERFACE" -attr xrf 44472 -attr oid 348 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:mul#1.itm#1)}
+load net {FRAME:mul#1.itm(0)} -pin "reg(FRAME:mul#1.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(1)} -pin "reg(FRAME:mul#1.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(2)} -pin "reg(FRAME:mul#1.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(3)} -pin "reg(FRAME:mul#1.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(4)} -pin "reg(FRAME:mul#1.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(5)} -pin "reg(FRAME:mul#1.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(6)} -pin "reg(FRAME:mul#1.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(7)} -pin "reg(FRAME:mul#1.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {FRAME:mul#1.itm(8)} -pin "reg(FRAME:mul#1.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#1.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_9}
+load net {clk} -pin "reg(FRAME:mul#1.itm#1)" {clk} -attr xrf 44473 -attr oid 349 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:mul#1.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:mul#1.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:mul#1.itm#1(0)} -pin "reg(FRAME:mul#1.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(1)} -pin "reg(FRAME:mul#1.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(2)} -pin "reg(FRAME:mul#1.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(3)} -pin "reg(FRAME:mul#1.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(4)} -pin "reg(FRAME:mul#1.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(5)} -pin "reg(FRAME:mul#1.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(6)} -pin "reg(FRAME:mul#1.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(7)} -pin "reg(FRAME:mul#1.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load net {FRAME:mul#1.itm#1(8)} -pin "reg(FRAME:mul#1.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#1.itm#1}
+load inst "reg(red:slc(red#2.sg1).itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 44474 -attr oid 350 -attr vt d -attr @path {/sobel/sobel:core/reg(red:slc(red#2.sg1).itm#1)}
+load net {ACC2-3:acc#1.itm(4)} -pin "reg(red:slc(red#2.sg1).itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#1.itm(5)} -pin "reg(red:slc(red#2.sg1).itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#1.itm(6)} -pin "reg(red:slc(red#2.sg1).itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#1.itm(7)} -pin "reg(red:slc(red#2.sg1).itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#1.itm(8)} -pin "reg(red:slc(red#2.sg1).itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#1.itm(9)} -pin "reg(red:slc(red#2.sg1).itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#1.itm}
+load net {GND} -pin "reg(red:slc(red#2.sg1).itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(red:slc(red#2.sg1).itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(red:slc(red#2.sg1).itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(red:slc(red#2.sg1).itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(red:slc(red#2.sg1).itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(red:slc(red#2.sg1).itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
+load net {clk} -pin "reg(red:slc(red#2.sg1).itm#1)" {clk} -attr xrf 44475 -attr oid 351 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(red:slc(red#2.sg1).itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(red:slc(red#2.sg1).itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {red:slc(red#2.sg1).itm#1(0)} -pin "reg(red:slc(red#2.sg1).itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(1)} -pin "reg(red:slc(red#2.sg1).itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(2)} -pin "reg(red:slc(red#2.sg1).itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(3)} -pin "reg(red:slc(red#2.sg1).itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(4)} -pin "reg(red:slc(red#2.sg1).itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load net {red:slc(red#2.sg1).itm#1(5)} -pin "reg(red:slc(red#2.sg1).itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/red:slc(red#2.sg1).itm#1}
+load inst "FRAME:not#7" "not(1)" "INTERFACE" -attr xrf 44476 -attr oid 352 -attr @path {/sobel/sobel:core/FRAME:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#9.sva(5)} -pin "FRAME:not#7" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#6.itm}
+load net {FRAME:not#7.itm} -pin "FRAME:not#7" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#7.itm}
+load inst "FRAME:not#5" "not(3)" "INTERFACE" -attr xrf 44477 -attr oid 353 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {acc.imod#9.sva(3)} -pin "FRAME:not#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#2.itm}
+load net {acc.imod#9.sva(4)} -pin "FRAME:not#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#2.itm}
+load net {acc.imod#9.sva(5)} -pin "FRAME:not#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#2.itm}
+load net {FRAME:not#5.itm(0)} -pin "FRAME:not#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {FRAME:not#5.itm(1)} -pin "FRAME:not#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load net {FRAME:not#5.itm(2)} -pin "FRAME:not#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#5.itm}
+load inst "FRAME:not#4" "not(1)" "INTERFACE" -attr xrf 44478 -attr oid 354 -attr @path {/sobel/sobel:core/FRAME:not#4} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#9.sva(5)} -pin "FRAME:not#4" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#3.itm}
+load net {FRAME:not#4.itm} -pin "FRAME:not#4" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#4.itm}
+load inst "FRAME:acc#42" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 44479 -attr oid 355 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#42} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,5)"
+load net {PWR} -pin "FRAME:acc#42" {A(0)} -attr @path {/sobel/sobel:core/conc#142.itm}
+load net {acc.imod#9.sva(0)} -pin "FRAME:acc#42" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc#142.itm}
+load net {acc.imod#9.sva(1)} -pin "FRAME:acc#42" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#142.itm}
+load net {acc.imod#9.sva(2)} -pin "FRAME:acc#42" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/conc#142.itm}
+load net {PWR} -pin "FRAME:acc#42" {A(4)} -attr @path {/sobel/sobel:core/conc#142.itm}
+load net {FRAME:not#4.itm} -pin "FRAME:acc#42" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#33.itm}
+load net {FRAME:not#5.itm(0)} -pin "FRAME:acc#42" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#33.itm}
+load net {FRAME:not#5.itm(1)} -pin "FRAME:acc#42" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#33.itm}
+load net {FRAME:not#5.itm(2)} -pin "FRAME:acc#42" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#33.itm}
+load net {FRAME:acc#42.itm(0)} -pin "FRAME:acc#42" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#42.itm}
+load net {FRAME:acc#42.itm(1)} -pin "FRAME:acc#42" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#42.itm}
+load net {FRAME:acc#42.itm(2)} -pin "FRAME:acc#42" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#42.itm}
+load net {FRAME:acc#42.itm(3)} -pin "FRAME:acc#42" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#42.itm}
+load net {FRAME:acc#42.itm(4)} -pin "FRAME:acc#42" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#42.itm}
+load inst "FRAME:not#39" "not(1)" "INTERFACE" -attr xrf 44480 -attr oid 356 -attr vt c -attr @path {/sobel/sobel:core/FRAME:not#39} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc#42.itm(4)} -pin "FRAME:not#39" {A(0)} -attr vt c -attr @path {/sobel/sobel:core/FRAME:slc#7.itm}
+load net {FRAME:not#39.itm} -pin "FRAME:not#39" {Z(0)} -attr vt c -attr @path {/sobel/sobel:core/FRAME:not#39.itm}
+load inst "FRAME:acc#36" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 44481 -attr oid 357 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {FRAME:not#39.itm} -pin "FRAME:acc#36" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/conc#141.itm}
+load net {PWR} -pin "FRAME:acc#36" {A(1)} -attr @path {/sobel/sobel:core/conc#141.itm}
+load net {FRAME:not#7.itm} -pin "FRAME:acc#36" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#141.itm}
+load net {acc.imod#9.sva(3)} -pin "FRAME:acc#36" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#4.itm}
+load net {acc.imod#9.sva(4)} -pin "FRAME:acc#36" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva)#4.itm}
+load net {FRAME:acc#36.itm(0)} -pin "FRAME:acc#36" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:acc#36.itm(1)} -pin "FRAME:acc#36" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:acc#36.itm(2)} -pin "FRAME:acc#36" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:acc#36.itm(3)} -pin "FRAME:acc#36" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load inst "FRAME:not#6" "not(3)" "INTERFACE" -attr xrf 44482 -attr oid 358 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#1.itm(7)} -pin "FRAME:not#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#8.itm}
+load net {ACC2-3:acc#1.itm(8)} -pin "FRAME:not#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#8.itm}
+load net {ACC2-3:acc#1.itm(9)} -pin "FRAME:not#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#8.itm}
+load net {FRAME:not#6.itm(0)} -pin "FRAME:not#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(1)} -pin "FRAME:not#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(2)} -pin "FRAME:not#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load inst "FRAME:acc#37" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 44483 -attr oid 359 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#36.itm(0)} -pin "FRAME:acc#37" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:acc#36.itm(1)} -pin "FRAME:acc#37" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:acc#36.itm(2)} -pin "FRAME:acc#37" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:acc#36.itm(3)} -pin "FRAME:acc#37" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#36.itm}
+load net {FRAME:not#6.itm(0)} -pin "FRAME:acc#37" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(1)} -pin "FRAME:acc#37" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:not#6.itm(2)} -pin "FRAME:acc#37" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#6.itm}
+load net {FRAME:acc#37.itm(0)} -pin "FRAME:acc#37" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(1)} -pin "FRAME:acc#37" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(2)} -pin "FRAME:acc#37" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(3)} -pin "FRAME:acc#37" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(4)} -pin "FRAME:acc#37" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load inst "reg(FRAME:acc#37.itm#1)" "reg(5,1,1,-1,0)" "INTERFACE" -attr xrf 44484 -attr oid 360 -attr vt dc -attr @path {/sobel/sobel:core/reg(FRAME:acc#37.itm#1)}
+load net {FRAME:acc#37.itm(0)} -pin "reg(FRAME:acc#37.itm#1)" {D(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(1)} -pin "reg(FRAME:acc#37.itm#1)" {D(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(2)} -pin "reg(FRAME:acc#37.itm#1)" {D(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(3)} -pin "reg(FRAME:acc#37.itm#1)" {D(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {FRAME:acc#37.itm(4)} -pin "reg(FRAME:acc#37.itm#1)" {D(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#37.itm}
+load net {GND} -pin "reg(FRAME:acc#37.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#37.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#37.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#37.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#37.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_5}
+load net {clk} -pin "reg(FRAME:acc#37.itm#1)" {clk} -attr xrf 44485 -attr oid 361 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:acc#37.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:acc#37.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:acc#37.itm#1(0)} -pin "reg(FRAME:acc#37.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(1)} -pin "reg(FRAME:acc#37.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(2)} -pin "reg(FRAME:acc#37.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(3)} -pin "reg(FRAME:acc#37.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load net {FRAME:acc#37.itm#1(4)} -pin "reg(FRAME:acc#37.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#37.itm#1}
+load inst "reg(FRAME:slc(acc.imod#9)#4.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44486 -attr oid 362 -attr @path {/sobel/sobel:core/reg(FRAME:slc(acc.imod#9)#4.itm#1)}
+load net {acc.imod#9.sva(5)} -pin "reg(FRAME:slc(acc.imod#9)#4.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#9.sva).itm}
+load net {GND} -pin "reg(FRAME:slc(acc.imod#9)#4.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(FRAME:slc(acc.imod#9)#4.itm#1)" {clk} -attr xrf 44487 -attr oid 363 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:slc(acc.imod#9)#4.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:slc(acc.imod#9)#4.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:slc(acc.imod#9)#4.itm#1} -pin "reg(FRAME:slc(acc.imod#9)#4.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:slc(acc.imod#9)#4.itm#1}
+load inst "FRAME:mul#4" "mul(2,0,9,0,11)" "INTERFACE" -attr xrf 44488 -attr oid 364 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
+load net {ACC2-3:acc#3.itm(13)} -pin "FRAME:mul#4" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#10.itm}
+load net {ACC2-3:acc#3.itm(14)} -pin "FRAME:mul#4" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#10.itm}
+load net {PWR} -pin "FRAME:mul#4" {B(0)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#4" {B(1)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#4" {B(2)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul#4" {B(3)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul#4" {B(4)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul#4" {B(5)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#4" {B(6)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#4" {B(7)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#4" {B(8)} -attr @path {/sobel/sobel:core/C455_9}
+load net {FRAME:mul#4.itm(0)} -pin "FRAME:mul#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(1)} -pin "FRAME:mul#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(2)} -pin "FRAME:mul#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(3)} -pin "FRAME:mul#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(4)} -pin "FRAME:mul#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(5)} -pin "FRAME:mul#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(6)} -pin "FRAME:mul#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(7)} -pin "FRAME:mul#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(8)} -pin "FRAME:mul#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(9)} -pin "FRAME:mul#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(10)} -pin "FRAME:mul#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load inst "reg(FRAME:mul#4.itm#1)" "reg(11,1,1,-1,0)" "INTERFACE" -attr xrf 44489 -attr oid 365 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:mul#4.itm#1)}
+load net {FRAME:mul#4.itm(0)} -pin "reg(FRAME:mul#4.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(1)} -pin "reg(FRAME:mul#4.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(2)} -pin "reg(FRAME:mul#4.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(3)} -pin "reg(FRAME:mul#4.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(4)} -pin "reg(FRAME:mul#4.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(5)} -pin "reg(FRAME:mul#4.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(6)} -pin "reg(FRAME:mul#4.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(7)} -pin "reg(FRAME:mul#4.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(8)} -pin "reg(FRAME:mul#4.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(9)} -pin "reg(FRAME:mul#4.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {FRAME:mul#4.itm(10)} -pin "reg(FRAME:mul#4.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#4.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_11}
+load net {clk} -pin "reg(FRAME:mul#4.itm#1)" {clk} -attr xrf 44490 -attr oid 366 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:mul#4.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:mul#4.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:mul#4.itm#1(0)} -pin "reg(FRAME:mul#4.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(1)} -pin "reg(FRAME:mul#4.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(2)} -pin "reg(FRAME:mul#4.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(3)} -pin "reg(FRAME:mul#4.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(4)} -pin "reg(FRAME:mul#4.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(5)} -pin "reg(FRAME:mul#4.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(6)} -pin "reg(FRAME:mul#4.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(7)} -pin "reg(FRAME:mul#4.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(8)} -pin "reg(FRAME:mul#4.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(9)} -pin "reg(FRAME:mul#4.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(10)} -pin "reg(FRAME:mul#4.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load inst "FRAME:mul#5" "mul(3,0,6,0,9)" "INTERFACE" -attr xrf 44491 -attr oid 367 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
+load net {ACC2-3:acc#3.itm(10)} -pin "FRAME:mul#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#11.itm}
+load net {ACC2-3:acc#3.itm(11)} -pin "FRAME:mul#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#11.itm}
+load net {ACC2-3:acc#3.itm(12)} -pin "FRAME:mul#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#11.itm}
+load net {PWR} -pin "FRAME:mul#5" {B(0)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#5" {B(1)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#5" {B(2)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#5" {B(3)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#5" {B(4)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#5" {B(5)} -attr @path {/sobel/sobel:core/C57_6}
+load net {FRAME:mul#5.itm(0)} -pin "FRAME:mul#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(1)} -pin "FRAME:mul#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(2)} -pin "FRAME:mul#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(3)} -pin "FRAME:mul#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(4)} -pin "FRAME:mul#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(5)} -pin "FRAME:mul#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(6)} -pin "FRAME:mul#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(7)} -pin "FRAME:mul#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(8)} -pin "FRAME:mul#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load inst "reg(FRAME:mul#5.itm#1)" "reg(9,1,1,-1,0)" "INTERFACE" -attr xrf 44492 -attr oid 368 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:mul#5.itm#1)}
+load net {FRAME:mul#5.itm(0)} -pin "reg(FRAME:mul#5.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(1)} -pin "reg(FRAME:mul#5.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(2)} -pin "reg(FRAME:mul#5.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(3)} -pin "reg(FRAME:mul#5.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(4)} -pin "reg(FRAME:mul#5.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(5)} -pin "reg(FRAME:mul#5.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(6)} -pin "reg(FRAME:mul#5.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(7)} -pin "reg(FRAME:mul#5.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {FRAME:mul#5.itm(8)} -pin "reg(FRAME:mul#5.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#5.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_9}
+load net {clk} -pin "reg(FRAME:mul#5.itm#1)" {clk} -attr xrf 44493 -attr oid 369 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:mul#5.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:mul#5.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:mul#5.itm#1(0)} -pin "reg(FRAME:mul#5.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(1)} -pin "reg(FRAME:mul#5.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(2)} -pin "reg(FRAME:mul#5.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(3)} -pin "reg(FRAME:mul#5.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(4)} -pin "reg(FRAME:mul#5.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(5)} -pin "reg(FRAME:mul#5.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(6)} -pin "reg(FRAME:mul#5.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(7)} -pin "reg(FRAME:mul#5.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(8)} -pin "reg(FRAME:mul#5.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load inst "reg(blue:slc(blue#2.sg1).itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 44494 -attr oid 370 -attr vt d -attr @path {/sobel/sobel:core/reg(blue:slc(blue#2.sg1).itm#1)}
+load net {ACC2-3:acc#3.itm(4)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#3.itm(5)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#3.itm(6)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#3.itm(7)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#3.itm(8)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#3.itm(9)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#2.itm}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
+load net {clk} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {clk} -attr xrf 44495 -attr oid 371 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {blue:slc(blue#2.sg1).itm#1(0)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(1)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(2)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(3)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(4)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(5)} -pin "reg(blue:slc(blue#2.sg1).itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load inst "FRAME:not#23" "not(1)" "INTERFACE" -attr xrf 44496 -attr oid 372 -attr @path {/sobel/sobel:core/FRAME:not#23} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#13.sva(5)} -pin "FRAME:not#23" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#6.itm}
+load net {FRAME:not#23.itm} -pin "FRAME:not#23" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#23.itm}
+load inst "FRAME:not#21" "not(3)" "INTERFACE" -attr xrf 44497 -attr oid 373 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {acc.imod#13.sva(3)} -pin "FRAME:not#21" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#2.itm}
+load net {acc.imod#13.sva(4)} -pin "FRAME:not#21" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#2.itm}
+load net {acc.imod#13.sva(5)} -pin "FRAME:not#21" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#2.itm}
+load net {FRAME:not#21.itm(0)} -pin "FRAME:not#21" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
+load net {FRAME:not#21.itm(1)} -pin "FRAME:not#21" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
+load net {FRAME:not#21.itm(2)} -pin "FRAME:not#21" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
+load inst "FRAME:not#20" "not(1)" "INTERFACE" -attr xrf 44498 -attr oid 374 -attr @path {/sobel/sobel:core/FRAME:not#20} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#13.sva(5)} -pin "FRAME:not#20" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#3.itm}
+load net {FRAME:not#20.itm} -pin "FRAME:not#20" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#20.itm}
+load inst "FRAME:acc#35" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 44499 -attr oid 375 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#35} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,5)"
+load net {PWR} -pin "FRAME:acc#35" {A(0)} -attr @path {/sobel/sobel:core/conc#144.itm}
+load net {acc.imod#13.sva(0)} -pin "FRAME:acc#35" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#144.itm}
+load net {acc.imod#13.sva(1)} -pin "FRAME:acc#35" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#144.itm}
+load net {acc.imod#13.sva(2)} -pin "FRAME:acc#35" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#144.itm}
+load net {PWR} -pin "FRAME:acc#35" {A(4)} -attr @path {/sobel/sobel:core/conc#144.itm}
+load net {FRAME:not#20.itm} -pin "FRAME:acc#35" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
+load net {FRAME:not#21.itm(0)} -pin "FRAME:acc#35" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
+load net {FRAME:not#21.itm(1)} -pin "FRAME:acc#35" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
+load net {FRAME:not#21.itm(2)} -pin "FRAME:acc#35" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
+load net {FRAME:acc#35.itm(0)} -pin "FRAME:acc#35" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#35.itm}
+load net {FRAME:acc#35.itm(1)} -pin "FRAME:acc#35" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#35.itm}
+load net {FRAME:acc#35.itm(2)} -pin "FRAME:acc#35" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#35.itm}
+load net {FRAME:acc#35.itm(3)} -pin "FRAME:acc#35" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#35.itm}
+load net {FRAME:acc#35.itm(4)} -pin "FRAME:acc#35" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#35.itm}
+load inst "FRAME:not#41" "not(1)" "INTERFACE" -attr xrf 44500 -attr oid 376 -attr @path {/sobel/sobel:core/FRAME:not#41} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc#35.itm(4)} -pin "FRAME:not#41" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc#6.itm}
+load net {FRAME:not#41.itm} -pin "FRAME:not#41" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#41.itm}
+load inst "FRAME:acc#29" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 44501 -attr oid 377 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {FRAME:not#41.itm} -pin "FRAME:acc#29" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#143.itm}
+load net {PWR} -pin "FRAME:acc#29" {A(1)} -attr @path {/sobel/sobel:core/conc#143.itm}
+load net {FRAME:not#23.itm} -pin "FRAME:acc#29" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#143.itm}
+load net {acc.imod#13.sva(3)} -pin "FRAME:acc#29" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#4.itm}
+load net {acc.imod#13.sva(4)} -pin "FRAME:acc#29" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva)#4.itm}
+load net {FRAME:acc#29.itm(0)} -pin "FRAME:acc#29" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:acc#29.itm(1)} -pin "FRAME:acc#29" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:acc#29.itm(2)} -pin "FRAME:acc#29" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:acc#29.itm(3)} -pin "FRAME:acc#29" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load inst "FRAME:not#22" "not(3)" "INTERFACE" -attr xrf 44502 -attr oid 378 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#3.itm(7)} -pin "FRAME:not#22" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#9.itm}
+load net {ACC2-3:acc#3.itm(8)} -pin "FRAME:not#22" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#9.itm}
+load net {ACC2-3:acc#3.itm(9)} -pin "FRAME:not#22" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#9.itm}
+load net {FRAME:not#22.itm(0)} -pin "FRAME:not#22" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load net {FRAME:not#22.itm(1)} -pin "FRAME:not#22" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load net {FRAME:not#22.itm(2)} -pin "FRAME:not#22" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load inst "FRAME:acc#30" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 44503 -attr oid 379 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#29.itm(0)} -pin "FRAME:acc#30" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:acc#29.itm(1)} -pin "FRAME:acc#30" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:acc#29.itm(2)} -pin "FRAME:acc#30" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:acc#29.itm(3)} -pin "FRAME:acc#30" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#29.itm}
+load net {FRAME:not#22.itm(0)} -pin "FRAME:acc#30" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load net {FRAME:not#22.itm(1)} -pin "FRAME:acc#30" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load net {FRAME:not#22.itm(2)} -pin "FRAME:acc#30" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#22.itm}
+load net {FRAME:acc#30.itm(0)} -pin "FRAME:acc#30" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(1)} -pin "FRAME:acc#30" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(2)} -pin "FRAME:acc#30" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(3)} -pin "FRAME:acc#30" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(4)} -pin "FRAME:acc#30" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load inst "reg(FRAME:acc#30.itm#1)" "reg(5,1,1,-1,0)" "INTERFACE" -attr xrf 44504 -attr oid 380 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:acc#30.itm#1)}
+load net {FRAME:acc#30.itm(0)} -pin "reg(FRAME:acc#30.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(1)} -pin "reg(FRAME:acc#30.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(2)} -pin "reg(FRAME:acc#30.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(3)} -pin "reg(FRAME:acc#30.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {FRAME:acc#30.itm(4)} -pin "reg(FRAME:acc#30.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm}
+load net {GND} -pin "reg(FRAME:acc#30.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#30.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#30.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#30.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#30.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_5}
+load net {clk} -pin "reg(FRAME:acc#30.itm#1)" {clk} -attr xrf 44505 -attr oid 381 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:acc#30.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:acc#30.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:acc#30.itm#1(0)} -pin "reg(FRAME:acc#30.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(1)} -pin "reg(FRAME:acc#30.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(2)} -pin "reg(FRAME:acc#30.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(3)} -pin "reg(FRAME:acc#30.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(4)} -pin "reg(FRAME:acc#30.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load inst "reg(FRAME:slc(acc.imod#13)#4.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44506 -attr oid 382 -attr @path {/sobel/sobel:core/reg(FRAME:slc(acc.imod#13)#4.itm#1)}
+load net {acc.imod#13.sva(5)} -pin "reg(FRAME:slc(acc.imod#13)#4.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#13.sva).itm}
+load net {GND} -pin "reg(FRAME:slc(acc.imod#13)#4.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(FRAME:slc(acc.imod#13)#4.itm#1)" {clk} -attr xrf 44507 -attr oid 383 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:slc(acc.imod#13)#4.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:slc(acc.imod#13)#4.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:slc(acc.imod#13)#4.itm#1} -pin "reg(FRAME:slc(acc.imod#13)#4.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:slc(acc.imod#13)#4.itm#1}
+load inst "reg(blue:slc(blue#2.sg1)#12.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44508 -attr oid 384 -attr @path {/sobel/sobel:core/reg(blue:slc(blue#2.sg1)#12.itm#1)}
+load net {ACC2-3:acc#3.itm(15)} -pin "reg(blue:slc(blue#2.sg1)#12.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva).itm}
+load net {GND} -pin "reg(blue:slc(blue#2.sg1)#12.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(blue:slc(blue#2.sg1)#12.itm#1)" {clk} -attr xrf 44509 -attr oid 385 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(blue:slc(blue#2.sg1)#12.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(blue:slc(blue#2.sg1)#12.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "reg(blue:slc(blue#2.sg1)#12.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1)#12.itm#1}
+load inst "FRAME:mul#2" "mul(2,0,9,0,11)" "INTERFACE" -attr xrf 44510 -attr oid 386 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
+load net {ACC2-3:acc#2.itm(13)} -pin "FRAME:mul#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#10.itm}
+load net {ACC2-3:acc#2.itm(14)} -pin "FRAME:mul#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#10.itm}
+load net {PWR} -pin "FRAME:mul#2" {B(0)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#2" {B(1)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#2" {B(2)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul#2" {B(3)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul#2" {B(4)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul#2" {B(5)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#2" {B(6)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#2" {B(7)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul#2" {B(8)} -attr @path {/sobel/sobel:core/C455_9}
+load net {FRAME:mul#2.itm(0)} -pin "FRAME:mul#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(1)} -pin "FRAME:mul#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(2)} -pin "FRAME:mul#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(3)} -pin "FRAME:mul#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(4)} -pin "FRAME:mul#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(5)} -pin "FRAME:mul#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(6)} -pin "FRAME:mul#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(7)} -pin "FRAME:mul#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(8)} -pin "FRAME:mul#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(9)} -pin "FRAME:mul#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(10)} -pin "FRAME:mul#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load inst "reg(FRAME:mul#2.itm#1)" "reg(11,1,1,-1,0)" "INTERFACE" -attr xrf 44511 -attr oid 387 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:mul#2.itm#1)}
+load net {FRAME:mul#2.itm(0)} -pin "reg(FRAME:mul#2.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(1)} -pin "reg(FRAME:mul#2.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(2)} -pin "reg(FRAME:mul#2.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(3)} -pin "reg(FRAME:mul#2.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(4)} -pin "reg(FRAME:mul#2.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(5)} -pin "reg(FRAME:mul#2.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(6)} -pin "reg(FRAME:mul#2.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(7)} -pin "reg(FRAME:mul#2.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(8)} -pin "reg(FRAME:mul#2.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(9)} -pin "reg(FRAME:mul#2.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {FRAME:mul#2.itm(10)} -pin "reg(FRAME:mul#2.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_11}
+load net {GND} -pin "reg(FRAME:mul#2.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_11}
+load net {clk} -pin "reg(FRAME:mul#2.itm#1)" {clk} -attr xrf 44512 -attr oid 388 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:mul#2.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:mul#2.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:mul#2.itm#1(0)} -pin "reg(FRAME:mul#2.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(1)} -pin "reg(FRAME:mul#2.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(2)} -pin "reg(FRAME:mul#2.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(3)} -pin "reg(FRAME:mul#2.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(4)} -pin "reg(FRAME:mul#2.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(5)} -pin "reg(FRAME:mul#2.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(6)} -pin "reg(FRAME:mul#2.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(7)} -pin "reg(FRAME:mul#2.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(8)} -pin "reg(FRAME:mul#2.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(9)} -pin "reg(FRAME:mul#2.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(10)} -pin "reg(FRAME:mul#2.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load inst "FRAME:mul#3" "mul(3,0,6,0,9)" "INTERFACE" -attr xrf 44513 -attr oid 389 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
+load net {ACC2-3:acc#2.itm(10)} -pin "FRAME:mul#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#11.itm}
+load net {ACC2-3:acc#2.itm(11)} -pin "FRAME:mul#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#11.itm}
+load net {ACC2-3:acc#2.itm(12)} -pin "FRAME:mul#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#11.itm}
+load net {PWR} -pin "FRAME:mul#3" {B(0)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#3" {B(1)} -attr @path {/sobel/sobel:core/C57_6}
+load net {GND} -pin "FRAME:mul#3" {B(2)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#3" {B(3)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#3" {B(4)} -attr @path {/sobel/sobel:core/C57_6}
+load net {PWR} -pin "FRAME:mul#3" {B(5)} -attr @path {/sobel/sobel:core/C57_6}
+load net {FRAME:mul#3.itm(0)} -pin "FRAME:mul#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(1)} -pin "FRAME:mul#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(2)} -pin "FRAME:mul#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(3)} -pin "FRAME:mul#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(4)} -pin "FRAME:mul#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(5)} -pin "FRAME:mul#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(6)} -pin "FRAME:mul#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(7)} -pin "FRAME:mul#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(8)} -pin "FRAME:mul#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load inst "reg(FRAME:mul#3.itm#1)" "reg(9,1,1,-1,0)" "INTERFACE" -attr xrf 44514 -attr oid 390 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:mul#3.itm#1)}
+load net {FRAME:mul#3.itm(0)} -pin "reg(FRAME:mul#3.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(1)} -pin "reg(FRAME:mul#3.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(2)} -pin "reg(FRAME:mul#3.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(3)} -pin "reg(FRAME:mul#3.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(4)} -pin "reg(FRAME:mul#3.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(5)} -pin "reg(FRAME:mul#3.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(6)} -pin "reg(FRAME:mul#3.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(7)} -pin "reg(FRAME:mul#3.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {FRAME:mul#3.itm(8)} -pin "reg(FRAME:mul#3.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_9}
+load net {GND} -pin "reg(FRAME:mul#3.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_9}
+load net {clk} -pin "reg(FRAME:mul#3.itm#1)" {clk} -attr xrf 44515 -attr oid 391 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:mul#3.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:mul#3.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:mul#3.itm#1(0)} -pin "reg(FRAME:mul#3.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(1)} -pin "reg(FRAME:mul#3.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(2)} -pin "reg(FRAME:mul#3.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(3)} -pin "reg(FRAME:mul#3.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(4)} -pin "reg(FRAME:mul#3.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(5)} -pin "reg(FRAME:mul#3.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(6)} -pin "reg(FRAME:mul#3.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(7)} -pin "reg(FRAME:mul#3.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(8)} -pin "reg(FRAME:mul#3.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load inst "reg(green:slc(green#2.sg1).itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 44516 -attr oid 392 -attr vt d -attr @path {/sobel/sobel:core/reg(green:slc(green#2.sg1).itm#1)}
+load net {ACC2-3:acc#2.itm(4)} -pin "reg(green:slc(green#2.sg1).itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#2.itm(5)} -pin "reg(green:slc(green#2.sg1).itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#2.itm(6)} -pin "reg(green:slc(green#2.sg1).itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#2.itm(7)} -pin "reg(green:slc(green#2.sg1).itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#2.itm(8)} -pin "reg(green:slc(green#2.sg1).itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#2.itm(9)} -pin "reg(green:slc(green#2.sg1).itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#2.itm}
+load net {GND} -pin "reg(green:slc(green#2.sg1).itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(green:slc(green#2.sg1).itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(green:slc(green#2.sg1).itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(green:slc(green#2.sg1).itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(green:slc(green#2.sg1).itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
+load net {GND} -pin "reg(green:slc(green#2.sg1).itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
+load net {clk} -pin "reg(green:slc(green#2.sg1).itm#1)" {clk} -attr xrf 44517 -attr oid 393 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(green:slc(green#2.sg1).itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(green:slc(green#2.sg1).itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {green:slc(green#2.sg1).itm#1(0)} -pin "reg(green:slc(green#2.sg1).itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(1)} -pin "reg(green:slc(green#2.sg1).itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(2)} -pin "reg(green:slc(green#2.sg1).itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(3)} -pin "reg(green:slc(green#2.sg1).itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(4)} -pin "reg(green:slc(green#2.sg1).itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(5)} -pin "reg(green:slc(green#2.sg1).itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load inst "FRAME:not#15" "not(1)" "INTERFACE" -attr xrf 44518 -attr oid 394 -attr @path {/sobel/sobel:core/FRAME:not#15} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#11.sva(5)} -pin "FRAME:not#15" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#6.itm}
+load net {FRAME:not#15.itm} -pin "FRAME:not#15" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#15.itm}
+load inst "FRAME:not#13" "not(3)" "INTERFACE" -attr xrf 44519 -attr oid 395 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#13} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {acc.imod#11.sva(3)} -pin "FRAME:not#13" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#2.itm}
+load net {acc.imod#11.sva(4)} -pin "FRAME:not#13" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#2.itm}
+load net {acc.imod#11.sva(5)} -pin "FRAME:not#13" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#2.itm}
+load net {FRAME:not#13.itm(0)} -pin "FRAME:not#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#13.itm}
+load net {FRAME:not#13.itm(1)} -pin "FRAME:not#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#13.itm}
+load net {FRAME:not#13.itm(2)} -pin "FRAME:not#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#13.itm}
+load inst "FRAME:not#12" "not(1)" "INTERFACE" -attr xrf 44520 -attr oid 396 -attr @path {/sobel/sobel:core/FRAME:not#12} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {acc.imod#11.sva(5)} -pin "FRAME:not#12" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#3.itm}
+load net {FRAME:not#12.itm} -pin "FRAME:not#12" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#12.itm}
+load inst "FRAME:acc#23" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 44521 -attr oid 397 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#23} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,5)"
+load net {PWR} -pin "FRAME:acc#23" {A(0)} -attr @path {/sobel/sobel:core/conc#146.itm}
+load net {acc.imod#11.sva(0)} -pin "FRAME:acc#23" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#146.itm}
+load net {acc.imod#11.sva(1)} -pin "FRAME:acc#23" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#146.itm}
+load net {acc.imod#11.sva(2)} -pin "FRAME:acc#23" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#146.itm}
+load net {PWR} -pin "FRAME:acc#23" {A(4)} -attr @path {/sobel/sobel:core/conc#146.itm}
+load net {FRAME:not#12.itm} -pin "FRAME:acc#23" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#25.itm}
+load net {FRAME:not#13.itm(0)} -pin "FRAME:acc#23" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#25.itm}
+load net {FRAME:not#13.itm(1)} -pin "FRAME:acc#23" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#25.itm}
+load net {FRAME:not#13.itm(2)} -pin "FRAME:acc#23" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#25.itm}
+load net {FRAME:acc#23.itm(0)} -pin "FRAME:acc#23" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#23.itm}
+load net {FRAME:acc#23.itm(1)} -pin "FRAME:acc#23" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#23.itm}
+load net {FRAME:acc#23.itm(2)} -pin "FRAME:acc#23" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#23.itm}
+load net {FRAME:acc#23.itm(3)} -pin "FRAME:acc#23" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#23.itm}
+load net {FRAME:acc#23.itm(4)} -pin "FRAME:acc#23" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#23.itm}
+load inst "FRAME:not#43" "not(1)" "INTERFACE" -attr xrf 44522 -attr oid 398 -attr @path {/sobel/sobel:core/FRAME:not#43} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc#23.itm(4)} -pin "FRAME:not#43" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc#5.itm}
+load net {FRAME:not#43.itm} -pin "FRAME:not#43" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#43.itm}
+load inst "FRAME:acc#17" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 44523 -attr oid 399 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {FRAME:not#43.itm} -pin "FRAME:acc#17" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#145.itm}
+load net {PWR} -pin "FRAME:acc#17" {A(1)} -attr @path {/sobel/sobel:core/conc#145.itm}
+load net {FRAME:not#15.itm} -pin "FRAME:acc#17" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#145.itm}
+load net {acc.imod#11.sva(3)} -pin "FRAME:acc#17" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#4.itm}
+load net {acc.imod#11.sva(4)} -pin "FRAME:acc#17" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva)#4.itm}
+load net {FRAME:acc#17.itm(0)} -pin "FRAME:acc#17" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:acc#17.itm(1)} -pin "FRAME:acc#17" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:acc#17.itm(2)} -pin "FRAME:acc#17" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:acc#17.itm(3)} -pin "FRAME:acc#17" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load inst "FRAME:not#14" "not(3)" "INTERFACE" -attr xrf 44524 -attr oid 400 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#2.itm(7)} -pin "FRAME:not#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#9.itm}
+load net {ACC2-3:acc#2.itm(8)} -pin "FRAME:not#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#9.itm}
+load net {ACC2-3:acc#2.itm(9)} -pin "FRAME:not#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#9.itm}
+load net {FRAME:not#14.itm(0)} -pin "FRAME:not#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load net {FRAME:not#14.itm(1)} -pin "FRAME:not#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load net {FRAME:not#14.itm(2)} -pin "FRAME:not#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load inst "FRAME:acc#18" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 44525 -attr oid 401 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#17.itm(0)} -pin "FRAME:acc#18" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:acc#17.itm(1)} -pin "FRAME:acc#18" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:acc#17.itm(2)} -pin "FRAME:acc#18" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:acc#17.itm(3)} -pin "FRAME:acc#18" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
+load net {FRAME:not#14.itm(0)} -pin "FRAME:acc#18" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load net {FRAME:not#14.itm(1)} -pin "FRAME:acc#18" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load net {FRAME:not#14.itm(2)} -pin "FRAME:acc#18" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#14.itm}
+load net {FRAME:acc#18.itm(0)} -pin "FRAME:acc#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(1)} -pin "FRAME:acc#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(2)} -pin "FRAME:acc#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(3)} -pin "FRAME:acc#18" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(4)} -pin "FRAME:acc#18" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load inst "reg(FRAME:acc#18.itm#1)" "reg(5,1,1,-1,0)" "INTERFACE" -attr xrf 44526 -attr oid 402 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:acc#18.itm#1)}
+load net {FRAME:acc#18.itm(0)} -pin "reg(FRAME:acc#18.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(1)} -pin "reg(FRAME:acc#18.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(2)} -pin "reg(FRAME:acc#18.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(3)} -pin "reg(FRAME:acc#18.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {FRAME:acc#18.itm(4)} -pin "reg(FRAME:acc#18.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
+load net {GND} -pin "reg(FRAME:acc#18.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#18.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#18.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#18.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_5}
+load net {GND} -pin "reg(FRAME:acc#18.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_5}
+load net {clk} -pin "reg(FRAME:acc#18.itm#1)" {clk} -attr xrf 44527 -attr oid 403 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:acc#18.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:acc#18.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:acc#18.itm#1(0)} -pin "reg(FRAME:acc#18.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(1)} -pin "reg(FRAME:acc#18.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(2)} -pin "reg(FRAME:acc#18.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(3)} -pin "reg(FRAME:acc#18.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(4)} -pin "reg(FRAME:acc#18.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load inst "reg(FRAME:slc(acc.imod#11)#4.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44528 -attr oid 404 -attr @path {/sobel/sobel:core/reg(FRAME:slc(acc.imod#11)#4.itm#1)}
+load net {acc.imod#11.sva(5)} -pin "reg(FRAME:slc(acc.imod#11)#4.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#11.sva).itm}
+load net {GND} -pin "reg(FRAME:slc(acc.imod#11)#4.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(FRAME:slc(acc.imod#11)#4.itm#1)" {clk} -attr xrf 44529 -attr oid 405 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:slc(acc.imod#11)#4.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:slc(acc.imod#11)#4.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:slc(acc.imod#11)#4.itm#1} -pin "reg(FRAME:slc(acc.imod#11)#4.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:slc(acc.imod#11)#4.itm#1}
+load inst "reg(green:slc(green#2.sg1)#12.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44530 -attr oid 406 -attr @path {/sobel/sobel:core/reg(green:slc(green#2.sg1)#12.itm#1)}
+load net {ACC2-3:acc#2.itm(15)} -pin "reg(green:slc(green#2.sg1)#12.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva).itm}
+load net {GND} -pin "reg(green:slc(green#2.sg1)#12.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(green:slc(green#2.sg1)#12.itm#1)" {clk} -attr xrf 44531 -attr oid 407 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(green:slc(green#2.sg1)#12.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(green:slc(green#2.sg1)#12.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "reg(green:slc(green#2.sg1)#12.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/green:slc(green#2.sg1)#12.itm#1}
+load inst "FRAME:for#1:not#7" "not(1)" "INTERFACE" -attr xrf 44532 -attr oid 408 -attr @path {/sobel/sobel:core/FRAME:for#1:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:for#1:acc.itm(1)} -pin "FRAME:for#1:not#7" {A(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:slc.itm}
+load net {FRAME:for#1:not#7.itm} -pin "FRAME:for#1:not#7" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#7.itm}
+load inst "reg(exit:FRAME:for#1.sva#2.st#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44533 -attr oid 409 -attr @path {/sobel/sobel:core/reg(exit:FRAME:for#1.sva#2.st#1)}
+load net {FRAME:for#1:not#7.itm} -pin "reg(exit:FRAME:for#1.sva#2.st#1)" {D(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#7.itm}
+load net {GND} -pin "reg(exit:FRAME:for#1.sva#2.st#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(exit:FRAME:for#1.sva#2.st#1)" {clk} -attr xrf 44534 -attr oid 410 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME:for#1.sva#2.st#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME:for#1.sva#2.st#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME:for#1.sva#2.st#1} -pin "reg(exit:FRAME:for#1.sva#2.st#1)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.sva#2.st#1}
+load inst "reg(exit:FRAME:for.lpi#1.dfm.st#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44535 -attr oid 411 -attr @path {/sobel/sobel:core/reg(exit:FRAME:for.lpi#1.dfm.st#1)}
+load net {exit:FRAME:for.lpi#1.dfm} -pin "reg(exit:FRAME:for.lpi#1.dfm.st#1)" {D(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm}
+load net {GND} -pin "reg(exit:FRAME:for.lpi#1.dfm.st#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(exit:FRAME:for.lpi#1.dfm.st#1)" {clk} -attr xrf 44536 -attr oid 412 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME:for.lpi#1.dfm.st#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME:for.lpi#1.dfm.st#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME:for.lpi#1.dfm.st#1} -pin "reg(exit:FRAME:for.lpi#1.dfm.st#1)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm.st#1}
+load inst "FRAME:for:and#13" "and(2,2)" "INTERFACE" -attr xrf 44537 -attr oid 413 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#13} -attr area 1.459665 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(2,2)"
+load net {i#7.lpi#1(0)} -pin "FRAME:for:and#13" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "FRAME:for:and#13" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:and#13" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#30.itm}
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:and#13" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#30.itm}
+load net {FRAME:for:and#13.itm(0)} -pin "FRAME:for:and#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#13.itm}
+load net {FRAME:for:and#13.itm(1)} -pin "FRAME:for:and#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#13.itm}
+load inst "mux#1" "mux(2,2)" "INTERFACE" -attr xrf 44538 -attr oid 414 -attr vt d -attr @path {/sobel/sobel:core/mux#1} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {FRAME:for:and#13.itm(0)} -pin "mux#1" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#13.itm}
+load net {FRAME:for:and#13.itm(1)} -pin "mux#1" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#13.itm}
+load net {i#7.sva(0)} -pin "mux#1" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load net {i#7.sva(1)} -pin "mux#1" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load net {or#4.cse} -pin "mux#1" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#1.itm(0)} -pin "mux#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(1)} -pin "mux#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load inst "reg(i#7.lpi#1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 44539 -attr oid 415 -attr vt d -attr @path {/sobel/sobel:core/reg(i#7.lpi#1)}
+load net {mux#1.itm(0)} -pin "reg(i#7.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {mux#1.itm(1)} -pin "reg(i#7.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#1.itm}
+load net {GND} -pin "reg(i#7.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_2}
+load net {GND} -pin "reg(i#7.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_2}
+load net {clk} -pin "reg(i#7.lpi#1)" {clk} -attr xrf 44540 -attr oid 416 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(i#7.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(i#7.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {i#7.lpi#1(0)} -pin "reg(i#7.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "reg(i#7.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load inst "FRAME:for:not" "not(1)" "INTERFACE" -attr xrf 44541 -attr oid 417 -attr @path {/sobel/sobel:core/FRAME:for:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:not" {A(0)} -attr @path {/sobel/sobel:core/xor_cse#2}
+load net {FRAME:for:not.itm} -pin "FRAME:for:not" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not.itm}
+load inst "mux#2" "mux(2,1)" "INTERFACE" -attr xrf 44542 -attr oid 418 -attr @path {/sobel/sobel:core/mux#2} -attr area 0.920423 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(1,1,2)"
+load net {FRAME:for:not.itm} -pin "mux#2" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:not.itm}
+load net {exit:FRAME:for.lpi#1.dfm} -pin "mux#2" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm}
+load net {or#4.cse} -pin "mux#2" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#2.itm} -pin "mux#2" {Z(0)} -attr @path {/sobel/sobel:core/mux#2.itm}
+load inst "reg(exit:FRAME:for.lpi#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44543 -attr oid 419 -attr @path {/sobel/sobel:core/reg(exit:FRAME:for.lpi#1)}
+load net {mux#2.itm} -pin "reg(exit:FRAME:for.lpi#1)" {D(0)} -attr @path {/sobel/sobel:core/mux#2.itm}
+load net {GND} -pin "reg(exit:FRAME:for.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(exit:FRAME:for.lpi#1)" {clk} -attr xrf 44544 -attr oid 420 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME:for.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME:for.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME:for.lpi#1} -pin "reg(exit:FRAME:for.lpi#1)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1}
+load inst "reg(exit:FRAME:for#1.lpi#1.dfm#4)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44545 -attr oid 421 -attr @path {/sobel/sobel:core/reg(exit:FRAME:for#1.lpi#1.dfm#4)}
+load net {exit:FRAME:for#1.lpi#1.dfm#4:mx0} -pin "reg(exit:FRAME:for#1.lpi#1.dfm#4)" {D(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4:mx0}
+load net {GND} -pin "reg(exit:FRAME:for#1.lpi#1.dfm#4)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(exit:FRAME:for#1.lpi#1.dfm#4)" {clk} -attr xrf 44546 -attr oid 422 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME:for#1.lpi#1.dfm#4)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME:for#1.lpi#1.dfm#4)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME:for#1.lpi#1.dfm#4} -pin "reg(exit:FRAME:for#1.lpi#1.dfm#4)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4}
+load inst "FRAME:and" "and(2,1)" "INTERFACE" -attr xrf 44547 -attr oid 423 -attr @path {/sobel/sobel:core/FRAME:and} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {exit:FRAME:for#1.lpi#1.dfm#4:mx0} -pin "FRAME:and" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4:mx0}
+load net {exit:FRAME.lpi#1.dfm#2:mx0} -pin "FRAME:and" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#2:mx0}
+load net {FRAME:and.itm} -pin "FRAME:and" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:and.itm}
+load inst "reg(exit:FRAME#1.sva)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44548 -attr oid 424 -attr @path {/sobel/sobel:core/reg(exit:FRAME#1.sva)}
+load net {FRAME:and.itm} -pin "reg(exit:FRAME#1.sva)" {D(0)} -attr @path {/sobel/sobel:core/FRAME:and.itm}
+load net {PWR} -pin "reg(exit:FRAME#1.sva)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1_Not}
+load net {clk} -pin "reg(exit:FRAME#1.sva)" {clk} -attr xrf 44549 -attr oid 425 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME#1.sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME#1.sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME#1.sva} -pin "reg(exit:FRAME#1.sva)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load inst "reg(main.stage_0#2)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44550 -attr oid 426 -attr @path {/sobel/sobel:core/reg(main.stage_0#2)}
+load net {PWR} -pin "reg(main.stage_0#2)" {D(0)} -attr @path {/sobel/sobel:core/Cn1_1#4}
+load net {GND} -pin "reg(main.stage_0#2)" {DRa(0)} -attr @path {/sobel/sobel:core/Cn2_2#8}
+load net {clk} -pin "reg(main.stage_0#2)" {clk} -attr xrf 44551 -attr oid 427 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(main.stage_0#2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(main.stage_0#2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {main.stage_0#2} -pin "reg(main.stage_0#2)" {Z(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
+load inst "reg(regs.regs(2).lpi#1.dfm)" "reg(90,1,1,-1,0)" "INTERFACE" -attr xrf 44552 -attr oid 428 -attr vt dc -attr @path {/sobel/sobel:core/reg(regs.regs(2).lpi#1.dfm)}
+load net {regs.regs(2).lpi#1.dfm:mx0(0)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(1)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(2)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(3)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(4)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(5)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(6)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(7)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(8)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(9)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(10)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(11)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(12)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(13)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(14)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(15)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(16)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(17)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(18)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(19)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(20)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(21)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(22)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(23)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(24)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(25)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(26)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(27)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(28)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(29)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(30)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(31)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(32)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(33)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(34)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(35)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(36)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(37)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(38)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(39)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(40)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(41)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(42)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(43)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(44)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(45)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(46)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(47)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(48)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(49)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(50)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(51)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(52)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(53)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(54)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(55)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(56)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(57)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(58)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(59)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(60)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(61)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(62)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(63)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(64)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(65)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(66)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(67)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(68)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(69)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(70)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(71)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(72)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(73)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(74)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(75)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(76)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(77)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(78)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(79)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(80)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(81)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(82)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(83)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(84)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(85)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(86)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(87)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(88)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(89)} -pin "reg(regs.regs(2).lpi#1.dfm)" {D(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(30)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(31)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(32)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(33)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(34)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(35)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(36)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(37)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(38)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(39)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(40)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(41)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(42)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(43)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(44)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(45)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(46)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(47)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(48)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(49)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(50)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(51)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(52)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(53)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(54)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(55)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(56)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(57)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(58)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(59)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(60)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(61)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(62)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(63)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(64)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(65)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(66)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(67)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(68)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(69)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(70)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(71)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(72)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(73)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(74)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(75)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(76)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(77)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(78)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(79)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(80)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(81)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(82)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(83)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(84)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(85)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(86)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(87)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(88)} -attr @path {/sobel/sobel:core/C0_90}
+load net {GND} -pin "reg(regs.regs(2).lpi#1.dfm)" {DRa(89)} -attr @path {/sobel/sobel:core/C0_90}
+load net {clk} -pin "reg(regs.regs(2).lpi#1.dfm)" {clk} -attr xrf 44553 -attr oid 429 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(2).lpi#1.dfm)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(2).lpi#1.dfm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(2).lpi#1.dfm(0)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(1)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(2)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(3)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(4)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(5)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(6)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(7)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(8)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(9)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(10)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(11)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(12)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(13)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(14)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(15)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(15)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(16)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(16)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(17)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(17)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(18)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(18)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(19)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(19)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(20)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(20)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(21)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(21)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(22)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(22)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(23)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(23)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(24)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(24)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(25)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(25)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(26)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(26)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(27)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(27)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(28)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(28)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(29)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(29)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(30)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(30)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(31)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(31)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(32)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(32)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(33)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(33)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(34)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(34)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(35)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(35)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(36)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(36)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(37)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(37)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(38)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(38)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(39)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(39)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(40)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(40)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(41)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(41)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(42)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(42)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(43)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(43)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(44)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(44)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(45)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(45)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(46)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(46)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(47)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(47)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(48)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(48)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(49)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(49)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(50)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(50)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(51)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(51)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(52)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(52)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(53)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(53)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(54)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(54)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(55)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(55)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(56)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(56)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(57)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(57)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(58)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(58)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(59)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(59)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(60)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(60)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(61)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(61)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(62)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(62)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(63)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(63)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(64)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(64)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(65)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(65)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(66)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(66)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(67)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(67)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(68)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(68)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(69)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(69)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(70)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(70)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(71)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(71)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(72)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(72)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(73)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(73)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(74)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(74)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(75)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(75)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(76)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(76)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(77)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(77)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(78)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(78)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(79)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(79)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(80)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(80)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(81)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(81)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(82)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(82)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(83)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(83)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(84)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(84)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(85)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(85)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(86)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(86)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(87)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(87)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(88)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(88)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(89)} -pin "reg(regs.regs(2).lpi#1.dfm)" {Z(89)} -attr vt dc -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load inst "reg(regs.regs(1).sva)" "reg(90,1,1,-1,0)" "INTERFACE" -attr xrf 44554 -attr oid 430 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(1).sva)}
+load net {regs.regs(1).sva.dfm:mx0(0)} -pin "reg(regs.regs(1).sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(1)} -pin "reg(regs.regs(1).sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(2)} -pin "reg(regs.regs(1).sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(3)} -pin "reg(regs.regs(1).sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(4)} -pin "reg(regs.regs(1).sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(5)} -pin "reg(regs.regs(1).sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(6)} -pin "reg(regs.regs(1).sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(7)} -pin "reg(regs.regs(1).sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(8)} -pin "reg(regs.regs(1).sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(9)} -pin "reg(regs.regs(1).sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(10)} -pin "reg(regs.regs(1).sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(11)} -pin "reg(regs.regs(1).sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(12)} -pin "reg(regs.regs(1).sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(13)} -pin "reg(regs.regs(1).sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(14)} -pin "reg(regs.regs(1).sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(15)} -pin "reg(regs.regs(1).sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(16)} -pin "reg(regs.regs(1).sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(17)} -pin "reg(regs.regs(1).sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(18)} -pin "reg(regs.regs(1).sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(19)} -pin "reg(regs.regs(1).sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(20)} -pin "reg(regs.regs(1).sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(21)} -pin "reg(regs.regs(1).sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(22)} -pin "reg(regs.regs(1).sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(23)} -pin "reg(regs.regs(1).sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(24)} -pin "reg(regs.regs(1).sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(25)} -pin "reg(regs.regs(1).sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(26)} -pin "reg(regs.regs(1).sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(27)} -pin "reg(regs.regs(1).sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(28)} -pin "reg(regs.regs(1).sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(29)} -pin "reg(regs.regs(1).sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(30)} -pin "reg(regs.regs(1).sva)" {D(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(31)} -pin "reg(regs.regs(1).sva)" {D(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(32)} -pin "reg(regs.regs(1).sva)" {D(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(33)} -pin "reg(regs.regs(1).sva)" {D(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(34)} -pin "reg(regs.regs(1).sva)" {D(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(35)} -pin "reg(regs.regs(1).sva)" {D(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(36)} -pin "reg(regs.regs(1).sva)" {D(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(37)} -pin "reg(regs.regs(1).sva)" {D(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(38)} -pin "reg(regs.regs(1).sva)" {D(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(39)} -pin "reg(regs.regs(1).sva)" {D(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(40)} -pin "reg(regs.regs(1).sva)" {D(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(41)} -pin "reg(regs.regs(1).sva)" {D(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(42)} -pin "reg(regs.regs(1).sva)" {D(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(43)} -pin "reg(regs.regs(1).sva)" {D(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(44)} -pin "reg(regs.regs(1).sva)" {D(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(45)} -pin "reg(regs.regs(1).sva)" {D(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(46)} -pin "reg(regs.regs(1).sva)" {D(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(47)} -pin "reg(regs.regs(1).sva)" {D(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(48)} -pin "reg(regs.regs(1).sva)" {D(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(49)} -pin "reg(regs.regs(1).sva)" {D(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(50)} -pin "reg(regs.regs(1).sva)" {D(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(51)} -pin "reg(regs.regs(1).sva)" {D(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(52)} -pin "reg(regs.regs(1).sva)" {D(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(53)} -pin "reg(regs.regs(1).sva)" {D(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(54)} -pin "reg(regs.regs(1).sva)" {D(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(55)} -pin "reg(regs.regs(1).sva)" {D(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(56)} -pin "reg(regs.regs(1).sva)" {D(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(57)} -pin "reg(regs.regs(1).sva)" {D(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(58)} -pin "reg(regs.regs(1).sva)" {D(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(59)} -pin "reg(regs.regs(1).sva)" {D(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(60)} -pin "reg(regs.regs(1).sva)" {D(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(61)} -pin "reg(regs.regs(1).sva)" {D(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(62)} -pin "reg(regs.regs(1).sva)" {D(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(63)} -pin "reg(regs.regs(1).sva)" {D(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(64)} -pin "reg(regs.regs(1).sva)" {D(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(65)} -pin "reg(regs.regs(1).sva)" {D(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(66)} -pin "reg(regs.regs(1).sva)" {D(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(67)} -pin "reg(regs.regs(1).sva)" {D(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(68)} -pin "reg(regs.regs(1).sva)" {D(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(69)} -pin "reg(regs.regs(1).sva)" {D(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(70)} -pin "reg(regs.regs(1).sva)" {D(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(71)} -pin "reg(regs.regs(1).sva)" {D(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(72)} -pin "reg(regs.regs(1).sva)" {D(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(73)} -pin "reg(regs.regs(1).sva)" {D(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(74)} -pin "reg(regs.regs(1).sva)" {D(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(75)} -pin "reg(regs.regs(1).sva)" {D(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(76)} -pin "reg(regs.regs(1).sva)" {D(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(77)} -pin "reg(regs.regs(1).sva)" {D(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(78)} -pin "reg(regs.regs(1).sva)" {D(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(79)} -pin "reg(regs.regs(1).sva)" {D(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(80)} -pin "reg(regs.regs(1).sva)" {D(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(81)} -pin "reg(regs.regs(1).sva)" {D(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(82)} -pin "reg(regs.regs(1).sva)" {D(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(83)} -pin "reg(regs.regs(1).sva)" {D(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(84)} -pin "reg(regs.regs(1).sva)" {D(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(85)} -pin "reg(regs.regs(1).sva)" {D(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(86)} -pin "reg(regs.regs(1).sva)" {D(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(87)} -pin "reg(regs.regs(1).sva)" {D(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(88)} -pin "reg(regs.regs(1).sva)" {D(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(89)} -pin "reg(regs.regs(1).sva)" {D(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(10)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(11)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(12)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(13)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(14)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(15)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(16)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(17)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(18)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(19)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(20)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(21)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(22)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(23)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(24)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(25)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(26)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(27)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(28)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(29)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(30)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(31)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(32)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(33)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(34)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(35)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(36)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(37)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(38)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(39)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(40)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(41)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(42)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(43)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(44)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(45)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(46)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(47)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(48)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(49)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(50)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(51)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(52)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(53)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(54)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(55)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(56)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(57)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(58)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(59)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(60)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(61)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(62)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(63)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(64)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(65)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(66)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(67)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(68)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(69)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(70)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(71)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(72)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(73)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(74)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(75)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(76)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(77)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(78)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(79)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(80)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(81)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(82)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(83)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(84)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(85)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(86)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(87)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(88)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {GND} -pin "reg(regs.regs(1).sva)" {DRa(89)} -attr @path {/sobel/sobel:core/regs.regs_decl#2}
+load net {clk} -pin "reg(regs.regs(1).sva)" {clk} -attr xrf 44555 -attr oid 431 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(1).sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(1).sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(1).sva(0)} -pin "reg(regs.regs(1).sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(1)} -pin "reg(regs.regs(1).sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(2)} -pin "reg(regs.regs(1).sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(3)} -pin "reg(regs.regs(1).sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(4)} -pin "reg(regs.regs(1).sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(5)} -pin "reg(regs.regs(1).sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(6)} -pin "reg(regs.regs(1).sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(7)} -pin "reg(regs.regs(1).sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(8)} -pin "reg(regs.regs(1).sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(9)} -pin "reg(regs.regs(1).sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(10)} -pin "reg(regs.regs(1).sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(11)} -pin "reg(regs.regs(1).sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(12)} -pin "reg(regs.regs(1).sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(13)} -pin "reg(regs.regs(1).sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(14)} -pin "reg(regs.regs(1).sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(15)} -pin "reg(regs.regs(1).sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(16)} -pin "reg(regs.regs(1).sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(17)} -pin "reg(regs.regs(1).sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(18)} -pin "reg(regs.regs(1).sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(19)} -pin "reg(regs.regs(1).sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(20)} -pin "reg(regs.regs(1).sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(21)} -pin "reg(regs.regs(1).sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(22)} -pin "reg(regs.regs(1).sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(23)} -pin "reg(regs.regs(1).sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(24)} -pin "reg(regs.regs(1).sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(25)} -pin "reg(regs.regs(1).sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(26)} -pin "reg(regs.regs(1).sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(27)} -pin "reg(regs.regs(1).sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(28)} -pin "reg(regs.regs(1).sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(29)} -pin "reg(regs.regs(1).sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(30)} -pin "reg(regs.regs(1).sva)" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(31)} -pin "reg(regs.regs(1).sva)" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(32)} -pin "reg(regs.regs(1).sva)" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(33)} -pin "reg(regs.regs(1).sva)" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(34)} -pin "reg(regs.regs(1).sva)" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(35)} -pin "reg(regs.regs(1).sva)" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(36)} -pin "reg(regs.regs(1).sva)" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(37)} -pin "reg(regs.regs(1).sva)" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(38)} -pin "reg(regs.regs(1).sva)" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(39)} -pin "reg(regs.regs(1).sva)" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(40)} -pin "reg(regs.regs(1).sva)" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(41)} -pin "reg(regs.regs(1).sva)" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(42)} -pin "reg(regs.regs(1).sva)" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(43)} -pin "reg(regs.regs(1).sva)" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(44)} -pin "reg(regs.regs(1).sva)" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(45)} -pin "reg(regs.regs(1).sva)" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(46)} -pin "reg(regs.regs(1).sva)" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(47)} -pin "reg(regs.regs(1).sva)" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(48)} -pin "reg(regs.regs(1).sva)" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(49)} -pin "reg(regs.regs(1).sva)" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(50)} -pin "reg(regs.regs(1).sva)" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(51)} -pin "reg(regs.regs(1).sva)" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(52)} -pin "reg(regs.regs(1).sva)" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(53)} -pin "reg(regs.regs(1).sva)" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(54)} -pin "reg(regs.regs(1).sva)" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(55)} -pin "reg(regs.regs(1).sva)" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(56)} -pin "reg(regs.regs(1).sva)" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(57)} -pin "reg(regs.regs(1).sva)" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(58)} -pin "reg(regs.regs(1).sva)" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(59)} -pin "reg(regs.regs(1).sva)" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(60)} -pin "reg(regs.regs(1).sva)" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(61)} -pin "reg(regs.regs(1).sva)" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(62)} -pin "reg(regs.regs(1).sva)" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(63)} -pin "reg(regs.regs(1).sva)" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(64)} -pin "reg(regs.regs(1).sva)" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(65)} -pin "reg(regs.regs(1).sva)" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(66)} -pin "reg(regs.regs(1).sva)" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(67)} -pin "reg(regs.regs(1).sva)" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(68)} -pin "reg(regs.regs(1).sva)" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(69)} -pin "reg(regs.regs(1).sva)" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(70)} -pin "reg(regs.regs(1).sva)" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(71)} -pin "reg(regs.regs(1).sva)" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(72)} -pin "reg(regs.regs(1).sva)" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(73)} -pin "reg(regs.regs(1).sva)" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(74)} -pin "reg(regs.regs(1).sva)" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(75)} -pin "reg(regs.regs(1).sva)" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(76)} -pin "reg(regs.regs(1).sva)" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(77)} -pin "reg(regs.regs(1).sva)" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(78)} -pin "reg(regs.regs(1).sva)" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(79)} -pin "reg(regs.regs(1).sva)" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(80)} -pin "reg(regs.regs(1).sva)" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(81)} -pin "reg(regs.regs(1).sva)" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(82)} -pin "reg(regs.regs(1).sva)" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(83)} -pin "reg(regs.regs(1).sva)" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(84)} -pin "reg(regs.regs(1).sva)" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(85)} -pin "reg(regs.regs(1).sva)" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(86)} -pin "reg(regs.regs(1).sva)" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(87)} -pin "reg(regs.regs(1).sva)" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(88)} -pin "reg(regs.regs(1).sva)" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(89)} -pin "reg(regs.regs(1).sva)" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load inst "reg(regs.regs(0).sva)" "reg(90,1,1,-1,0)" "INTERFACE" -attr xrf 44556 -attr oid 432 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(0).sva)}
+load net {regs.regs(0).sva.dfm:mx0(0)} -pin "reg(regs.regs(0).sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(1)} -pin "reg(regs.regs(0).sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(2)} -pin "reg(regs.regs(0).sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(3)} -pin "reg(regs.regs(0).sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(4)} -pin "reg(regs.regs(0).sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(5)} -pin "reg(regs.regs(0).sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(6)} -pin "reg(regs.regs(0).sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(7)} -pin "reg(regs.regs(0).sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(8)} -pin "reg(regs.regs(0).sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(9)} -pin "reg(regs.regs(0).sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(10)} -pin "reg(regs.regs(0).sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(11)} -pin "reg(regs.regs(0).sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(12)} -pin "reg(regs.regs(0).sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(13)} -pin "reg(regs.regs(0).sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(14)} -pin "reg(regs.regs(0).sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(15)} -pin "reg(regs.regs(0).sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(16)} -pin "reg(regs.regs(0).sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(17)} -pin "reg(regs.regs(0).sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(18)} -pin "reg(regs.regs(0).sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(19)} -pin "reg(regs.regs(0).sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(20)} -pin "reg(regs.regs(0).sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(21)} -pin "reg(regs.regs(0).sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(22)} -pin "reg(regs.regs(0).sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(23)} -pin "reg(regs.regs(0).sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(24)} -pin "reg(regs.regs(0).sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(25)} -pin "reg(regs.regs(0).sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(26)} -pin "reg(regs.regs(0).sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(27)} -pin "reg(regs.regs(0).sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(28)} -pin "reg(regs.regs(0).sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(29)} -pin "reg(regs.regs(0).sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(30)} -pin "reg(regs.regs(0).sva)" {D(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(31)} -pin "reg(regs.regs(0).sva)" {D(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(32)} -pin "reg(regs.regs(0).sva)" {D(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(33)} -pin "reg(regs.regs(0).sva)" {D(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(34)} -pin "reg(regs.regs(0).sva)" {D(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(35)} -pin "reg(regs.regs(0).sva)" {D(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(36)} -pin "reg(regs.regs(0).sva)" {D(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(37)} -pin "reg(regs.regs(0).sva)" {D(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(38)} -pin "reg(regs.regs(0).sva)" {D(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(39)} -pin "reg(regs.regs(0).sva)" {D(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(40)} -pin "reg(regs.regs(0).sva)" {D(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(41)} -pin "reg(regs.regs(0).sva)" {D(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(42)} -pin "reg(regs.regs(0).sva)" {D(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(43)} -pin "reg(regs.regs(0).sva)" {D(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(44)} -pin "reg(regs.regs(0).sva)" {D(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(45)} -pin "reg(regs.regs(0).sva)" {D(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(46)} -pin "reg(regs.regs(0).sva)" {D(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(47)} -pin "reg(regs.regs(0).sva)" {D(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(48)} -pin "reg(regs.regs(0).sva)" {D(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(49)} -pin "reg(regs.regs(0).sva)" {D(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(50)} -pin "reg(regs.regs(0).sva)" {D(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(51)} -pin "reg(regs.regs(0).sva)" {D(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(52)} -pin "reg(regs.regs(0).sva)" {D(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(53)} -pin "reg(regs.regs(0).sva)" {D(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(54)} -pin "reg(regs.regs(0).sva)" {D(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(55)} -pin "reg(regs.regs(0).sva)" {D(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(56)} -pin "reg(regs.regs(0).sva)" {D(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(57)} -pin "reg(regs.regs(0).sva)" {D(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(58)} -pin "reg(regs.regs(0).sva)" {D(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(59)} -pin "reg(regs.regs(0).sva)" {D(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(60)} -pin "reg(regs.regs(0).sva)" {D(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(61)} -pin "reg(regs.regs(0).sva)" {D(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(62)} -pin "reg(regs.regs(0).sva)" {D(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(63)} -pin "reg(regs.regs(0).sva)" {D(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(64)} -pin "reg(regs.regs(0).sva)" {D(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(65)} -pin "reg(regs.regs(0).sva)" {D(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(66)} -pin "reg(regs.regs(0).sva)" {D(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(67)} -pin "reg(regs.regs(0).sva)" {D(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(68)} -pin "reg(regs.regs(0).sva)" {D(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(69)} -pin "reg(regs.regs(0).sva)" {D(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(70)} -pin "reg(regs.regs(0).sva)" {D(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(71)} -pin "reg(regs.regs(0).sva)" {D(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(72)} -pin "reg(regs.regs(0).sva)" {D(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(73)} -pin "reg(regs.regs(0).sva)" {D(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(74)} -pin "reg(regs.regs(0).sva)" {D(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(75)} -pin "reg(regs.regs(0).sva)" {D(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(76)} -pin "reg(regs.regs(0).sva)" {D(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(77)} -pin "reg(regs.regs(0).sva)" {D(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(78)} -pin "reg(regs.regs(0).sva)" {D(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(79)} -pin "reg(regs.regs(0).sva)" {D(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(80)} -pin "reg(regs.regs(0).sva)" {D(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(81)} -pin "reg(regs.regs(0).sva)" {D(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(82)} -pin "reg(regs.regs(0).sva)" {D(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(83)} -pin "reg(regs.regs(0).sva)" {D(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(84)} -pin "reg(regs.regs(0).sva)" {D(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(85)} -pin "reg(regs.regs(0).sva)" {D(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(86)} -pin "reg(regs.regs(0).sva)" {D(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(87)} -pin "reg(regs.regs(0).sva)" {D(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(88)} -pin "reg(regs.regs(0).sva)" {D(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(89)} -pin "reg(regs.regs(0).sva)" {D(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(10)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(11)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(12)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(13)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(14)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(15)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(16)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(17)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(18)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(19)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(20)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(21)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(22)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(23)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(24)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(25)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(26)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(27)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(28)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(29)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(30)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(31)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(32)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(33)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(34)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(35)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(36)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(37)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(38)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(39)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(40)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(41)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(42)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(43)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(44)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(45)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(46)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(47)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(48)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(49)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(50)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(51)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(52)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(53)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(54)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(55)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(56)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(57)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(58)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(59)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(60)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(61)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(62)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(63)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(64)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(65)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(66)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(67)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(68)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(69)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(70)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(71)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(72)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(73)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(74)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(75)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(76)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(77)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(78)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(79)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(80)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(81)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(82)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(83)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(84)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(85)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(86)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(87)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(88)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {GND} -pin "reg(regs.regs(0).sva)" {DRa(89)} -attr @path {/sobel/sobel:core/regs.regs_decl#3}
+load net {clk} -pin "reg(regs.regs(0).sva)" {clk} -attr xrf 44557 -attr oid 433 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(regs.regs(0).sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(regs.regs(0).sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {regs.regs(0).sva(0)} -pin "reg(regs.regs(0).sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(1)} -pin "reg(regs.regs(0).sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(2)} -pin "reg(regs.regs(0).sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(3)} -pin "reg(regs.regs(0).sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(4)} -pin "reg(regs.regs(0).sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(5)} -pin "reg(regs.regs(0).sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(6)} -pin "reg(regs.regs(0).sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(7)} -pin "reg(regs.regs(0).sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(8)} -pin "reg(regs.regs(0).sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(9)} -pin "reg(regs.regs(0).sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(10)} -pin "reg(regs.regs(0).sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(11)} -pin "reg(regs.regs(0).sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(12)} -pin "reg(regs.regs(0).sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(13)} -pin "reg(regs.regs(0).sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(14)} -pin "reg(regs.regs(0).sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(15)} -pin "reg(regs.regs(0).sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(16)} -pin "reg(regs.regs(0).sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(17)} -pin "reg(regs.regs(0).sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(18)} -pin "reg(regs.regs(0).sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(19)} -pin "reg(regs.regs(0).sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(20)} -pin "reg(regs.regs(0).sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(21)} -pin "reg(regs.regs(0).sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(22)} -pin "reg(regs.regs(0).sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(23)} -pin "reg(regs.regs(0).sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(24)} -pin "reg(regs.regs(0).sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(25)} -pin "reg(regs.regs(0).sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(26)} -pin "reg(regs.regs(0).sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(27)} -pin "reg(regs.regs(0).sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(28)} -pin "reg(regs.regs(0).sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(29)} -pin "reg(regs.regs(0).sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(30)} -pin "reg(regs.regs(0).sva)" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(31)} -pin "reg(regs.regs(0).sva)" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(32)} -pin "reg(regs.regs(0).sva)" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(33)} -pin "reg(regs.regs(0).sva)" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(34)} -pin "reg(regs.regs(0).sva)" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(35)} -pin "reg(regs.regs(0).sva)" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(36)} -pin "reg(regs.regs(0).sva)" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(37)} -pin "reg(regs.regs(0).sva)" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(38)} -pin "reg(regs.regs(0).sva)" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(39)} -pin "reg(regs.regs(0).sva)" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(40)} -pin "reg(regs.regs(0).sva)" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(41)} -pin "reg(regs.regs(0).sva)" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(42)} -pin "reg(regs.regs(0).sva)" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(43)} -pin "reg(regs.regs(0).sva)" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(44)} -pin "reg(regs.regs(0).sva)" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(45)} -pin "reg(regs.regs(0).sva)" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(46)} -pin "reg(regs.regs(0).sva)" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(47)} -pin "reg(regs.regs(0).sva)" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(48)} -pin "reg(regs.regs(0).sva)" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(49)} -pin "reg(regs.regs(0).sva)" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(50)} -pin "reg(regs.regs(0).sva)" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(51)} -pin "reg(regs.regs(0).sva)" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(52)} -pin "reg(regs.regs(0).sva)" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(53)} -pin "reg(regs.regs(0).sva)" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(54)} -pin "reg(regs.regs(0).sva)" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(55)} -pin "reg(regs.regs(0).sva)" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(56)} -pin "reg(regs.regs(0).sva)" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(57)} -pin "reg(regs.regs(0).sva)" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(58)} -pin "reg(regs.regs(0).sva)" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(59)} -pin "reg(regs.regs(0).sva)" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(60)} -pin "reg(regs.regs(0).sva)" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(61)} -pin "reg(regs.regs(0).sva)" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(62)} -pin "reg(regs.regs(0).sva)" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(63)} -pin "reg(regs.regs(0).sva)" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(64)} -pin "reg(regs.regs(0).sva)" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(65)} -pin "reg(regs.regs(0).sva)" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(66)} -pin "reg(regs.regs(0).sva)" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(67)} -pin "reg(regs.regs(0).sva)" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(68)} -pin "reg(regs.regs(0).sva)" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(69)} -pin "reg(regs.regs(0).sva)" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(70)} -pin "reg(regs.regs(0).sva)" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(71)} -pin "reg(regs.regs(0).sva)" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(72)} -pin "reg(regs.regs(0).sva)" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(73)} -pin "reg(regs.regs(0).sva)" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(74)} -pin "reg(regs.regs(0).sva)" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(75)} -pin "reg(regs.regs(0).sva)" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(76)} -pin "reg(regs.regs(0).sva)" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(77)} -pin "reg(regs.regs(0).sva)" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(78)} -pin "reg(regs.regs(0).sva)" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(79)} -pin "reg(regs.regs(0).sva)" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(80)} -pin "reg(regs.regs(0).sva)" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(81)} -pin "reg(regs.regs(0).sva)" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(82)} -pin "reg(regs.regs(0).sva)" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(83)} -pin "reg(regs.regs(0).sva)" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(84)} -pin "reg(regs.regs(0).sva)" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(85)} -pin "reg(regs.regs(0).sva)" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(86)} -pin "reg(regs.regs(0).sva)" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(87)} -pin "reg(regs.regs(0).sva)" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(88)} -pin "reg(regs.regs(0).sva)" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(89)} -pin "reg(regs.regs(0).sva)" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load inst "reg(exit:FRAME.lpi#1.dfm#2)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 44558 -attr oid 434 -attr @path {/sobel/sobel:core/reg(exit:FRAME.lpi#1.dfm#2)}
+load net {exit:FRAME.lpi#1.dfm#2:mx0} -pin "reg(exit:FRAME.lpi#1.dfm#2)" {D(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#2:mx0}
+load net {GND} -pin "reg(exit:FRAME.lpi#1.dfm#2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
+load net {clk} -pin "reg(exit:FRAME.lpi#1.dfm#2)" {clk} -attr xrf 44559 -attr oid 435 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(exit:FRAME.lpi#1.dfm#2)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(exit:FRAME.lpi#1.dfm#2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {exit:FRAME.lpi#1.dfm#2} -pin "reg(exit:FRAME.lpi#1.dfm#2)" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#2}
+load inst "regs.operator[]#23:mux" "mux(4,10)" "INTERFACE" -attr xrf 44560 -attr oid 436 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#23:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#23:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(30)} -pin "regs.operator[]#23:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(31)} -pin "regs.operator[]#23:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(32)} -pin "regs.operator[]#23:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(33)} -pin "regs.operator[]#23:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(34)} -pin "regs.operator[]#23:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(35)} -pin "regs.operator[]#23:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(36)} -pin "regs.operator[]#23:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(37)} -pin "regs.operator[]#23:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(38)} -pin "regs.operator[]#23:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(39)} -pin "regs.operator[]#23:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(30)} -pin "regs.operator[]#23:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(31)} -pin "regs.operator[]#23:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(32)} -pin "regs.operator[]#23:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(33)} -pin "regs.operator[]#23:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(34)} -pin "regs.operator[]#23:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(35)} -pin "regs.operator[]#23:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(36)} -pin "regs.operator[]#23:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(37)} -pin "regs.operator[]#23:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(38)} -pin "regs.operator[]#23:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(1).sva.dfm:mx0(39)} -pin "regs.operator[]#23:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(30)} -pin "regs.operator[]#23:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(31)} -pin "regs.operator[]#23:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(32)} -pin "regs.operator[]#23:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(33)} -pin "regs.operator[]#23:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(34)} -pin "regs.operator[]#23:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(35)} -pin "regs.operator[]#23:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(36)} -pin "regs.operator[]#23:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(37)} -pin "regs.operator[]#23:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(38)} -pin "regs.operator[]#23:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {regs.regs(0).sva.dfm:mx0(39)} -pin "regs.operator[]#23:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#3.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#23:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#23:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#23:mux.itm(0)} -pin "regs.operator[]#23:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(1)} -pin "regs.operator[]#23:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(2)} -pin "regs.operator[]#23:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(3)} -pin "regs.operator[]#23:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(4)} -pin "regs.operator[]#23:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(5)} -pin "regs.operator[]#23:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(6)} -pin "regs.operator[]#23:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(7)} -pin "regs.operator[]#23:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(8)} -pin "regs.operator[]#23:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(9)} -pin "regs.operator[]#23:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load inst "FRAME:for:mul#5" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44561 -attr oid 437 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#23:mux.itm(0)} -pin "FRAME:for:mul#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(1)} -pin "FRAME:for:mul#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(2)} -pin "FRAME:for:mul#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(3)} -pin "FRAME:for:mul#5" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(4)} -pin "FRAME:for:mul#5" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(5)} -pin "FRAME:for:mul#5" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(6)} -pin "FRAME:for:mul#5" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(7)} -pin "FRAME:for:mul#5" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(8)} -pin "FRAME:for:mul#5" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {regs.operator[]#23:mux.itm(9)} -pin "FRAME:for:mul#5" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#23:mux.itm}
+load net {FRAME:for:or#5.itm} -pin "FRAME:for:mul#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {FRAME:for:and#18.seb} -pin "FRAME:for:mul#5" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {FRAME:for:mul#5.itm(0)} -pin "FRAME:for:mul#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(1)} -pin "FRAME:for:mul#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(2)} -pin "FRAME:for:mul#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(3)} -pin "FRAME:for:mul#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(4)} -pin "FRAME:for:mul#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(5)} -pin "FRAME:for:mul#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(6)} -pin "FRAME:for:mul#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(7)} -pin "FRAME:for:mul#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(8)} -pin "FRAME:for:mul#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(9)} -pin "FRAME:for:mul#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(10)} -pin "FRAME:for:mul#5" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load inst "FRAME:for:acc#28" "add(15,-1,11,1,15)" "INTERFACE" -attr xrf 44562 -attr oid 438 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28} -attr area 16.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,11,1,15)"
+load net {b(1).sg1.lpi#1.dfm(0)} -pin "FRAME:for:acc#28" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(1)} -pin "FRAME:for:acc#28" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(2)} -pin "FRAME:for:acc#28" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(3)} -pin "FRAME:for:acc#28" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(4)} -pin "FRAME:for:acc#28" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(5)} -pin "FRAME:for:acc#28" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(6)} -pin "FRAME:for:acc#28" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(7)} -pin "FRAME:for:acc#28" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(8)} -pin "FRAME:for:acc#28" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(9)} -pin "FRAME:for:acc#28" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(10)} -pin "FRAME:for:acc#28" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(11)} -pin "FRAME:for:acc#28" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(12)} -pin "FRAME:for:acc#28" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(13)} -pin "FRAME:for:acc#28" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(14)} -pin "FRAME:for:acc#28" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {FRAME:for:mul#5.itm(0)} -pin "FRAME:for:acc#28" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(1)} -pin "FRAME:for:acc#28" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(2)} -pin "FRAME:for:acc#28" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(3)} -pin "FRAME:for:acc#28" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(4)} -pin "FRAME:for:acc#28" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(5)} -pin "FRAME:for:acc#28" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(6)} -pin "FRAME:for:acc#28" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(7)} -pin "FRAME:for:acc#28" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(8)} -pin "FRAME:for:acc#28" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(9)} -pin "FRAME:for:acc#28" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:mul#5.itm(10)} -pin "FRAME:for:acc#28" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#5.itm}
+load net {FRAME:for:acc#28.itm(0)} -pin "FRAME:for:acc#28" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(1)} -pin "FRAME:for:acc#28" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(2)} -pin "FRAME:for:acc#28" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(3)} -pin "FRAME:for:acc#28" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(4)} -pin "FRAME:for:acc#28" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(5)} -pin "FRAME:for:acc#28" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(6)} -pin "FRAME:for:acc#28" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(7)} -pin "FRAME:for:acc#28" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(8)} -pin "FRAME:for:acc#28" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(9)} -pin "FRAME:for:acc#28" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(10)} -pin "FRAME:for:acc#28" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(11)} -pin "FRAME:for:acc#28" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(12)} -pin "FRAME:for:acc#28" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(13)} -pin "FRAME:for:acc#28" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(14)} -pin "FRAME:for:acc#28" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load inst "mux#8" "mux(2,15)" "INTERFACE" -attr xrf 44563 -attr oid 439 -attr vt d -attr @path {/sobel/sobel:core/mux#8} -attr area 13.792345 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(15,1,2)"
+load net {FRAME:for:acc#28.itm(0)} -pin "mux#8" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(1)} -pin "mux#8" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(2)} -pin "mux#8" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(3)} -pin "mux#8" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(4)} -pin "mux#8" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(5)} -pin "mux#8" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(6)} -pin "mux#8" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(7)} -pin "mux#8" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(8)} -pin "mux#8" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(9)} -pin "mux#8" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(10)} -pin "mux#8" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(11)} -pin "mux#8" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(12)} -pin "mux#8" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(13)} -pin "mux#8" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {FRAME:for:acc#28.itm(14)} -pin "mux#8" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#28.itm}
+load net {b(1).sg1.lpi#1.dfm(0)} -pin "mux#8" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(1)} -pin "mux#8" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(2)} -pin "mux#8" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(3)} -pin "mux#8" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(4)} -pin "mux#8" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(5)} -pin "mux#8" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(6)} -pin "mux#8" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(7)} -pin "mux#8" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(8)} -pin "mux#8" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(9)} -pin "mux#8" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(10)} -pin "mux#8" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(11)} -pin "mux#8" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(12)} -pin "mux#8" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(13)} -pin "mux#8" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(14)} -pin "mux#8" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {or#4.cse} -pin "mux#8" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#8.itm(0)} -pin "mux#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(1)} -pin "mux#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(2)} -pin "mux#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(3)} -pin "mux#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(4)} -pin "mux#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(5)} -pin "mux#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(6)} -pin "mux#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(7)} -pin "mux#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(8)} -pin "mux#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(9)} -pin "mux#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(10)} -pin "mux#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(11)} -pin "mux#8" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(12)} -pin "mux#8" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(13)} -pin "mux#8" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(14)} -pin "mux#8" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load inst "reg(b(1).sg1.lpi#1)" "reg(15,1,1,-1,0)" "INTERFACE" -attr xrf 44564 -attr oid 440 -attr vt d -attr @path {/sobel/sobel:core/reg(b(1).sg1.lpi#1)}
+load net {mux#8.itm(0)} -pin "reg(b(1).sg1.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(1)} -pin "reg(b(1).sg1.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(2)} -pin "reg(b(1).sg1.lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(3)} -pin "reg(b(1).sg1.lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(4)} -pin "reg(b(1).sg1.lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(5)} -pin "reg(b(1).sg1.lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(6)} -pin "reg(b(1).sg1.lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(7)} -pin "reg(b(1).sg1.lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(8)} -pin "reg(b(1).sg1.lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(9)} -pin "reg(b(1).sg1.lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(10)} -pin "reg(b(1).sg1.lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(11)} -pin "reg(b(1).sg1.lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(12)} -pin "reg(b(1).sg1.lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(13)} -pin "reg(b(1).sg1.lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {mux#8.itm(14)} -pin "reg(b(1).sg1.lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#8.itm}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(b(1).sg1.lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_15}
+load net {clk} -pin "reg(b(1).sg1.lpi#1)" {clk} -attr xrf 44565 -attr oid 441 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(b(1).sg1.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(b(1).sg1.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {b(1).sg1.lpi#1(0)} -pin "reg(b(1).sg1.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(1)} -pin "reg(b(1).sg1.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(2)} -pin "reg(b(1).sg1.lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(3)} -pin "reg(b(1).sg1.lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(4)} -pin "reg(b(1).sg1.lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(5)} -pin "reg(b(1).sg1.lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(6)} -pin "reg(b(1).sg1.lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(7)} -pin "reg(b(1).sg1.lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(8)} -pin "reg(b(1).sg1.lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(9)} -pin "reg(b(1).sg1.lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(10)} -pin "reg(b(1).sg1.lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(11)} -pin "reg(b(1).sg1.lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(12)} -pin "reg(b(1).sg1.lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(13)} -pin "reg(b(1).sg1.lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(14)} -pin "reg(b(1).sg1.lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load inst "regs.operator[]#22:mux" "mux(4,10)" "INTERFACE" -attr xrf 44566 -attr oid 442 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#22:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#22:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(40)} -pin "regs.operator[]#22:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(41)} -pin "regs.operator[]#22:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(42)} -pin "regs.operator[]#22:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(43)} -pin "regs.operator[]#22:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(44)} -pin "regs.operator[]#22:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(45)} -pin "regs.operator[]#22:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(46)} -pin "regs.operator[]#22:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(47)} -pin "regs.operator[]#22:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(48)} -pin "regs.operator[]#22:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(49)} -pin "regs.operator[]#22:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(40)} -pin "regs.operator[]#22:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(41)} -pin "regs.operator[]#22:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(42)} -pin "regs.operator[]#22:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(43)} -pin "regs.operator[]#22:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(44)} -pin "regs.operator[]#22:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(45)} -pin "regs.operator[]#22:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(46)} -pin "regs.operator[]#22:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(47)} -pin "regs.operator[]#22:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(48)} -pin "regs.operator[]#22:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(1).sva.dfm:mx0(49)} -pin "regs.operator[]#22:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(40)} -pin "regs.operator[]#22:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(41)} -pin "regs.operator[]#22:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(42)} -pin "regs.operator[]#22:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(43)} -pin "regs.operator[]#22:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(44)} -pin "regs.operator[]#22:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(45)} -pin "regs.operator[]#22:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(46)} -pin "regs.operator[]#22:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(47)} -pin "regs.operator[]#22:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(48)} -pin "regs.operator[]#22:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {regs.regs(0).sva.dfm:mx0(49)} -pin "regs.operator[]#22:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#4.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#22:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#22:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#22:mux.itm(0)} -pin "regs.operator[]#22:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(1)} -pin "regs.operator[]#22:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(2)} -pin "regs.operator[]#22:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(3)} -pin "regs.operator[]#22:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(4)} -pin "regs.operator[]#22:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(5)} -pin "regs.operator[]#22:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(6)} -pin "regs.operator[]#22:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(7)} -pin "regs.operator[]#22:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(8)} -pin "regs.operator[]#22:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(9)} -pin "regs.operator[]#22:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load inst "FRAME:for:mul#4" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44567 -attr oid 443 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#22:mux.itm(0)} -pin "FRAME:for:mul#4" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(1)} -pin "FRAME:for:mul#4" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(2)} -pin "FRAME:for:mul#4" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(3)} -pin "FRAME:for:mul#4" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(4)} -pin "FRAME:for:mul#4" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(5)} -pin "FRAME:for:mul#4" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(6)} -pin "FRAME:for:mul#4" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(7)} -pin "FRAME:for:mul#4" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(8)} -pin "FRAME:for:mul#4" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {regs.operator[]#22:mux.itm(9)} -pin "FRAME:for:mul#4" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#22:mux.itm}
+load net {FRAME:for:or#5.itm} -pin "FRAME:for:mul#4" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {FRAME:for:and#18.seb} -pin "FRAME:for:mul#4" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {FRAME:for:mul#4.itm(0)} -pin "FRAME:for:mul#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(1)} -pin "FRAME:for:mul#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(2)} -pin "FRAME:for:mul#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(3)} -pin "FRAME:for:mul#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(4)} -pin "FRAME:for:mul#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(5)} -pin "FRAME:for:mul#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(6)} -pin "FRAME:for:mul#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(7)} -pin "FRAME:for:mul#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(8)} -pin "FRAME:for:mul#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(9)} -pin "FRAME:for:mul#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(10)} -pin "FRAME:for:mul#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load inst "FRAME:for:acc#27" "add(15,-1,11,1,15)" "INTERFACE" -attr xrf 44568 -attr oid 444 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27} -attr area 16.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,11,1,15)"
+load net {g(1).sg1.lpi#1.dfm(0)} -pin "FRAME:for:acc#27" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(1)} -pin "FRAME:for:acc#27" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(2)} -pin "FRAME:for:acc#27" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(3)} -pin "FRAME:for:acc#27" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(4)} -pin "FRAME:for:acc#27" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(5)} -pin "FRAME:for:acc#27" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(6)} -pin "FRAME:for:acc#27" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(7)} -pin "FRAME:for:acc#27" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(8)} -pin "FRAME:for:acc#27" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(9)} -pin "FRAME:for:acc#27" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(10)} -pin "FRAME:for:acc#27" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(11)} -pin "FRAME:for:acc#27" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(12)} -pin "FRAME:for:acc#27" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(13)} -pin "FRAME:for:acc#27" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(14)} -pin "FRAME:for:acc#27" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {FRAME:for:mul#4.itm(0)} -pin "FRAME:for:acc#27" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(1)} -pin "FRAME:for:acc#27" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(2)} -pin "FRAME:for:acc#27" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(3)} -pin "FRAME:for:acc#27" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(4)} -pin "FRAME:for:acc#27" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(5)} -pin "FRAME:for:acc#27" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(6)} -pin "FRAME:for:acc#27" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(7)} -pin "FRAME:for:acc#27" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(8)} -pin "FRAME:for:acc#27" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(9)} -pin "FRAME:for:acc#27" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:mul#4.itm(10)} -pin "FRAME:for:acc#27" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#4.itm}
+load net {FRAME:for:acc#27.itm(0)} -pin "FRAME:for:acc#27" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(1)} -pin "FRAME:for:acc#27" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(2)} -pin "FRAME:for:acc#27" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(3)} -pin "FRAME:for:acc#27" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(4)} -pin "FRAME:for:acc#27" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(5)} -pin "FRAME:for:acc#27" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(6)} -pin "FRAME:for:acc#27" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(7)} -pin "FRAME:for:acc#27" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(8)} -pin "FRAME:for:acc#27" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(9)} -pin "FRAME:for:acc#27" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(10)} -pin "FRAME:for:acc#27" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(11)} -pin "FRAME:for:acc#27" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(12)} -pin "FRAME:for:acc#27" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(13)} -pin "FRAME:for:acc#27" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(14)} -pin "FRAME:for:acc#27" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load inst "mux#9" "mux(2,15)" "INTERFACE" -attr xrf 44569 -attr oid 445 -attr vt d -attr @path {/sobel/sobel:core/mux#9} -attr area 13.792345 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(15,1,2)"
+load net {FRAME:for:acc#27.itm(0)} -pin "mux#9" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(1)} -pin "mux#9" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(2)} -pin "mux#9" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(3)} -pin "mux#9" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(4)} -pin "mux#9" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(5)} -pin "mux#9" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(6)} -pin "mux#9" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(7)} -pin "mux#9" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(8)} -pin "mux#9" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(9)} -pin "mux#9" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(10)} -pin "mux#9" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(11)} -pin "mux#9" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(12)} -pin "mux#9" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(13)} -pin "mux#9" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {FRAME:for:acc#27.itm(14)} -pin "mux#9" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#27.itm}
+load net {g(1).sg1.lpi#1.dfm(0)} -pin "mux#9" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(1)} -pin "mux#9" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(2)} -pin "mux#9" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(3)} -pin "mux#9" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(4)} -pin "mux#9" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(5)} -pin "mux#9" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(6)} -pin "mux#9" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(7)} -pin "mux#9" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(8)} -pin "mux#9" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(9)} -pin "mux#9" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(10)} -pin "mux#9" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(11)} -pin "mux#9" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(12)} -pin "mux#9" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(13)} -pin "mux#9" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(14)} -pin "mux#9" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {or#4.cse} -pin "mux#9" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#9.itm(0)} -pin "mux#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(1)} -pin "mux#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(2)} -pin "mux#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(3)} -pin "mux#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(4)} -pin "mux#9" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(5)} -pin "mux#9" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(6)} -pin "mux#9" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(7)} -pin "mux#9" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(8)} -pin "mux#9" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(9)} -pin "mux#9" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(10)} -pin "mux#9" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(11)} -pin "mux#9" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(12)} -pin "mux#9" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(13)} -pin "mux#9" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(14)} -pin "mux#9" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load inst "reg(g(1).sg1.lpi#1)" "reg(15,1,1,-1,0)" "INTERFACE" -attr xrf 44570 -attr oid 446 -attr vt d -attr @path {/sobel/sobel:core/reg(g(1).sg1.lpi#1)}
+load net {mux#9.itm(0)} -pin "reg(g(1).sg1.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(1)} -pin "reg(g(1).sg1.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(2)} -pin "reg(g(1).sg1.lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(3)} -pin "reg(g(1).sg1.lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(4)} -pin "reg(g(1).sg1.lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(5)} -pin "reg(g(1).sg1.lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(6)} -pin "reg(g(1).sg1.lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(7)} -pin "reg(g(1).sg1.lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(8)} -pin "reg(g(1).sg1.lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(9)} -pin "reg(g(1).sg1.lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(10)} -pin "reg(g(1).sg1.lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(11)} -pin "reg(g(1).sg1.lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(12)} -pin "reg(g(1).sg1.lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(13)} -pin "reg(g(1).sg1.lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {mux#9.itm(14)} -pin "reg(g(1).sg1.lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#9.itm}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(g(1).sg1.lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_15}
+load net {clk} -pin "reg(g(1).sg1.lpi#1)" {clk} -attr xrf 44571 -attr oid 447 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(g(1).sg1.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(g(1).sg1.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {g(1).sg1.lpi#1(0)} -pin "reg(g(1).sg1.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(1)} -pin "reg(g(1).sg1.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(2)} -pin "reg(g(1).sg1.lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(3)} -pin "reg(g(1).sg1.lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(4)} -pin "reg(g(1).sg1.lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(5)} -pin "reg(g(1).sg1.lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(6)} -pin "reg(g(1).sg1.lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(7)} -pin "reg(g(1).sg1.lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(8)} -pin "reg(g(1).sg1.lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(9)} -pin "reg(g(1).sg1.lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(10)} -pin "reg(g(1).sg1.lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(11)} -pin "reg(g(1).sg1.lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(12)} -pin "reg(g(1).sg1.lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(13)} -pin "reg(g(1).sg1.lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(14)} -pin "reg(g(1).sg1.lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load inst "regs.operator[]#21:mux" "mux(4,10)" "INTERFACE" -attr xrf 44572 -attr oid 448 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#21:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#21:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(50)} -pin "regs.operator[]#21:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(51)} -pin "regs.operator[]#21:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(52)} -pin "regs.operator[]#21:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(53)} -pin "regs.operator[]#21:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(54)} -pin "regs.operator[]#21:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(55)} -pin "regs.operator[]#21:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(56)} -pin "regs.operator[]#21:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(57)} -pin "regs.operator[]#21:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(58)} -pin "regs.operator[]#21:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(59)} -pin "regs.operator[]#21:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(50)} -pin "regs.operator[]#21:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(51)} -pin "regs.operator[]#21:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(52)} -pin "regs.operator[]#21:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(53)} -pin "regs.operator[]#21:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(54)} -pin "regs.operator[]#21:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(55)} -pin "regs.operator[]#21:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(56)} -pin "regs.operator[]#21:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(57)} -pin "regs.operator[]#21:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(58)} -pin "regs.operator[]#21:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(1).sva.dfm:mx0(59)} -pin "regs.operator[]#21:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(50)} -pin "regs.operator[]#21:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(51)} -pin "regs.operator[]#21:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(52)} -pin "regs.operator[]#21:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(53)} -pin "regs.operator[]#21:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(54)} -pin "regs.operator[]#21:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(55)} -pin "regs.operator[]#21:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(56)} -pin "regs.operator[]#21:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(57)} -pin "regs.operator[]#21:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(58)} -pin "regs.operator[]#21:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {regs.regs(0).sva.dfm:mx0(59)} -pin "regs.operator[]#21:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#5.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#21:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#21:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#21:mux.itm(0)} -pin "regs.operator[]#21:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(1)} -pin "regs.operator[]#21:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(2)} -pin "regs.operator[]#21:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(3)} -pin "regs.operator[]#21:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(4)} -pin "regs.operator[]#21:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(5)} -pin "regs.operator[]#21:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(6)} -pin "regs.operator[]#21:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(7)} -pin "regs.operator[]#21:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(8)} -pin "regs.operator[]#21:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(9)} -pin "regs.operator[]#21:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load inst "FRAME:for:mul#3" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44573 -attr oid 449 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#21:mux.itm(0)} -pin "FRAME:for:mul#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(1)} -pin "FRAME:for:mul#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(2)} -pin "FRAME:for:mul#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(3)} -pin "FRAME:for:mul#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(4)} -pin "FRAME:for:mul#3" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(5)} -pin "FRAME:for:mul#3" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(6)} -pin "FRAME:for:mul#3" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(7)} -pin "FRAME:for:mul#3" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(8)} -pin "FRAME:for:mul#3" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {regs.operator[]#21:mux.itm(9)} -pin "FRAME:for:mul#3" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#21:mux.itm}
+load net {FRAME:for:or#5.itm} -pin "FRAME:for:mul#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {FRAME:for:and#18.seb} -pin "FRAME:for:mul#3" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#32}
+load net {FRAME:for:mul#3.itm(0)} -pin "FRAME:for:mul#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(1)} -pin "FRAME:for:mul#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(2)} -pin "FRAME:for:mul#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(3)} -pin "FRAME:for:mul#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(4)} -pin "FRAME:for:mul#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(5)} -pin "FRAME:for:mul#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(6)} -pin "FRAME:for:mul#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(7)} -pin "FRAME:for:mul#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(8)} -pin "FRAME:for:mul#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(9)} -pin "FRAME:for:mul#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(10)} -pin "FRAME:for:mul#3" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load inst "FRAME:for:acc#26" "add(15,-1,11,1,15)" "INTERFACE" -attr xrf 44574 -attr oid 450 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26} -attr area 16.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,11,1,15)"
+load net {r(1).sg1.lpi#1.dfm(0)} -pin "FRAME:for:acc#26" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(1)} -pin "FRAME:for:acc#26" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(2)} -pin "FRAME:for:acc#26" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(3)} -pin "FRAME:for:acc#26" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(4)} -pin "FRAME:for:acc#26" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(5)} -pin "FRAME:for:acc#26" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(6)} -pin "FRAME:for:acc#26" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(7)} -pin "FRAME:for:acc#26" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(8)} -pin "FRAME:for:acc#26" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(9)} -pin "FRAME:for:acc#26" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(10)} -pin "FRAME:for:acc#26" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(11)} -pin "FRAME:for:acc#26" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(12)} -pin "FRAME:for:acc#26" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(13)} -pin "FRAME:for:acc#26" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(14)} -pin "FRAME:for:acc#26" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {FRAME:for:mul#3.itm(0)} -pin "FRAME:for:acc#26" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(1)} -pin "FRAME:for:acc#26" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(2)} -pin "FRAME:for:acc#26" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(3)} -pin "FRAME:for:acc#26" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(4)} -pin "FRAME:for:acc#26" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(5)} -pin "FRAME:for:acc#26" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(6)} -pin "FRAME:for:acc#26" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(7)} -pin "FRAME:for:acc#26" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(8)} -pin "FRAME:for:acc#26" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(9)} -pin "FRAME:for:acc#26" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:mul#3.itm(10)} -pin "FRAME:for:acc#26" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#3.itm}
+load net {FRAME:for:acc#26.itm(0)} -pin "FRAME:for:acc#26" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(1)} -pin "FRAME:for:acc#26" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(2)} -pin "FRAME:for:acc#26" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(3)} -pin "FRAME:for:acc#26" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(4)} -pin "FRAME:for:acc#26" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(5)} -pin "FRAME:for:acc#26" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(6)} -pin "FRAME:for:acc#26" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(7)} -pin "FRAME:for:acc#26" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(8)} -pin "FRAME:for:acc#26" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(9)} -pin "FRAME:for:acc#26" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(10)} -pin "FRAME:for:acc#26" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(11)} -pin "FRAME:for:acc#26" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(12)} -pin "FRAME:for:acc#26" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(13)} -pin "FRAME:for:acc#26" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(14)} -pin "FRAME:for:acc#26" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load inst "mux#10" "mux(2,15)" "INTERFACE" -attr xrf 44575 -attr oid 451 -attr vt d -attr @path {/sobel/sobel:core/mux#10} -attr area 13.792345 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(15,1,2)"
+load net {FRAME:for:acc#26.itm(0)} -pin "mux#10" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(1)} -pin "mux#10" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(2)} -pin "mux#10" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(3)} -pin "mux#10" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(4)} -pin "mux#10" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(5)} -pin "mux#10" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(6)} -pin "mux#10" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(7)} -pin "mux#10" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(8)} -pin "mux#10" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(9)} -pin "mux#10" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(10)} -pin "mux#10" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(11)} -pin "mux#10" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(12)} -pin "mux#10" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(13)} -pin "mux#10" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {FRAME:for:acc#26.itm(14)} -pin "mux#10" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#26.itm}
+load net {r(1).sg1.lpi#1.dfm(0)} -pin "mux#10" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(1)} -pin "mux#10" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(2)} -pin "mux#10" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(3)} -pin "mux#10" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(4)} -pin "mux#10" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(5)} -pin "mux#10" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(6)} -pin "mux#10" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(7)} -pin "mux#10" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(8)} -pin "mux#10" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(9)} -pin "mux#10" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(10)} -pin "mux#10" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(11)} -pin "mux#10" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(12)} -pin "mux#10" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(13)} -pin "mux#10" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(14)} -pin "mux#10" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {or#4.cse} -pin "mux#10" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#10.itm(0)} -pin "mux#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(1)} -pin "mux#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(2)} -pin "mux#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(3)} -pin "mux#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(4)} -pin "mux#10" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(5)} -pin "mux#10" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(6)} -pin "mux#10" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(7)} -pin "mux#10" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(8)} -pin "mux#10" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(9)} -pin "mux#10" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(10)} -pin "mux#10" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(11)} -pin "mux#10" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(12)} -pin "mux#10" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(13)} -pin "mux#10" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(14)} -pin "mux#10" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load inst "reg(r(1).sg1.lpi#1)" "reg(15,1,1,-1,0)" "INTERFACE" -attr xrf 44576 -attr oid 452 -attr vt d -attr @path {/sobel/sobel:core/reg(r(1).sg1.lpi#1)}
+load net {mux#10.itm(0)} -pin "reg(r(1).sg1.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(1)} -pin "reg(r(1).sg1.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(2)} -pin "reg(r(1).sg1.lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(3)} -pin "reg(r(1).sg1.lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(4)} -pin "reg(r(1).sg1.lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(5)} -pin "reg(r(1).sg1.lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(6)} -pin "reg(r(1).sg1.lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(7)} -pin "reg(r(1).sg1.lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(8)} -pin "reg(r(1).sg1.lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(9)} -pin "reg(r(1).sg1.lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(10)} -pin "reg(r(1).sg1.lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(11)} -pin "reg(r(1).sg1.lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(12)} -pin "reg(r(1).sg1.lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(13)} -pin "reg(r(1).sg1.lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {mux#10.itm(14)} -pin "reg(r(1).sg1.lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#10.itm}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_15}
+load net {GND} -pin "reg(r(1).sg1.lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_15}
+load net {clk} -pin "reg(r(1).sg1.lpi#1)" {clk} -attr xrf 44577 -attr oid 453 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(r(1).sg1.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(r(1).sg1.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {r(1).sg1.lpi#1(0)} -pin "reg(r(1).sg1.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(1)} -pin "reg(r(1).sg1.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(2)} -pin "reg(r(1).sg1.lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(3)} -pin "reg(r(1).sg1.lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(4)} -pin "reg(r(1).sg1.lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(5)} -pin "reg(r(1).sg1.lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(6)} -pin "reg(r(1).sg1.lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(7)} -pin "reg(r(1).sg1.lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(8)} -pin "reg(r(1).sg1.lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(9)} -pin "reg(r(1).sg1.lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(10)} -pin "reg(r(1).sg1.lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(11)} -pin "reg(r(1).sg1.lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(12)} -pin "reg(r(1).sg1.lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(13)} -pin "reg(r(1).sg1.lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(14)} -pin "reg(r(1).sg1.lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load inst "mux#11" "mux(2,2)" "INTERFACE" -attr xrf 44578 -attr oid 454 -attr vt d -attr @path {/sobel/sobel:core/mux#11} -attr area 1.839846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(2,1,2)"
+load net {i#6.sva#1(0)} -pin "mux#11" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.sva#1(1)} -pin "mux#11" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.lpi#1.dfm(0)} -pin "mux#11" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "mux#11" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {or#4.cse} -pin "mux#11" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#11.itm(0)} -pin "mux#11" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#11.itm}
+load net {mux#11.itm(1)} -pin "mux#11" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#11.itm}
+load inst "reg(i#6.lpi#1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 44579 -attr oid 455 -attr vt d -attr @path {/sobel/sobel:core/reg(i#6.lpi#1)}
+load net {mux#11.itm(0)} -pin "reg(i#6.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#11.itm}
+load net {mux#11.itm(1)} -pin "reg(i#6.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#11.itm}
+load net {GND} -pin "reg(i#6.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_2}
+load net {GND} -pin "reg(i#6.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_2}
+load net {clk} -pin "reg(i#6.lpi#1)" {clk} -attr xrf 44580 -attr oid 456 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(i#6.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(i#6.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {i#6.lpi#1(0)} -pin "reg(i#6.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1}
+load net {i#6.lpi#1(1)} -pin "reg(i#6.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1}
+load inst "regs.operator[]#26:mux" "mux(4,10)" "INTERFACE" -attr xrf 44581 -attr oid 457 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#26:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#26:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(60)} -pin "regs.operator[]#26:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(61)} -pin "regs.operator[]#26:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(62)} -pin "regs.operator[]#26:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(63)} -pin "regs.operator[]#26:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(64)} -pin "regs.operator[]#26:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(65)} -pin "regs.operator[]#26:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(66)} -pin "regs.operator[]#26:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(67)} -pin "regs.operator[]#26:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(68)} -pin "regs.operator[]#26:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(69)} -pin "regs.operator[]#26:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(60)} -pin "regs.operator[]#26:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(61)} -pin "regs.operator[]#26:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(62)} -pin "regs.operator[]#26:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(63)} -pin "regs.operator[]#26:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(64)} -pin "regs.operator[]#26:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(65)} -pin "regs.operator[]#26:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(66)} -pin "regs.operator[]#26:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(67)} -pin "regs.operator[]#26:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(68)} -pin "regs.operator[]#26:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(1).sva.dfm:mx0(69)} -pin "regs.operator[]#26:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(60)} -pin "regs.operator[]#26:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(61)} -pin "regs.operator[]#26:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(62)} -pin "regs.operator[]#26:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(63)} -pin "regs.operator[]#26:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(64)} -pin "regs.operator[]#26:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(65)} -pin "regs.operator[]#26:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(66)} -pin "regs.operator[]#26:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(67)} -pin "regs.operator[]#26:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(68)} -pin "regs.operator[]#26:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {regs.regs(0).sva.dfm:mx0(69)} -pin "regs.operator[]#26:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0).itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#26:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#26:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#26:mux.itm(0)} -pin "regs.operator[]#26:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(1)} -pin "regs.operator[]#26:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(2)} -pin "regs.operator[]#26:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(3)} -pin "regs.operator[]#26:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(4)} -pin "regs.operator[]#26:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(5)} -pin "regs.operator[]#26:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(6)} -pin "regs.operator[]#26:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(7)} -pin "regs.operator[]#26:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(8)} -pin "regs.operator[]#26:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(9)} -pin "regs.operator[]#26:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load inst "FRAME:for:mul#8" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44582 -attr oid 458 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#26:mux.itm(0)} -pin "FRAME:for:mul#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(1)} -pin "FRAME:for:mul#8" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(2)} -pin "FRAME:for:mul#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(3)} -pin "FRAME:for:mul#8" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(4)} -pin "FRAME:for:mul#8" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(5)} -pin "FRAME:for:mul#8" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(6)} -pin "FRAME:for:mul#8" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(7)} -pin "FRAME:for:mul#8" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(8)} -pin "FRAME:for:mul#8" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {regs.operator[]#26:mux.itm(9)} -pin "FRAME:for:mul#8" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#26:mux.itm}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:mul#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:mul#8.itm(0)} -pin "FRAME:for:mul#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(1)} -pin "FRAME:for:mul#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(2)} -pin "FRAME:for:mul#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(3)} -pin "FRAME:for:mul#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(4)} -pin "FRAME:for:mul#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(5)} -pin "FRAME:for:mul#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(6)} -pin "FRAME:for:mul#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(7)} -pin "FRAME:for:mul#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(8)} -pin "FRAME:for:mul#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(9)} -pin "FRAME:for:mul#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(10)} -pin "FRAME:for:mul#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load inst "FRAME:for:acc#14" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44583 -attr oid 459 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {b(2).lpi#1.dfm(0)} -pin "FRAME:for:acc#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(1)} -pin "FRAME:for:acc#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(2)} -pin "FRAME:for:acc#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(3)} -pin "FRAME:for:acc#14" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(4)} -pin "FRAME:for:acc#14" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(5)} -pin "FRAME:for:acc#14" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(6)} -pin "FRAME:for:acc#14" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(7)} -pin "FRAME:for:acc#14" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(8)} -pin "FRAME:for:acc#14" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(9)} -pin "FRAME:for:acc#14" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(10)} -pin "FRAME:for:acc#14" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(11)} -pin "FRAME:for:acc#14" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(12)} -pin "FRAME:for:acc#14" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(13)} -pin "FRAME:for:acc#14" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(14)} -pin "FRAME:for:acc#14" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(15)} -pin "FRAME:for:acc#14" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {FRAME:for:mul#8.itm(0)} -pin "FRAME:for:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(1)} -pin "FRAME:for:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(2)} -pin "FRAME:for:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(3)} -pin "FRAME:for:acc#14" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(4)} -pin "FRAME:for:acc#14" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(5)} -pin "FRAME:for:acc#14" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(6)} -pin "FRAME:for:acc#14" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(7)} -pin "FRAME:for:acc#14" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(8)} -pin "FRAME:for:acc#14" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(9)} -pin "FRAME:for:acc#14" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:mul#8.itm(10)} -pin "FRAME:for:acc#14" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#8.itm}
+load net {FRAME:for:acc#14.itm(0)} -pin "FRAME:for:acc#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(1)} -pin "FRAME:for:acc#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(2)} -pin "FRAME:for:acc#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(3)} -pin "FRAME:for:acc#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(4)} -pin "FRAME:for:acc#14" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(5)} -pin "FRAME:for:acc#14" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(6)} -pin "FRAME:for:acc#14" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(7)} -pin "FRAME:for:acc#14" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(8)} -pin "FRAME:for:acc#14" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(9)} -pin "FRAME:for:acc#14" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(10)} -pin "FRAME:for:acc#14" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(11)} -pin "FRAME:for:acc#14" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(12)} -pin "FRAME:for:acc#14" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(13)} -pin "FRAME:for:acc#14" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(14)} -pin "FRAME:for:acc#14" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(15)} -pin "FRAME:for:acc#14" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load inst "mux#12" "mux(2,16)" "INTERFACE" -attr xrf 44584 -attr oid 460 -attr vt d -attr @path {/sobel/sobel:core/mux#12} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:acc#14.itm(0)} -pin "mux#12" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(1)} -pin "mux#12" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(2)} -pin "mux#12" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(3)} -pin "mux#12" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(4)} -pin "mux#12" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(5)} -pin "mux#12" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(6)} -pin "mux#12" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(7)} -pin "mux#12" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(8)} -pin "mux#12" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(9)} -pin "mux#12" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(10)} -pin "mux#12" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(11)} -pin "mux#12" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(12)} -pin "mux#12" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(13)} -pin "mux#12" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(14)} -pin "mux#12" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {FRAME:for:acc#14.itm(15)} -pin "mux#12" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#14.itm}
+load net {b(2).sva#1(0)} -pin "mux#12" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(1)} -pin "mux#12" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(2)} -pin "mux#12" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(3)} -pin "mux#12" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(4)} -pin "mux#12" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(5)} -pin "mux#12" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(6)} -pin "mux#12" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(7)} -pin "mux#12" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(8)} -pin "mux#12" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(9)} -pin "mux#12" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(10)} -pin "mux#12" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(11)} -pin "mux#12" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(12)} -pin "mux#12" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(13)} -pin "mux#12" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(14)} -pin "mux#12" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(15)} -pin "mux#12" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {or#4.cse} -pin "mux#12" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#12.itm(0)} -pin "mux#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(1)} -pin "mux#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(2)} -pin "mux#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(3)} -pin "mux#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(4)} -pin "mux#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(5)} -pin "mux#12" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(6)} -pin "mux#12" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(7)} -pin "mux#12" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(8)} -pin "mux#12" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(9)} -pin "mux#12" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(10)} -pin "mux#12" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(11)} -pin "mux#12" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(12)} -pin "mux#12" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(13)} -pin "mux#12" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(14)} -pin "mux#12" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(15)} -pin "mux#12" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load inst "reg(b(2).lpi#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 44585 -attr oid 461 -attr vt d -attr @path {/sobel/sobel:core/reg(b(2).lpi#1)}
+load net {mux#12.itm(0)} -pin "reg(b(2).lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(1)} -pin "reg(b(2).lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(2)} -pin "reg(b(2).lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(3)} -pin "reg(b(2).lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(4)} -pin "reg(b(2).lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(5)} -pin "reg(b(2).lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(6)} -pin "reg(b(2).lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(7)} -pin "reg(b(2).lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(8)} -pin "reg(b(2).lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(9)} -pin "reg(b(2).lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(10)} -pin "reg(b(2).lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(11)} -pin "reg(b(2).lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(12)} -pin "reg(b(2).lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(13)} -pin "reg(b(2).lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(14)} -pin "reg(b(2).lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {mux#12.itm(15)} -pin "reg(b(2).lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#12.itm}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(2).lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(b(2).lpi#1)" {clk} -attr xrf 44586 -attr oid 462 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(b(2).lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(b(2).lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {b(2).lpi#1(0)} -pin "reg(b(2).lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(1)} -pin "reg(b(2).lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(2)} -pin "reg(b(2).lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(3)} -pin "reg(b(2).lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(4)} -pin "reg(b(2).lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(5)} -pin "reg(b(2).lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(6)} -pin "reg(b(2).lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(7)} -pin "reg(b(2).lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(8)} -pin "reg(b(2).lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(9)} -pin "reg(b(2).lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(10)} -pin "reg(b(2).lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(11)} -pin "reg(b(2).lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(12)} -pin "reg(b(2).lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(13)} -pin "reg(b(2).lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(14)} -pin "reg(b(2).lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(15)} -pin "reg(b(2).lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load inst "regs.operator[]#20:mux" "mux(4,10)" "INTERFACE" -attr xrf 44587 -attr oid 463 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#20:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#20:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(0)} -pin "regs.operator[]#20:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(1)} -pin "regs.operator[]#20:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(2)} -pin "regs.operator[]#20:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(3)} -pin "regs.operator[]#20:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(4)} -pin "regs.operator[]#20:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(5)} -pin "regs.operator[]#20:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(6)} -pin "regs.operator[]#20:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(7)} -pin "regs.operator[]#20:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(8)} -pin "regs.operator[]#20:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(9)} -pin "regs.operator[]#20:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(0)} -pin "regs.operator[]#20:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(1)} -pin "regs.operator[]#20:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(2)} -pin "regs.operator[]#20:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(3)} -pin "regs.operator[]#20:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(4)} -pin "regs.operator[]#20:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(5)} -pin "regs.operator[]#20:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(6)} -pin "regs.operator[]#20:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(7)} -pin "regs.operator[]#20:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(8)} -pin "regs.operator[]#20:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(1).sva.dfm:mx0(9)} -pin "regs.operator[]#20:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(0)} -pin "regs.operator[]#20:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(1)} -pin "regs.operator[]#20:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(2)} -pin "regs.operator[]#20:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(3)} -pin "regs.operator[]#20:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(4)} -pin "regs.operator[]#20:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(5)} -pin "regs.operator[]#20:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(6)} -pin "regs.operator[]#20:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(7)} -pin "regs.operator[]#20:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(8)} -pin "regs.operator[]#20:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {regs.regs(0).sva.dfm:mx0(9)} -pin "regs.operator[]#20:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#6.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#20:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#20:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#20:mux.itm(0)} -pin "regs.operator[]#20:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(1)} -pin "regs.operator[]#20:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(2)} -pin "regs.operator[]#20:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(3)} -pin "regs.operator[]#20:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(4)} -pin "regs.operator[]#20:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(5)} -pin "regs.operator[]#20:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(6)} -pin "regs.operator[]#20:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(7)} -pin "regs.operator[]#20:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(8)} -pin "regs.operator[]#20:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(9)} -pin "regs.operator[]#20:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load inst "FRAME:for:mul#2" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44588 -attr oid 464 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#20:mux.itm(0)} -pin "FRAME:for:mul#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(1)} -pin "FRAME:for:mul#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(2)} -pin "FRAME:for:mul#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(3)} -pin "FRAME:for:mul#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(4)} -pin "FRAME:for:mul#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(5)} -pin "FRAME:for:mul#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(6)} -pin "FRAME:for:mul#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(7)} -pin "FRAME:for:mul#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(8)} -pin "FRAME:for:mul#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {regs.operator[]#20:mux.itm(9)} -pin "FRAME:for:mul#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#20:mux.itm}
+load net {FRAME:for:or.itm} -pin "FRAME:for:mul#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#2" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:mul#2.itm(0)} -pin "FRAME:for:mul#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(1)} -pin "FRAME:for:mul#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(2)} -pin "FRAME:for:mul#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(3)} -pin "FRAME:for:mul#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(4)} -pin "FRAME:for:mul#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(5)} -pin "FRAME:for:mul#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(6)} -pin "FRAME:for:mul#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(7)} -pin "FRAME:for:mul#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(8)} -pin "FRAME:for:mul#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(9)} -pin "FRAME:for:mul#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(10)} -pin "FRAME:for:mul#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load inst "FRAME:for:acc#3" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44589 -attr oid 465 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {b(0).lpi#1.dfm(0)} -pin "FRAME:for:acc#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(1)} -pin "FRAME:for:acc#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(2)} -pin "FRAME:for:acc#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(3)} -pin "FRAME:for:acc#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(4)} -pin "FRAME:for:acc#3" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(5)} -pin "FRAME:for:acc#3" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(6)} -pin "FRAME:for:acc#3" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(7)} -pin "FRAME:for:acc#3" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(8)} -pin "FRAME:for:acc#3" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(9)} -pin "FRAME:for:acc#3" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(10)} -pin "FRAME:for:acc#3" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(11)} -pin "FRAME:for:acc#3" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(12)} -pin "FRAME:for:acc#3" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(13)} -pin "FRAME:for:acc#3" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(14)} -pin "FRAME:for:acc#3" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(15)} -pin "FRAME:for:acc#3" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {FRAME:for:mul#2.itm(0)} -pin "FRAME:for:acc#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(1)} -pin "FRAME:for:acc#3" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(2)} -pin "FRAME:for:acc#3" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(3)} -pin "FRAME:for:acc#3" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(4)} -pin "FRAME:for:acc#3" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(5)} -pin "FRAME:for:acc#3" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(6)} -pin "FRAME:for:acc#3" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(7)} -pin "FRAME:for:acc#3" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(8)} -pin "FRAME:for:acc#3" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(9)} -pin "FRAME:for:acc#3" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:mul#2.itm(10)} -pin "FRAME:for:acc#3" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#2.itm}
+load net {FRAME:for:acc#3.itm(0)} -pin "FRAME:for:acc#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(1)} -pin "FRAME:for:acc#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(2)} -pin "FRAME:for:acc#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(3)} -pin "FRAME:for:acc#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(4)} -pin "FRAME:for:acc#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(5)} -pin "FRAME:for:acc#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(6)} -pin "FRAME:for:acc#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(7)} -pin "FRAME:for:acc#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(8)} -pin "FRAME:for:acc#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(9)} -pin "FRAME:for:acc#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(10)} -pin "FRAME:for:acc#3" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(11)} -pin "FRAME:for:acc#3" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(12)} -pin "FRAME:for:acc#3" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(13)} -pin "FRAME:for:acc#3" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(14)} -pin "FRAME:for:acc#3" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(15)} -pin "FRAME:for:acc#3" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load inst "mux#13" "mux(2,16)" "INTERFACE" -attr xrf 44590 -attr oid 466 -attr vt d -attr @path {/sobel/sobel:core/mux#13} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:acc#3.itm(0)} -pin "mux#13" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(1)} -pin "mux#13" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(2)} -pin "mux#13" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(3)} -pin "mux#13" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(4)} -pin "mux#13" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(5)} -pin "mux#13" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(6)} -pin "mux#13" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(7)} -pin "mux#13" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(8)} -pin "mux#13" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(9)} -pin "mux#13" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(10)} -pin "mux#13" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(11)} -pin "mux#13" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(12)} -pin "mux#13" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(13)} -pin "mux#13" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(14)} -pin "mux#13" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {FRAME:for:acc#3.itm(15)} -pin "mux#13" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#3.itm}
+load net {b(0).sva#1(0)} -pin "mux#13" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(1)} -pin "mux#13" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(2)} -pin "mux#13" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(3)} -pin "mux#13" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(4)} -pin "mux#13" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(5)} -pin "mux#13" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(6)} -pin "mux#13" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(7)} -pin "mux#13" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(8)} -pin "mux#13" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(9)} -pin "mux#13" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(10)} -pin "mux#13" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(11)} -pin "mux#13" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(12)} -pin "mux#13" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(13)} -pin "mux#13" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(14)} -pin "mux#13" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(15)} -pin "mux#13" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {or#4.cse} -pin "mux#13" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#13.itm(0)} -pin "mux#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(1)} -pin "mux#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(2)} -pin "mux#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(3)} -pin "mux#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(4)} -pin "mux#13" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(5)} -pin "mux#13" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(6)} -pin "mux#13" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(7)} -pin "mux#13" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(8)} -pin "mux#13" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(9)} -pin "mux#13" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(10)} -pin "mux#13" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(11)} -pin "mux#13" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(12)} -pin "mux#13" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(13)} -pin "mux#13" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(14)} -pin "mux#13" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(15)} -pin "mux#13" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load inst "reg(b(0).lpi#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 44591 -attr oid 467 -attr vt d -attr @path {/sobel/sobel:core/reg(b(0).lpi#1)}
+load net {mux#13.itm(0)} -pin "reg(b(0).lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(1)} -pin "reg(b(0).lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(2)} -pin "reg(b(0).lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(3)} -pin "reg(b(0).lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(4)} -pin "reg(b(0).lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(5)} -pin "reg(b(0).lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(6)} -pin "reg(b(0).lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(7)} -pin "reg(b(0).lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(8)} -pin "reg(b(0).lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(9)} -pin "reg(b(0).lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(10)} -pin "reg(b(0).lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(11)} -pin "reg(b(0).lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(12)} -pin "reg(b(0).lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(13)} -pin "reg(b(0).lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(14)} -pin "reg(b(0).lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {mux#13.itm(15)} -pin "reg(b(0).lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#13.itm}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(b(0).lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(b(0).lpi#1)" {clk} -attr xrf 44592 -attr oid 468 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(b(0).lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(b(0).lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {b(0).lpi#1(0)} -pin "reg(b(0).lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(1)} -pin "reg(b(0).lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(2)} -pin "reg(b(0).lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(3)} -pin "reg(b(0).lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(4)} -pin "reg(b(0).lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(5)} -pin "reg(b(0).lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(6)} -pin "reg(b(0).lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(7)} -pin "reg(b(0).lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(8)} -pin "reg(b(0).lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(9)} -pin "reg(b(0).lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(10)} -pin "reg(b(0).lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(11)} -pin "reg(b(0).lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(12)} -pin "reg(b(0).lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(13)} -pin "reg(b(0).lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(14)} -pin "reg(b(0).lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(15)} -pin "reg(b(0).lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load inst "regs.operator[]#25:mux" "mux(4,10)" "INTERFACE" -attr xrf 44593 -attr oid 469 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#25:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#25:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(70)} -pin "regs.operator[]#25:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(71)} -pin "regs.operator[]#25:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(72)} -pin "regs.operator[]#25:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(73)} -pin "regs.operator[]#25:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(74)} -pin "regs.operator[]#25:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(75)} -pin "regs.operator[]#25:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(76)} -pin "regs.operator[]#25:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(77)} -pin "regs.operator[]#25:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(78)} -pin "regs.operator[]#25:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(79)} -pin "regs.operator[]#25:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(70)} -pin "regs.operator[]#25:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(71)} -pin "regs.operator[]#25:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(72)} -pin "regs.operator[]#25:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(73)} -pin "regs.operator[]#25:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(74)} -pin "regs.operator[]#25:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(75)} -pin "regs.operator[]#25:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(76)} -pin "regs.operator[]#25:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(77)} -pin "regs.operator[]#25:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(78)} -pin "regs.operator[]#25:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(1).sva.dfm:mx0(79)} -pin "regs.operator[]#25:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(70)} -pin "regs.operator[]#25:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(71)} -pin "regs.operator[]#25:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(72)} -pin "regs.operator[]#25:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(73)} -pin "regs.operator[]#25:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(74)} -pin "regs.operator[]#25:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(75)} -pin "regs.operator[]#25:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(76)} -pin "regs.operator[]#25:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(77)} -pin "regs.operator[]#25:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(78)} -pin "regs.operator[]#25:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {regs.regs(0).sva.dfm:mx0(79)} -pin "regs.operator[]#25:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#1.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#25:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#25:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#25:mux.itm(0)} -pin "regs.operator[]#25:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(1)} -pin "regs.operator[]#25:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(2)} -pin "regs.operator[]#25:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(3)} -pin "regs.operator[]#25:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(4)} -pin "regs.operator[]#25:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(5)} -pin "regs.operator[]#25:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(6)} -pin "regs.operator[]#25:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(7)} -pin "regs.operator[]#25:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(8)} -pin "regs.operator[]#25:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(9)} -pin "regs.operator[]#25:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load inst "FRAME:for:mul#7" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44594 -attr oid 470 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#25:mux.itm(0)} -pin "FRAME:for:mul#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(1)} -pin "FRAME:for:mul#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(2)} -pin "FRAME:for:mul#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(3)} -pin "FRAME:for:mul#7" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(4)} -pin "FRAME:for:mul#7" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(5)} -pin "FRAME:for:mul#7" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(6)} -pin "FRAME:for:mul#7" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(7)} -pin "FRAME:for:mul#7" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(8)} -pin "FRAME:for:mul#7" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {regs.operator[]#25:mux.itm(9)} -pin "FRAME:for:mul#7" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#25:mux.itm}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:mul#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:mul#7.itm(0)} -pin "FRAME:for:mul#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(1)} -pin "FRAME:for:mul#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(2)} -pin "FRAME:for:mul#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(3)} -pin "FRAME:for:mul#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(4)} -pin "FRAME:for:mul#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(5)} -pin "FRAME:for:mul#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(6)} -pin "FRAME:for:mul#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(7)} -pin "FRAME:for:mul#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(8)} -pin "FRAME:for:mul#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(9)} -pin "FRAME:for:mul#7" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(10)} -pin "FRAME:for:mul#7" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load inst "FRAME:for:acc#12" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44595 -attr oid 471 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {g(2).lpi#1.dfm(0)} -pin "FRAME:for:acc#12" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(1)} -pin "FRAME:for:acc#12" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(2)} -pin "FRAME:for:acc#12" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(3)} -pin "FRAME:for:acc#12" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(4)} -pin "FRAME:for:acc#12" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(5)} -pin "FRAME:for:acc#12" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(6)} -pin "FRAME:for:acc#12" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(7)} -pin "FRAME:for:acc#12" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(8)} -pin "FRAME:for:acc#12" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(9)} -pin "FRAME:for:acc#12" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(10)} -pin "FRAME:for:acc#12" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(11)} -pin "FRAME:for:acc#12" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(12)} -pin "FRAME:for:acc#12" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(13)} -pin "FRAME:for:acc#12" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(14)} -pin "FRAME:for:acc#12" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(15)} -pin "FRAME:for:acc#12" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {FRAME:for:mul#7.itm(0)} -pin "FRAME:for:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(1)} -pin "FRAME:for:acc#12" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(2)} -pin "FRAME:for:acc#12" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(3)} -pin "FRAME:for:acc#12" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(4)} -pin "FRAME:for:acc#12" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(5)} -pin "FRAME:for:acc#12" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(6)} -pin "FRAME:for:acc#12" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(7)} -pin "FRAME:for:acc#12" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(8)} -pin "FRAME:for:acc#12" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(9)} -pin "FRAME:for:acc#12" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:mul#7.itm(10)} -pin "FRAME:for:acc#12" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#7.itm}
+load net {FRAME:for:acc#12.itm(0)} -pin "FRAME:for:acc#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(1)} -pin "FRAME:for:acc#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(2)} -pin "FRAME:for:acc#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(3)} -pin "FRAME:for:acc#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(4)} -pin "FRAME:for:acc#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(5)} -pin "FRAME:for:acc#12" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(6)} -pin "FRAME:for:acc#12" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(7)} -pin "FRAME:for:acc#12" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(8)} -pin "FRAME:for:acc#12" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(9)} -pin "FRAME:for:acc#12" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(10)} -pin "FRAME:for:acc#12" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(11)} -pin "FRAME:for:acc#12" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(12)} -pin "FRAME:for:acc#12" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(13)} -pin "FRAME:for:acc#12" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(14)} -pin "FRAME:for:acc#12" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(15)} -pin "FRAME:for:acc#12" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load inst "mux#14" "mux(2,16)" "INTERFACE" -attr xrf 44596 -attr oid 472 -attr vt d -attr @path {/sobel/sobel:core/mux#14} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:acc#12.itm(0)} -pin "mux#14" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(1)} -pin "mux#14" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(2)} -pin "mux#14" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(3)} -pin "mux#14" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(4)} -pin "mux#14" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(5)} -pin "mux#14" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(6)} -pin "mux#14" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(7)} -pin "mux#14" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(8)} -pin "mux#14" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(9)} -pin "mux#14" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(10)} -pin "mux#14" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(11)} -pin "mux#14" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(12)} -pin "mux#14" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(13)} -pin "mux#14" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(14)} -pin "mux#14" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {FRAME:for:acc#12.itm(15)} -pin "mux#14" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#12.itm}
+load net {g(2).sva#1(0)} -pin "mux#14" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(1)} -pin "mux#14" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(2)} -pin "mux#14" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(3)} -pin "mux#14" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(4)} -pin "mux#14" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(5)} -pin "mux#14" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(6)} -pin "mux#14" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(7)} -pin "mux#14" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(8)} -pin "mux#14" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(9)} -pin "mux#14" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(10)} -pin "mux#14" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(11)} -pin "mux#14" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(12)} -pin "mux#14" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(13)} -pin "mux#14" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(14)} -pin "mux#14" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(15)} -pin "mux#14" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {or#4.cse} -pin "mux#14" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#14.itm(0)} -pin "mux#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(1)} -pin "mux#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(2)} -pin "mux#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(3)} -pin "mux#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(4)} -pin "mux#14" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(5)} -pin "mux#14" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(6)} -pin "mux#14" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(7)} -pin "mux#14" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(8)} -pin "mux#14" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(9)} -pin "mux#14" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(10)} -pin "mux#14" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(11)} -pin "mux#14" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(12)} -pin "mux#14" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(13)} -pin "mux#14" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(14)} -pin "mux#14" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(15)} -pin "mux#14" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load inst "reg(g(2).lpi#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 44597 -attr oid 473 -attr vt d -attr @path {/sobel/sobel:core/reg(g(2).lpi#1)}
+load net {mux#14.itm(0)} -pin "reg(g(2).lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(1)} -pin "reg(g(2).lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(2)} -pin "reg(g(2).lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(3)} -pin "reg(g(2).lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(4)} -pin "reg(g(2).lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(5)} -pin "reg(g(2).lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(6)} -pin "reg(g(2).lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(7)} -pin "reg(g(2).lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(8)} -pin "reg(g(2).lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(9)} -pin "reg(g(2).lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(10)} -pin "reg(g(2).lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(11)} -pin "reg(g(2).lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(12)} -pin "reg(g(2).lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(13)} -pin "reg(g(2).lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(14)} -pin "reg(g(2).lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {mux#14.itm(15)} -pin "reg(g(2).lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#14.itm}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(2).lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(g(2).lpi#1)" {clk} -attr xrf 44598 -attr oid 474 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(g(2).lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(g(2).lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {g(2).lpi#1(0)} -pin "reg(g(2).lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(1)} -pin "reg(g(2).lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(2)} -pin "reg(g(2).lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(3)} -pin "reg(g(2).lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(4)} -pin "reg(g(2).lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(5)} -pin "reg(g(2).lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(6)} -pin "reg(g(2).lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(7)} -pin "reg(g(2).lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(8)} -pin "reg(g(2).lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(9)} -pin "reg(g(2).lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(10)} -pin "reg(g(2).lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(11)} -pin "reg(g(2).lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(12)} -pin "reg(g(2).lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(13)} -pin "reg(g(2).lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(14)} -pin "reg(g(2).lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(15)} -pin "reg(g(2).lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load inst "regs.operator[]#19:mux" "mux(4,10)" "INTERFACE" -attr xrf 44599 -attr oid 475 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#19:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#19:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(10)} -pin "regs.operator[]#19:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(11)} -pin "regs.operator[]#19:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(12)} -pin "regs.operator[]#19:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(13)} -pin "regs.operator[]#19:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(14)} -pin "regs.operator[]#19:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(15)} -pin "regs.operator[]#19:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(16)} -pin "regs.operator[]#19:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(17)} -pin "regs.operator[]#19:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(18)} -pin "regs.operator[]#19:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(19)} -pin "regs.operator[]#19:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(10)} -pin "regs.operator[]#19:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(11)} -pin "regs.operator[]#19:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(12)} -pin "regs.operator[]#19:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(13)} -pin "regs.operator[]#19:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(14)} -pin "regs.operator[]#19:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(15)} -pin "regs.operator[]#19:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(16)} -pin "regs.operator[]#19:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(17)} -pin "regs.operator[]#19:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(18)} -pin "regs.operator[]#19:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(1).sva.dfm:mx0(19)} -pin "regs.operator[]#19:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(10)} -pin "regs.operator[]#19:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(11)} -pin "regs.operator[]#19:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(12)} -pin "regs.operator[]#19:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(13)} -pin "regs.operator[]#19:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(14)} -pin "regs.operator[]#19:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(15)} -pin "regs.operator[]#19:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(16)} -pin "regs.operator[]#19:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(17)} -pin "regs.operator[]#19:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(18)} -pin "regs.operator[]#19:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {regs.regs(0).sva.dfm:mx0(19)} -pin "regs.operator[]#19:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#7.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#19:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#19:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#19:mux.itm(0)} -pin "regs.operator[]#19:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(1)} -pin "regs.operator[]#19:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(2)} -pin "regs.operator[]#19:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(3)} -pin "regs.operator[]#19:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(4)} -pin "regs.operator[]#19:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(5)} -pin "regs.operator[]#19:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(6)} -pin "regs.operator[]#19:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(7)} -pin "regs.operator[]#19:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(8)} -pin "regs.operator[]#19:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(9)} -pin "regs.operator[]#19:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load inst "FRAME:for:mul#1" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44600 -attr oid 476 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#19:mux.itm(0)} -pin "FRAME:for:mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(1)} -pin "FRAME:for:mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(2)} -pin "FRAME:for:mul#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(3)} -pin "FRAME:for:mul#1" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(4)} -pin "FRAME:for:mul#1" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(5)} -pin "FRAME:for:mul#1" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(6)} -pin "FRAME:for:mul#1" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(7)} -pin "FRAME:for:mul#1" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(8)} -pin "FRAME:for:mul#1" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {regs.operator[]#19:mux.itm(9)} -pin "FRAME:for:mul#1" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#19:mux.itm}
+load net {FRAME:for:or.itm} -pin "FRAME:for:mul#1" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#1" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:mul#1.itm(0)} -pin "FRAME:for:mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(1)} -pin "FRAME:for:mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(2)} -pin "FRAME:for:mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(3)} -pin "FRAME:for:mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(4)} -pin "FRAME:for:mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(5)} -pin "FRAME:for:mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(6)} -pin "FRAME:for:mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(7)} -pin "FRAME:for:mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(8)} -pin "FRAME:for:mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(9)} -pin "FRAME:for:mul#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(10)} -pin "FRAME:for:mul#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load inst "FRAME:for:acc#2" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44601 -attr oid 477 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {g(0).lpi#1.dfm(0)} -pin "FRAME:for:acc#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(1)} -pin "FRAME:for:acc#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(2)} -pin "FRAME:for:acc#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(3)} -pin "FRAME:for:acc#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(4)} -pin "FRAME:for:acc#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(5)} -pin "FRAME:for:acc#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(6)} -pin "FRAME:for:acc#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(7)} -pin "FRAME:for:acc#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(8)} -pin "FRAME:for:acc#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(9)} -pin "FRAME:for:acc#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(10)} -pin "FRAME:for:acc#2" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(11)} -pin "FRAME:for:acc#2" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(12)} -pin "FRAME:for:acc#2" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(13)} -pin "FRAME:for:acc#2" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(14)} -pin "FRAME:for:acc#2" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(15)} -pin "FRAME:for:acc#2" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {FRAME:for:mul#1.itm(0)} -pin "FRAME:for:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(1)} -pin "FRAME:for:acc#2" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(2)} -pin "FRAME:for:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(3)} -pin "FRAME:for:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(4)} -pin "FRAME:for:acc#2" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(5)} -pin "FRAME:for:acc#2" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(6)} -pin "FRAME:for:acc#2" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(7)} -pin "FRAME:for:acc#2" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(8)} -pin "FRAME:for:acc#2" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(9)} -pin "FRAME:for:acc#2" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:mul#1.itm(10)} -pin "FRAME:for:acc#2" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#1.itm}
+load net {FRAME:for:acc#2.itm(0)} -pin "FRAME:for:acc#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(1)} -pin "FRAME:for:acc#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(2)} -pin "FRAME:for:acc#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(3)} -pin "FRAME:for:acc#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(4)} -pin "FRAME:for:acc#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(5)} -pin "FRAME:for:acc#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(6)} -pin "FRAME:for:acc#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(7)} -pin "FRAME:for:acc#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(8)} -pin "FRAME:for:acc#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(9)} -pin "FRAME:for:acc#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(10)} -pin "FRAME:for:acc#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(11)} -pin "FRAME:for:acc#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(12)} -pin "FRAME:for:acc#2" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(13)} -pin "FRAME:for:acc#2" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(14)} -pin "FRAME:for:acc#2" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(15)} -pin "FRAME:for:acc#2" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load inst "mux#15" "mux(2,16)" "INTERFACE" -attr xrf 44602 -attr oid 478 -attr vt d -attr @path {/sobel/sobel:core/mux#15} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:acc#2.itm(0)} -pin "mux#15" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(1)} -pin "mux#15" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(2)} -pin "mux#15" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(3)} -pin "mux#15" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(4)} -pin "mux#15" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(5)} -pin "mux#15" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(6)} -pin "mux#15" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(7)} -pin "mux#15" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(8)} -pin "mux#15" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(9)} -pin "mux#15" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(10)} -pin "mux#15" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(11)} -pin "mux#15" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(12)} -pin "mux#15" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(13)} -pin "mux#15" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(14)} -pin "mux#15" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {FRAME:for:acc#2.itm(15)} -pin "mux#15" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#2.itm}
+load net {g(0).sva#1(0)} -pin "mux#15" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(1)} -pin "mux#15" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(2)} -pin "mux#15" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(3)} -pin "mux#15" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(4)} -pin "mux#15" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(5)} -pin "mux#15" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(6)} -pin "mux#15" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(7)} -pin "mux#15" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(8)} -pin "mux#15" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(9)} -pin "mux#15" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(10)} -pin "mux#15" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(11)} -pin "mux#15" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(12)} -pin "mux#15" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(13)} -pin "mux#15" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(14)} -pin "mux#15" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(15)} -pin "mux#15" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {or#4.cse} -pin "mux#15" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#15.itm(0)} -pin "mux#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(1)} -pin "mux#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(2)} -pin "mux#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(3)} -pin "mux#15" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(4)} -pin "mux#15" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(5)} -pin "mux#15" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(6)} -pin "mux#15" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(7)} -pin "mux#15" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(8)} -pin "mux#15" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(9)} -pin "mux#15" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(10)} -pin "mux#15" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(11)} -pin "mux#15" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(12)} -pin "mux#15" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(13)} -pin "mux#15" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(14)} -pin "mux#15" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(15)} -pin "mux#15" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load inst "reg(g(0).lpi#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 44603 -attr oid 479 -attr vt d -attr @path {/sobel/sobel:core/reg(g(0).lpi#1)}
+load net {mux#15.itm(0)} -pin "reg(g(0).lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(1)} -pin "reg(g(0).lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(2)} -pin "reg(g(0).lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(3)} -pin "reg(g(0).lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(4)} -pin "reg(g(0).lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(5)} -pin "reg(g(0).lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(6)} -pin "reg(g(0).lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(7)} -pin "reg(g(0).lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(8)} -pin "reg(g(0).lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(9)} -pin "reg(g(0).lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(10)} -pin "reg(g(0).lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(11)} -pin "reg(g(0).lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(12)} -pin "reg(g(0).lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(13)} -pin "reg(g(0).lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(14)} -pin "reg(g(0).lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {mux#15.itm(15)} -pin "reg(g(0).lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#15.itm}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(g(0).lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(g(0).lpi#1)" {clk} -attr xrf 44604 -attr oid 480 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(g(0).lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(g(0).lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {g(0).lpi#1(0)} -pin "reg(g(0).lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(1)} -pin "reg(g(0).lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(2)} -pin "reg(g(0).lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(3)} -pin "reg(g(0).lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(4)} -pin "reg(g(0).lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(5)} -pin "reg(g(0).lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(6)} -pin "reg(g(0).lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(7)} -pin "reg(g(0).lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(8)} -pin "reg(g(0).lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(9)} -pin "reg(g(0).lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(10)} -pin "reg(g(0).lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(11)} -pin "reg(g(0).lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(12)} -pin "reg(g(0).lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(13)} -pin "reg(g(0).lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(14)} -pin "reg(g(0).lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(15)} -pin "reg(g(0).lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load inst "regs.operator[]#24:mux" "mux(4,10)" "INTERFACE" -attr xrf 44605 -attr oid 481 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#24:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#24:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(80)} -pin "regs.operator[]#24:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(81)} -pin "regs.operator[]#24:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(82)} -pin "regs.operator[]#24:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(83)} -pin "regs.operator[]#24:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(84)} -pin "regs.operator[]#24:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(85)} -pin "regs.operator[]#24:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(86)} -pin "regs.operator[]#24:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(87)} -pin "regs.operator[]#24:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(88)} -pin "regs.operator[]#24:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(89)} -pin "regs.operator[]#24:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(80)} -pin "regs.operator[]#24:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(81)} -pin "regs.operator[]#24:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(82)} -pin "regs.operator[]#24:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(83)} -pin "regs.operator[]#24:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(84)} -pin "regs.operator[]#24:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(85)} -pin "regs.operator[]#24:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(86)} -pin "regs.operator[]#24:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(87)} -pin "regs.operator[]#24:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(88)} -pin "regs.operator[]#24:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(1).sva.dfm:mx0(89)} -pin "regs.operator[]#24:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(80)} -pin "regs.operator[]#24:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(81)} -pin "regs.operator[]#24:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(82)} -pin "regs.operator[]#24:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(83)} -pin "regs.operator[]#24:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(84)} -pin "regs.operator[]#24:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(85)} -pin "regs.operator[]#24:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(86)} -pin "regs.operator[]#24:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(87)} -pin "regs.operator[]#24:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(88)} -pin "regs.operator[]#24:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {regs.regs(0).sva.dfm:mx0(89)} -pin "regs.operator[]#24:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#2.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#24:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#24:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#24:mux.itm(0)} -pin "regs.operator[]#24:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(1)} -pin "regs.operator[]#24:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(2)} -pin "regs.operator[]#24:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(3)} -pin "regs.operator[]#24:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(4)} -pin "regs.operator[]#24:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(5)} -pin "regs.operator[]#24:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(6)} -pin "regs.operator[]#24:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(7)} -pin "regs.operator[]#24:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(8)} -pin "regs.operator[]#24:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(9)} -pin "regs.operator[]#24:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load inst "FRAME:for:mul#6" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44606 -attr oid 482 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#24:mux.itm(0)} -pin "FRAME:for:mul#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(1)} -pin "FRAME:for:mul#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(2)} -pin "FRAME:for:mul#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(3)} -pin "FRAME:for:mul#6" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(4)} -pin "FRAME:for:mul#6" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(5)} -pin "FRAME:for:mul#6" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(6)} -pin "FRAME:for:mul#6" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(7)} -pin "FRAME:for:mul#6" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(8)} -pin "FRAME:for:mul#6" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {regs.operator[]#24:mux.itm(9)} -pin "FRAME:for:mul#6" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#24:mux.itm}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:mul#6" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul#6" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#28}
+load net {FRAME:for:mul#6.itm(0)} -pin "FRAME:for:mul#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(1)} -pin "FRAME:for:mul#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(2)} -pin "FRAME:for:mul#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(3)} -pin "FRAME:for:mul#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(4)} -pin "FRAME:for:mul#6" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(5)} -pin "FRAME:for:mul#6" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(6)} -pin "FRAME:for:mul#6" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(7)} -pin "FRAME:for:mul#6" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(8)} -pin "FRAME:for:mul#6" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(9)} -pin "FRAME:for:mul#6" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(10)} -pin "FRAME:for:mul#6" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load inst "FRAME:for:acc#10" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44607 -attr oid 483 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {r(2).lpi#1.dfm(0)} -pin "FRAME:for:acc#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(1)} -pin "FRAME:for:acc#10" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(2)} -pin "FRAME:for:acc#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(3)} -pin "FRAME:for:acc#10" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(4)} -pin "FRAME:for:acc#10" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(5)} -pin "FRAME:for:acc#10" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(6)} -pin "FRAME:for:acc#10" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(7)} -pin "FRAME:for:acc#10" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(8)} -pin "FRAME:for:acc#10" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(9)} -pin "FRAME:for:acc#10" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(10)} -pin "FRAME:for:acc#10" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(11)} -pin "FRAME:for:acc#10" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(12)} -pin "FRAME:for:acc#10" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(13)} -pin "FRAME:for:acc#10" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(14)} -pin "FRAME:for:acc#10" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(15)} -pin "FRAME:for:acc#10" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {FRAME:for:mul#6.itm(0)} -pin "FRAME:for:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(1)} -pin "FRAME:for:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(2)} -pin "FRAME:for:acc#10" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(3)} -pin "FRAME:for:acc#10" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(4)} -pin "FRAME:for:acc#10" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(5)} -pin "FRAME:for:acc#10" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(6)} -pin "FRAME:for:acc#10" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(7)} -pin "FRAME:for:acc#10" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(8)} -pin "FRAME:for:acc#10" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(9)} -pin "FRAME:for:acc#10" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:mul#6.itm(10)} -pin "FRAME:for:acc#10" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul#6.itm}
+load net {FRAME:for:acc#10.itm(0)} -pin "FRAME:for:acc#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(1)} -pin "FRAME:for:acc#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(2)} -pin "FRAME:for:acc#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(3)} -pin "FRAME:for:acc#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(4)} -pin "FRAME:for:acc#10" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(5)} -pin "FRAME:for:acc#10" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(6)} -pin "FRAME:for:acc#10" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(7)} -pin "FRAME:for:acc#10" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(8)} -pin "FRAME:for:acc#10" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(9)} -pin "FRAME:for:acc#10" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(10)} -pin "FRAME:for:acc#10" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(11)} -pin "FRAME:for:acc#10" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(12)} -pin "FRAME:for:acc#10" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(13)} -pin "FRAME:for:acc#10" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(14)} -pin "FRAME:for:acc#10" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(15)} -pin "FRAME:for:acc#10" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load inst "mux#16" "mux(2,16)" "INTERFACE" -attr xrf 44608 -attr oid 484 -attr vt d -attr @path {/sobel/sobel:core/mux#16} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:acc#10.itm(0)} -pin "mux#16" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(1)} -pin "mux#16" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(2)} -pin "mux#16" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(3)} -pin "mux#16" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(4)} -pin "mux#16" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(5)} -pin "mux#16" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(6)} -pin "mux#16" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(7)} -pin "mux#16" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(8)} -pin "mux#16" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(9)} -pin "mux#16" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(10)} -pin "mux#16" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(11)} -pin "mux#16" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(12)} -pin "mux#16" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(13)} -pin "mux#16" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(14)} -pin "mux#16" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {FRAME:for:acc#10.itm(15)} -pin "mux#16" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#10.itm}
+load net {r(2).sva#1(0)} -pin "mux#16" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(1)} -pin "mux#16" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(2)} -pin "mux#16" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(3)} -pin "mux#16" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(4)} -pin "mux#16" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(5)} -pin "mux#16" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(6)} -pin "mux#16" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(7)} -pin "mux#16" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(8)} -pin "mux#16" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(9)} -pin "mux#16" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(10)} -pin "mux#16" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(11)} -pin "mux#16" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(12)} -pin "mux#16" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(13)} -pin "mux#16" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(14)} -pin "mux#16" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(15)} -pin "mux#16" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {or#4.cse} -pin "mux#16" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#16.itm(0)} -pin "mux#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(1)} -pin "mux#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(2)} -pin "mux#16" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(3)} -pin "mux#16" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(4)} -pin "mux#16" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(5)} -pin "mux#16" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(6)} -pin "mux#16" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(7)} -pin "mux#16" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(8)} -pin "mux#16" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(9)} -pin "mux#16" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(10)} -pin "mux#16" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(11)} -pin "mux#16" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(12)} -pin "mux#16" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(13)} -pin "mux#16" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(14)} -pin "mux#16" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(15)} -pin "mux#16" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load inst "reg(r(2).lpi#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 44609 -attr oid 485 -attr vt d -attr @path {/sobel/sobel:core/reg(r(2).lpi#1)}
+load net {mux#16.itm(0)} -pin "reg(r(2).lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(1)} -pin "reg(r(2).lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(2)} -pin "reg(r(2).lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(3)} -pin "reg(r(2).lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(4)} -pin "reg(r(2).lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(5)} -pin "reg(r(2).lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(6)} -pin "reg(r(2).lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(7)} -pin "reg(r(2).lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(8)} -pin "reg(r(2).lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(9)} -pin "reg(r(2).lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(10)} -pin "reg(r(2).lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(11)} -pin "reg(r(2).lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(12)} -pin "reg(r(2).lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(13)} -pin "reg(r(2).lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(14)} -pin "reg(r(2).lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {mux#16.itm(15)} -pin "reg(r(2).lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#16.itm}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(2).lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(r(2).lpi#1)" {clk} -attr xrf 44610 -attr oid 486 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(r(2).lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(r(2).lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {r(2).lpi#1(0)} -pin "reg(r(2).lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(1)} -pin "reg(r(2).lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(2)} -pin "reg(r(2).lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(3)} -pin "reg(r(2).lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(4)} -pin "reg(r(2).lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(5)} -pin "reg(r(2).lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(6)} -pin "reg(r(2).lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(7)} -pin "reg(r(2).lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(8)} -pin "reg(r(2).lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(9)} -pin "reg(r(2).lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(10)} -pin "reg(r(2).lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(11)} -pin "reg(r(2).lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(12)} -pin "reg(r(2).lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(13)} -pin "reg(r(2).lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(14)} -pin "reg(r(2).lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(15)} -pin "reg(r(2).lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load inst "regs.operator[]#18:mux" "mux(4,10)" "INTERFACE" -attr xrf 44611 -attr oid 487 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#18:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#18:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm:mx0(20)} -pin "regs.operator[]#18:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(21)} -pin "regs.operator[]#18:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(22)} -pin "regs.operator[]#18:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(23)} -pin "regs.operator[]#18:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(24)} -pin "regs.operator[]#18:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(25)} -pin "regs.operator[]#18:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(26)} -pin "regs.operator[]#18:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(27)} -pin "regs.operator[]#18:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(28)} -pin "regs.operator[]#18:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(2).lpi#1.dfm:mx0(29)} -pin "regs.operator[]#18:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(20)} -pin "regs.operator[]#18:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(21)} -pin "regs.operator[]#18:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(22)} -pin "regs.operator[]#18:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(23)} -pin "regs.operator[]#18:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(24)} -pin "regs.operator[]#18:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(25)} -pin "regs.operator[]#18:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(26)} -pin "regs.operator[]#18:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(27)} -pin "regs.operator[]#18:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(28)} -pin "regs.operator[]#18:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(1).sva.dfm:mx0(29)} -pin "regs.operator[]#18:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(20)} -pin "regs.operator[]#18:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(21)} -pin "regs.operator[]#18:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(22)} -pin "regs.operator[]#18:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(23)} -pin "regs.operator[]#18:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(24)} -pin "regs.operator[]#18:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(25)} -pin "regs.operator[]#18:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(26)} -pin "regs.operator[]#18:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(27)} -pin "regs.operator[]#18:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(28)} -pin "regs.operator[]#18:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {regs.regs(0).sva.dfm:mx0(29)} -pin "regs.operator[]#18:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva.dfm:mx0)#8.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "regs.operator[]#18:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "regs.operator[]#18:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {regs.operator[]#18:mux.itm(0)} -pin "regs.operator[]#18:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(1)} -pin "regs.operator[]#18:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(2)} -pin "regs.operator[]#18:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(3)} -pin "regs.operator[]#18:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(4)} -pin "regs.operator[]#18:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(5)} -pin "regs.operator[]#18:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(6)} -pin "regs.operator[]#18:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(7)} -pin "regs.operator[]#18:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(8)} -pin "regs.operator[]#18:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(9)} -pin "regs.operator[]#18:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load inst "FRAME:for:mul" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44612 -attr oid 488 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#18:mux.itm(0)} -pin "FRAME:for:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(1)} -pin "FRAME:for:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(2)} -pin "FRAME:for:mul" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(3)} -pin "FRAME:for:mul" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(4)} -pin "FRAME:for:mul" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(5)} -pin "FRAME:for:mul" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(6)} -pin "FRAME:for:mul" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(7)} -pin "FRAME:for:mul" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(8)} -pin "FRAME:for:mul" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {regs.operator[]#18:mux.itm(9)} -pin "FRAME:for:mul" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#18:mux.itm}
+load net {FRAME:for:or.itm} -pin "FRAME:for:mul" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:mul" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:conc#30}
+load net {FRAME:for:mul.itm(0)} -pin "FRAME:for:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(1)} -pin "FRAME:for:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(2)} -pin "FRAME:for:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(3)} -pin "FRAME:for:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(4)} -pin "FRAME:for:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(5)} -pin "FRAME:for:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(6)} -pin "FRAME:for:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(7)} -pin "FRAME:for:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(8)} -pin "FRAME:for:mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(9)} -pin "FRAME:for:mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(10)} -pin "FRAME:for:mul" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load inst "FRAME:for:acc#1" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44613 -attr oid 489 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {r(0).lpi#1.dfm(0)} -pin "FRAME:for:acc#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(1)} -pin "FRAME:for:acc#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(2)} -pin "FRAME:for:acc#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(3)} -pin "FRAME:for:acc#1" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(4)} -pin "FRAME:for:acc#1" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(5)} -pin "FRAME:for:acc#1" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(6)} -pin "FRAME:for:acc#1" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(7)} -pin "FRAME:for:acc#1" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(8)} -pin "FRAME:for:acc#1" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(9)} -pin "FRAME:for:acc#1" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(10)} -pin "FRAME:for:acc#1" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(11)} -pin "FRAME:for:acc#1" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(12)} -pin "FRAME:for:acc#1" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(13)} -pin "FRAME:for:acc#1" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(14)} -pin "FRAME:for:acc#1" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(15)} -pin "FRAME:for:acc#1" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {FRAME:for:mul.itm(0)} -pin "FRAME:for:acc#1" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(1)} -pin "FRAME:for:acc#1" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(2)} -pin "FRAME:for:acc#1" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(3)} -pin "FRAME:for:acc#1" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(4)} -pin "FRAME:for:acc#1" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(5)} -pin "FRAME:for:acc#1" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(6)} -pin "FRAME:for:acc#1" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(7)} -pin "FRAME:for:acc#1" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(8)} -pin "FRAME:for:acc#1" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(9)} -pin "FRAME:for:acc#1" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:mul.itm(10)} -pin "FRAME:for:acc#1" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:mul.itm}
+load net {FRAME:for:acc#1.itm(0)} -pin "FRAME:for:acc#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(1)} -pin "FRAME:for:acc#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(2)} -pin "FRAME:for:acc#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(3)} -pin "FRAME:for:acc#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(4)} -pin "FRAME:for:acc#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(5)} -pin "FRAME:for:acc#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(6)} -pin "FRAME:for:acc#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(7)} -pin "FRAME:for:acc#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(8)} -pin "FRAME:for:acc#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(9)} -pin "FRAME:for:acc#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(10)} -pin "FRAME:for:acc#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(11)} -pin "FRAME:for:acc#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(12)} -pin "FRAME:for:acc#1" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(13)} -pin "FRAME:for:acc#1" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(14)} -pin "FRAME:for:acc#1" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(15)} -pin "FRAME:for:acc#1" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load inst "mux#17" "mux(2,16)" "INTERFACE" -attr xrf 44614 -attr oid 490 -attr vt d -attr @path {/sobel/sobel:core/mux#17} -attr area 14.711768 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(16,1,2)"
+load net {FRAME:for:acc#1.itm(0)} -pin "mux#17" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(1)} -pin "mux#17" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(2)} -pin "mux#17" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(3)} -pin "mux#17" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(4)} -pin "mux#17" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(5)} -pin "mux#17" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(6)} -pin "mux#17" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(7)} -pin "mux#17" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(8)} -pin "mux#17" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(9)} -pin "mux#17" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(10)} -pin "mux#17" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(11)} -pin "mux#17" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(12)} -pin "mux#17" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(13)} -pin "mux#17" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(14)} -pin "mux#17" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {FRAME:for:acc#1.itm(15)} -pin "mux#17" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#1.itm}
+load net {r(0).sva#1(0)} -pin "mux#17" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(1)} -pin "mux#17" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(2)} -pin "mux#17" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(3)} -pin "mux#17" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(4)} -pin "mux#17" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(5)} -pin "mux#17" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(6)} -pin "mux#17" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(7)} -pin "mux#17" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(8)} -pin "mux#17" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(9)} -pin "mux#17" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(10)} -pin "mux#17" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(11)} -pin "mux#17" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(12)} -pin "mux#17" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(13)} -pin "mux#17" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(14)} -pin "mux#17" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(15)} -pin "mux#17" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {or#4.cse} -pin "mux#17" {S(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load net {mux#17.itm(0)} -pin "mux#17" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(1)} -pin "mux#17" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(2)} -pin "mux#17" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(3)} -pin "mux#17" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(4)} -pin "mux#17" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(5)} -pin "mux#17" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(6)} -pin "mux#17" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(7)} -pin "mux#17" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(8)} -pin "mux#17" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(9)} -pin "mux#17" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(10)} -pin "mux#17" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(11)} -pin "mux#17" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(12)} -pin "mux#17" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(13)} -pin "mux#17" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(14)} -pin "mux#17" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(15)} -pin "mux#17" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load inst "reg(r(0).lpi#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 44615 -attr oid 491 -attr vt d -attr @path {/sobel/sobel:core/reg(r(0).lpi#1)}
+load net {mux#17.itm(0)} -pin "reg(r(0).lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(1)} -pin "reg(r(0).lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(2)} -pin "reg(r(0).lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(3)} -pin "reg(r(0).lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(4)} -pin "reg(r(0).lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(5)} -pin "reg(r(0).lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(6)} -pin "reg(r(0).lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(7)} -pin "reg(r(0).lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(8)} -pin "reg(r(0).lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(9)} -pin "reg(r(0).lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(10)} -pin "reg(r(0).lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(11)} -pin "reg(r(0).lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(12)} -pin "reg(r(0).lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(13)} -pin "reg(r(0).lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(14)} -pin "reg(r(0).lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {mux#17.itm(15)} -pin "reg(r(0).lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#17.itm}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
+load net {GND} -pin "reg(r(0).lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
+load net {clk} -pin "reg(r(0).lpi#1)" {clk} -attr xrf 44616 -attr oid 492 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(r(0).lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(r(0).lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {r(0).lpi#1(0)} -pin "reg(r(0).lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(1)} -pin "reg(r(0).lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(2)} -pin "reg(r(0).lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(3)} -pin "reg(r(0).lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(4)} -pin "reg(r(0).lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(5)} -pin "reg(r(0).lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(6)} -pin "reg(r(0).lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(7)} -pin "reg(r(0).lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(8)} -pin "reg(r(0).lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(9)} -pin "reg(r(0).lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(10)} -pin "reg(r(0).lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(11)} -pin "reg(r(0).lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(12)} -pin "reg(r(0).lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(13)} -pin "reg(r(0).lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(14)} -pin "reg(r(0).lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(15)} -pin "reg(r(0).lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load inst "not" "not(1)" "INTERFACE" -attr @path {/sobel/sobel:core/not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:for#1:acc.itm(1)} -pin "not" {A(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:slc#2.itm}
+load net {not.itm} -pin "not" {Z(0)} -attr @path {/sobel/sobel:core/not.itm}
+load inst "and#3" "and(3,1)" "INTERFACE" -attr @path {/sobel/sobel:core/and#3} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,3)"
+load net {and.dcpl#1} -pin "and#3" {A0(0)} -attr @path {/sobel/sobel:core/and.dcpl#1}
+load net {exit:FRAME:for.lpi#1} -pin "and#3" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1}
+load net {not.itm} -pin "and#3" {A2(0)} -attr @path {/sobel/sobel:core/not.itm}
+load net {and#3.itm} -pin "and#3" {Z(0)} -attr @path {/sobel/sobel:core/and#3.itm}
+load inst "mux#18" "mux(2,19)" "INTERFACE" -attr xrf 44617 -attr oid 493 -attr vt d -attr @path {/sobel/sobel:core/mux#18} -attr area 17.470037 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(19,1,2)"
+load net {FRAME:p#1.sva#1(0)} -pin "mux#18" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(1)} -pin "mux#18" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(2)} -pin "mux#18" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(3)} -pin "mux#18" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(4)} -pin "mux#18" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(5)} -pin "mux#18" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(6)} -pin "mux#18" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(7)} -pin "mux#18" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(8)} -pin "mux#18" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(9)} -pin "mux#18" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(10)} -pin "mux#18" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(11)} -pin "mux#18" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(12)} -pin "mux#18" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(13)} -pin "mux#18" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(14)} -pin "mux#18" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(15)} -pin "mux#18" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(16)} -pin "mux#18" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(17)} -pin "mux#18" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(18)} -pin "mux#18" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.lpi#1.dfm(0)} -pin "mux#18" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(1)} -pin "mux#18" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(2)} -pin "mux#18" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(3)} -pin "mux#18" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(4)} -pin "mux#18" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(5)} -pin "mux#18" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(6)} -pin "mux#18" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(7)} -pin "mux#18" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(8)} -pin "mux#18" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(9)} -pin "mux#18" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(10)} -pin "mux#18" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(11)} -pin "mux#18" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(12)} -pin "mux#18" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(13)} -pin "mux#18" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(14)} -pin "mux#18" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(15)} -pin "mux#18" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(16)} -pin "mux#18" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(17)} -pin "mux#18" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(18)} -pin "mux#18" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {and#3.itm} -pin "mux#18" {S(0)} -attr @path {/sobel/sobel:core/and#3.itm}
+load net {mux#18.itm(0)} -pin "mux#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(1)} -pin "mux#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(2)} -pin "mux#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(3)} -pin "mux#18" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(4)} -pin "mux#18" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(5)} -pin "mux#18" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(6)} -pin "mux#18" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(7)} -pin "mux#18" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(8)} -pin "mux#18" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(9)} -pin "mux#18" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(10)} -pin "mux#18" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(11)} -pin "mux#18" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(12)} -pin "mux#18" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(13)} -pin "mux#18" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(14)} -pin "mux#18" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(15)} -pin "mux#18" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(16)} -pin "mux#18" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(17)} -pin "mux#18" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(18)} -pin "mux#18" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load inst "reg(FRAME:p#1.lpi#1)" "reg(19,1,1,-1,0)" "INTERFACE" -attr xrf 44618 -attr oid 494 -attr vt d -attr @path {/sobel/sobel:core/reg(FRAME:p#1.lpi#1)}
+load net {mux#18.itm(0)} -pin "reg(FRAME:p#1.lpi#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(1)} -pin "reg(FRAME:p#1.lpi#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(2)} -pin "reg(FRAME:p#1.lpi#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(3)} -pin "reg(FRAME:p#1.lpi#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(4)} -pin "reg(FRAME:p#1.lpi#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(5)} -pin "reg(FRAME:p#1.lpi#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(6)} -pin "reg(FRAME:p#1.lpi#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(7)} -pin "reg(FRAME:p#1.lpi#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(8)} -pin "reg(FRAME:p#1.lpi#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(9)} -pin "reg(FRAME:p#1.lpi#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(10)} -pin "reg(FRAME:p#1.lpi#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(11)} -pin "reg(FRAME:p#1.lpi#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(12)} -pin "reg(FRAME:p#1.lpi#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(13)} -pin "reg(FRAME:p#1.lpi#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(14)} -pin "reg(FRAME:p#1.lpi#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(15)} -pin "reg(FRAME:p#1.lpi#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(16)} -pin "reg(FRAME:p#1.lpi#1)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(17)} -pin "reg(FRAME:p#1.lpi#1)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {mux#18.itm(18)} -pin "reg(FRAME:p#1.lpi#1)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/mux#18.itm}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_19}
+load net {GND} -pin "reg(FRAME:p#1.lpi#1)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_19}
+load net {clk} -pin "reg(FRAME:p#1.lpi#1)" {clk} -attr xrf 44619 -attr oid 495 -attr @path {/sobel/sobel:core/clk}
+load net {en} -pin "reg(FRAME:p#1.lpi#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
+load net {arst_n} -pin "reg(FRAME:p#1.lpi#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
+load net {FRAME:p#1.lpi#1(0)} -pin "reg(FRAME:p#1.lpi#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(1)} -pin "reg(FRAME:p#1.lpi#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(2)} -pin "reg(FRAME:p#1.lpi#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(3)} -pin "reg(FRAME:p#1.lpi#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(4)} -pin "reg(FRAME:p#1.lpi#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(5)} -pin "reg(FRAME:p#1.lpi#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(6)} -pin "reg(FRAME:p#1.lpi#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(7)} -pin "reg(FRAME:p#1.lpi#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(8)} -pin "reg(FRAME:p#1.lpi#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(9)} -pin "reg(FRAME:p#1.lpi#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(10)} -pin "reg(FRAME:p#1.lpi#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(11)} -pin "reg(FRAME:p#1.lpi#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(12)} -pin "reg(FRAME:p#1.lpi#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(13)} -pin "reg(FRAME:p#1.lpi#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(14)} -pin "reg(FRAME:p#1.lpi#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(15)} -pin "reg(FRAME:p#1.lpi#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(16)} -pin "reg(FRAME:p#1.lpi#1)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(17)} -pin "reg(FRAME:p#1.lpi#1)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(18)} -pin "reg(FRAME:p#1.lpi#1)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load inst "FRAME:acc#19" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 44620 -attr oid 496 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,5)"
+load net {FRAME:acc#18.itm#1(0)} -pin "FRAME:acc#19" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(1)} -pin "FRAME:acc#19" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(2)} -pin "FRAME:acc#19" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(3)} -pin "FRAME:acc#19" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:acc#18.itm#1(4)} -pin "FRAME:acc#19" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm#1}
+load net {FRAME:slc(acc.imod#11)#4.itm#1} -pin "FRAME:acc#19" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#147.itm}
+load net {PWR} -pin "FRAME:acc#19" {B(1)} -attr @path {/sobel/sobel:core/conc#147.itm}
+load net {GND} -pin "FRAME:acc#19" {B(2)} -attr @path {/sobel/sobel:core/conc#147.itm}
+load net {GND} -pin "FRAME:acc#19" {B(3)} -attr @path {/sobel/sobel:core/conc#147.itm}
+load net {PWR} -pin "FRAME:acc#19" {B(4)} -attr @path {/sobel/sobel:core/conc#147.itm}
+load net {FRAME:acc#19.itm(0)} -pin "FRAME:acc#19" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(1)} -pin "FRAME:acc#19" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(2)} -pin "FRAME:acc#19" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(3)} -pin "FRAME:acc#19" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(4)} -pin "FRAME:acc#19" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load inst "FRAME:acc#20" "add(6,0,5,1,8)" "INTERFACE" -attr xrf 44621 -attr oid 497 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,5,1,8)"
+load net {green:slc(green#2.sg1).itm#1(0)} -pin "FRAME:acc#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(1)} -pin "FRAME:acc#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(2)} -pin "FRAME:acc#20" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(3)} -pin "FRAME:acc#20" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(4)} -pin "FRAME:acc#20" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {green:slc(green#2.sg1).itm#1(5)} -pin "FRAME:acc#20" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/green:slc(green#2.sg1).itm#1}
+load net {FRAME:acc#19.itm(0)} -pin "FRAME:acc#20" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(1)} -pin "FRAME:acc#20" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(2)} -pin "FRAME:acc#20" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(3)} -pin "FRAME:acc#20" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#19.itm(4)} -pin "FRAME:acc#20" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
+load net {FRAME:acc#20.itm(0)} -pin "FRAME:acc#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(1)} -pin "FRAME:acc#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(2)} -pin "FRAME:acc#20" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(3)} -pin "FRAME:acc#20" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(4)} -pin "FRAME:acc#20" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(5)} -pin "FRAME:acc#20" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(6)} -pin "FRAME:acc#20" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(7)} -pin "FRAME:acc#20" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load inst "FRAME:acc#21" "add(9,0,8,1,10)" "INTERFACE" -attr xrf 44622 -attr oid 498 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,1,10)"
+load net {FRAME:mul#3.itm#1(0)} -pin "FRAME:acc#21" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(1)} -pin "FRAME:acc#21" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(2)} -pin "FRAME:acc#21" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(3)} -pin "FRAME:acc#21" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(4)} -pin "FRAME:acc#21" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(5)} -pin "FRAME:acc#21" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(6)} -pin "FRAME:acc#21" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(7)} -pin "FRAME:acc#21" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:mul#3.itm#1(8)} -pin "FRAME:acc#21" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm#1}
+load net {FRAME:acc#20.itm(0)} -pin "FRAME:acc#21" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(1)} -pin "FRAME:acc#21" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(2)} -pin "FRAME:acc#21" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(3)} -pin "FRAME:acc#21" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(4)} -pin "FRAME:acc#21" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(5)} -pin "FRAME:acc#21" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(6)} -pin "FRAME:acc#21" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#20.itm(7)} -pin "FRAME:acc#21" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
+load net {FRAME:acc#21.itm(0)} -pin "FRAME:acc#21" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(1)} -pin "FRAME:acc#21" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(2)} -pin "FRAME:acc#21" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(3)} -pin "FRAME:acc#21" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(4)} -pin "FRAME:acc#21" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(5)} -pin "FRAME:acc#21" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(6)} -pin "FRAME:acc#21" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(7)} -pin "FRAME:acc#21" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(8)} -pin "FRAME:acc#21" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(9)} -pin "FRAME:acc#21" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load inst "FRAME:acc#22" "add(11,0,10,1,12)" "INTERFACE" -attr xrf 44623 -attr oid 499 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,10,1,12)"
+load net {FRAME:mul#2.itm#1(0)} -pin "FRAME:acc#22" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(1)} -pin "FRAME:acc#22" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(2)} -pin "FRAME:acc#22" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(3)} -pin "FRAME:acc#22" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(4)} -pin "FRAME:acc#22" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(5)} -pin "FRAME:acc#22" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(6)} -pin "FRAME:acc#22" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(7)} -pin "FRAME:acc#22" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(8)} -pin "FRAME:acc#22" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(9)} -pin "FRAME:acc#22" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:mul#2.itm#1(10)} -pin "FRAME:acc#22" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#2.itm#1}
+load net {FRAME:acc#21.itm(0)} -pin "FRAME:acc#22" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(1)} -pin "FRAME:acc#22" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(2)} -pin "FRAME:acc#22" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(3)} -pin "FRAME:acc#22" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(4)} -pin "FRAME:acc#22" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(5)} -pin "FRAME:acc#22" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(6)} -pin "FRAME:acc#22" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(7)} -pin "FRAME:acc#22" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(8)} -pin "FRAME:acc#22" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#21.itm(9)} -pin "FRAME:acc#22" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
+load net {FRAME:acc#22.itm(0)} -pin "FRAME:acc#22" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(1)} -pin "FRAME:acc#22" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(2)} -pin "FRAME:acc#22" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(3)} -pin "FRAME:acc#22" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(4)} -pin "FRAME:acc#22" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(5)} -pin "FRAME:acc#22" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(6)} -pin "FRAME:acc#22" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(7)} -pin "FRAME:acc#22" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(8)} -pin "FRAME:acc#22" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(9)} -pin "FRAME:acc#22" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(10)} -pin "FRAME:acc#22" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(11)} -pin "FRAME:acc#22" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load inst "FRAME:acc#3" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 44624 -attr oid 500 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3} -attr area 13.227600 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,11,0,12)"
+load net {FRAME:acc#22.itm(0)} -pin "FRAME:acc#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(1)} -pin "FRAME:acc#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(2)} -pin "FRAME:acc#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(3)} -pin "FRAME:acc#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(4)} -pin "FRAME:acc#3" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(5)} -pin "FRAME:acc#3" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(6)} -pin "FRAME:acc#3" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(7)} -pin "FRAME:acc#3" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(8)} -pin "FRAME:acc#3" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(9)} -pin "FRAME:acc#3" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(10)} -pin "FRAME:acc#3" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {FRAME:acc#22.itm(11)} -pin "FRAME:acc#3" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {GND} -pin "FRAME:acc#3" {B(1)} -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {GND} -pin "FRAME:acc#3" {B(5)} -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {GND} -pin "FRAME:acc#3" {B(6)} -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {GND} -pin "FRAME:acc#3" {B(7)} -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {green:slc(green#2.sg1)#12.itm#1} -pin "FRAME:acc#3" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/exs#4.itm}
+load net {FRAME:acc#3.psp.sva(0)} -pin "FRAME:acc#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(1)} -pin "FRAME:acc#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(2)} -pin "FRAME:acc#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(3)} -pin "FRAME:acc#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(4)} -pin "FRAME:acc#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(5)} -pin "FRAME:acc#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(6)} -pin "FRAME:acc#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(7)} -pin "FRAME:acc#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(8)} -pin "FRAME:acc#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(9)} -pin "FRAME:acc#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(10)} -pin "FRAME:acc#3" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load net {FRAME:acc#3.psp.sva(11)} -pin "FRAME:acc#3" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.psp.sva}
+load inst "FRAME:acc#31" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 44625 -attr oid 501 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,5)"
+load net {FRAME:acc#30.itm#1(0)} -pin "FRAME:acc#31" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(1)} -pin "FRAME:acc#31" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(2)} -pin "FRAME:acc#31" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(3)} -pin "FRAME:acc#31" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:acc#30.itm#1(4)} -pin "FRAME:acc#31" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#30.itm#1}
+load net {FRAME:slc(acc.imod#13)#4.itm#1} -pin "FRAME:acc#31" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#150.itm}
+load net {PWR} -pin "FRAME:acc#31" {B(1)} -attr @path {/sobel/sobel:core/conc#150.itm}
+load net {GND} -pin "FRAME:acc#31" {B(2)} -attr @path {/sobel/sobel:core/conc#150.itm}
+load net {GND} -pin "FRAME:acc#31" {B(3)} -attr @path {/sobel/sobel:core/conc#150.itm}
+load net {PWR} -pin "FRAME:acc#31" {B(4)} -attr @path {/sobel/sobel:core/conc#150.itm}
+load net {FRAME:acc#31.itm(0)} -pin "FRAME:acc#31" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(1)} -pin "FRAME:acc#31" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(2)} -pin "FRAME:acc#31" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(3)} -pin "FRAME:acc#31" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(4)} -pin "FRAME:acc#31" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load inst "FRAME:acc#32" "add(6,0,5,1,8)" "INTERFACE" -attr xrf 44626 -attr oid 502 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,5,1,8)"
+load net {blue:slc(blue#2.sg1).itm#1(0)} -pin "FRAME:acc#32" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(1)} -pin "FRAME:acc#32" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(2)} -pin "FRAME:acc#32" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(3)} -pin "FRAME:acc#32" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(4)} -pin "FRAME:acc#32" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {blue:slc(blue#2.sg1).itm#1(5)} -pin "FRAME:acc#32" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/blue:slc(blue#2.sg1).itm#1}
+load net {FRAME:acc#31.itm(0)} -pin "FRAME:acc#32" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(1)} -pin "FRAME:acc#32" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(2)} -pin "FRAME:acc#32" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(3)} -pin "FRAME:acc#32" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#31.itm(4)} -pin "FRAME:acc#32" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
+load net {FRAME:acc#32.itm(0)} -pin "FRAME:acc#32" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(1)} -pin "FRAME:acc#32" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(2)} -pin "FRAME:acc#32" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(3)} -pin "FRAME:acc#32" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(4)} -pin "FRAME:acc#32" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(5)} -pin "FRAME:acc#32" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(6)} -pin "FRAME:acc#32" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(7)} -pin "FRAME:acc#32" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load inst "FRAME:acc#33" "add(9,0,8,1,10)" "INTERFACE" -attr xrf 44627 -attr oid 503 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,8,1,10)"
+load net {FRAME:mul#5.itm#1(0)} -pin "FRAME:acc#33" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(1)} -pin "FRAME:acc#33" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(2)} -pin "FRAME:acc#33" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(3)} -pin "FRAME:acc#33" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(4)} -pin "FRAME:acc#33" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(5)} -pin "FRAME:acc#33" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(6)} -pin "FRAME:acc#33" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(7)} -pin "FRAME:acc#33" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:mul#5.itm#1(8)} -pin "FRAME:acc#33" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#5.itm#1}
+load net {FRAME:acc#32.itm(0)} -pin "FRAME:acc#33" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(1)} -pin "FRAME:acc#33" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(2)} -pin "FRAME:acc#33" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(3)} -pin "FRAME:acc#33" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(4)} -pin "FRAME:acc#33" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(5)} -pin "FRAME:acc#33" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(6)} -pin "FRAME:acc#33" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#32.itm(7)} -pin "FRAME:acc#33" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
+load net {FRAME:acc#33.itm(0)} -pin "FRAME:acc#33" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(1)} -pin "FRAME:acc#33" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(2)} -pin "FRAME:acc#33" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(3)} -pin "FRAME:acc#33" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(4)} -pin "FRAME:acc#33" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(5)} -pin "FRAME:acc#33" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(6)} -pin "FRAME:acc#33" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(7)} -pin "FRAME:acc#33" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(8)} -pin "FRAME:acc#33" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(9)} -pin "FRAME:acc#33" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load inst "FRAME:acc#34" "add(11,0,10,1,12)" "INTERFACE" -attr xrf 44628 -attr oid 504 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,10,1,12)"
+load net {FRAME:mul#4.itm#1(0)} -pin "FRAME:acc#34" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(1)} -pin "FRAME:acc#34" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(2)} -pin "FRAME:acc#34" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(3)} -pin "FRAME:acc#34" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(4)} -pin "FRAME:acc#34" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(5)} -pin "FRAME:acc#34" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(6)} -pin "FRAME:acc#34" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(7)} -pin "FRAME:acc#34" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(8)} -pin "FRAME:acc#34" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(9)} -pin "FRAME:acc#34" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:mul#4.itm#1(10)} -pin "FRAME:acc#34" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#4.itm#1}
+load net {FRAME:acc#33.itm(0)} -pin "FRAME:acc#34" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(1)} -pin "FRAME:acc#34" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(2)} -pin "FRAME:acc#34" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(3)} -pin "FRAME:acc#34" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(4)} -pin "FRAME:acc#34" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(5)} -pin "FRAME:acc#34" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(6)} -pin "FRAME:acc#34" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(7)} -pin "FRAME:acc#34" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(8)} -pin "FRAME:acc#34" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#33.itm(9)} -pin "FRAME:acc#34" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
+load net {FRAME:acc#34.itm(0)} -pin "FRAME:acc#34" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(1)} -pin "FRAME:acc#34" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(2)} -pin "FRAME:acc#34" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(3)} -pin "FRAME:acc#34" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(4)} -pin "FRAME:acc#34" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(5)} -pin "FRAME:acc#34" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(6)} -pin "FRAME:acc#34" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(7)} -pin "FRAME:acc#34" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(8)} -pin "FRAME:acc#34" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(9)} -pin "FRAME:acc#34" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(10)} -pin "FRAME:acc#34" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(11)} -pin "FRAME:acc#34" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load inst "FRAME:acc#4" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 44629 -attr oid 505 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4} -attr area 13.227600 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,11,0,12)"
+load net {FRAME:acc#34.itm(0)} -pin "FRAME:acc#4" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(1)} -pin "FRAME:acc#4" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(2)} -pin "FRAME:acc#4" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(3)} -pin "FRAME:acc#4" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(4)} -pin "FRAME:acc#4" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(5)} -pin "FRAME:acc#4" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(6)} -pin "FRAME:acc#4" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(7)} -pin "FRAME:acc#4" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(8)} -pin "FRAME:acc#4" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(9)} -pin "FRAME:acc#4" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(10)} -pin "FRAME:acc#4" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {FRAME:acc#34.itm(11)} -pin "FRAME:acc#4" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#34.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {GND} -pin "FRAME:acc#4" {B(1)} -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {GND} -pin "FRAME:acc#4" {B(5)} -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {GND} -pin "FRAME:acc#4" {B(6)} -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {GND} -pin "FRAME:acc#4" {B(7)} -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {blue:slc(blue#2.sg1)#12.itm#1} -pin "FRAME:acc#4" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/exs#5.itm}
+load net {FRAME:acc#4.psp.sva(0)} -pin "FRAME:acc#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(1)} -pin "FRAME:acc#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(2)} -pin "FRAME:acc#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(3)} -pin "FRAME:acc#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(4)} -pin "FRAME:acc#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(5)} -pin "FRAME:acc#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(6)} -pin "FRAME:acc#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(7)} -pin "FRAME:acc#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(8)} -pin "FRAME:acc#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(9)} -pin "FRAME:acc#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(10)} -pin "FRAME:acc#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load net {FRAME:acc#4.psp.sva(11)} -pin "FRAME:acc#4" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#4.psp.sva}
+load inst "FRAME:for#1:acc" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 44630 -attr oid 506 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,2)"
+load net {i#7.sva(0)} -pin "FRAME:for#1:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load net {i#7.sva(1)} -pin "FRAME:for#1:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load net {PWR} -pin "FRAME:for#1:acc" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {FRAME:for#1:acc.itm(0)} -pin "FRAME:for#1:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc.itm}
+load net {FRAME:for#1:acc.itm(1)} -pin "FRAME:for#1:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc.itm}
+load inst "FRAME:for:and#12" "and(2,1)" "INTERFACE" -attr xrf 44631 -attr oid 507 -attr @path {/sobel/sobel:core/FRAME:for:and#12} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {exit:FRAME:for.lpi#1} -pin "FRAME:for:and#12" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1}
+load net {not#24} -pin "FRAME:for:and#12" {A1(0)} -attr @path {/sobel/sobel:core/not#24}
+load net {exit:FRAME:for.lpi#1.dfm} -pin "FRAME:for:and#12" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm}
+load inst "FRAME:for#1:acc#16" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 44632 -attr oid 508 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc#16} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,2)"
+load net {i#7.lpi#1(0)} -pin "FRAME:for#1:acc#16" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "FRAME:for#1:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {PWR} -pin "FRAME:for#1:acc#16" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {i#7.sva(0)} -pin "FRAME:for#1:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load net {i#7.sva(1)} -pin "FRAME:for#1:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.sva}
+load inst "FRAME:for:or#2" "or(2,1)" "INTERFACE" -attr xrf 44633 -attr oid 509 -attr @path {/sobel/sobel:core/FRAME:for:or#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {exit:FRAME:for#1.lpi#1.dfm#5} -pin "FRAME:for:or#2" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#5}
+load net {FRAME:acc.itm(7)} -pin "FRAME:for:or#2" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:slc.itm}
+load net {FRAME:for:or#2.itm} -pin "FRAME:for:or#2" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:or#2.itm}
+load inst "mux#3" "mux(2,1)" "INTERFACE" -attr xrf 44634 -attr oid 510 -attr @path {/sobel/sobel:core/mux#3} -attr area 0.920423 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(1,1,2)"
+load net {exit:FRAME:for#1.lpi#1.dfm#5} -pin "mux#3" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#5}
+load net {FRAME:for:or#2.itm} -pin "mux#3" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:or#2.itm}
+load net {or#9.cse} -pin "mux#3" {S(0)} -attr @path {/sobel/sobel:core/or#9.cse}
+load net {exit:FRAME:for#1.lpi#1.dfm#4:mx0} -pin "mux#3" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4:mx0}
+load inst "mux#4" "mux(2,90)" "INTERFACE" -attr xrf 44635 -attr oid 511 -attr vt d -attr @path {/sobel/sobel:core/mux#4} -attr area 82.749070 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(90,1,2)"
+load net {regs.regs(2).lpi#1.dfm(0)} -pin "mux#4" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(1)} -pin "mux#4" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(2)} -pin "mux#4" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(3)} -pin "mux#4" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(4)} -pin "mux#4" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(5)} -pin "mux#4" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(6)} -pin "mux#4" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(7)} -pin "mux#4" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(8)} -pin "mux#4" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(9)} -pin "mux#4" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(10)} -pin "mux#4" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(11)} -pin "mux#4" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(12)} -pin "mux#4" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(13)} -pin "mux#4" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(14)} -pin "mux#4" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(15)} -pin "mux#4" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(16)} -pin "mux#4" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(17)} -pin "mux#4" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(18)} -pin "mux#4" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(19)} -pin "mux#4" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(20)} -pin "mux#4" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(21)} -pin "mux#4" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(22)} -pin "mux#4" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(23)} -pin "mux#4" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(24)} -pin "mux#4" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(25)} -pin "mux#4" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(26)} -pin "mux#4" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(27)} -pin "mux#4" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(28)} -pin "mux#4" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(29)} -pin "mux#4" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(30)} -pin "mux#4" {A0(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(31)} -pin "mux#4" {A0(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(32)} -pin "mux#4" {A0(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(33)} -pin "mux#4" {A0(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(34)} -pin "mux#4" {A0(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(35)} -pin "mux#4" {A0(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(36)} -pin "mux#4" {A0(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(37)} -pin "mux#4" {A0(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(38)} -pin "mux#4" {A0(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(39)} -pin "mux#4" {A0(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(40)} -pin "mux#4" {A0(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(41)} -pin "mux#4" {A0(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(42)} -pin "mux#4" {A0(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(43)} -pin "mux#4" {A0(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(44)} -pin "mux#4" {A0(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(45)} -pin "mux#4" {A0(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(46)} -pin "mux#4" {A0(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(47)} -pin "mux#4" {A0(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(48)} -pin "mux#4" {A0(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(49)} -pin "mux#4" {A0(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(50)} -pin "mux#4" {A0(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(51)} -pin "mux#4" {A0(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(52)} -pin "mux#4" {A0(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(53)} -pin "mux#4" {A0(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(54)} -pin "mux#4" {A0(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(55)} -pin "mux#4" {A0(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(56)} -pin "mux#4" {A0(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(57)} -pin "mux#4" {A0(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(58)} -pin "mux#4" {A0(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(59)} -pin "mux#4" {A0(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(60)} -pin "mux#4" {A0(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(61)} -pin "mux#4" {A0(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(62)} -pin "mux#4" {A0(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(63)} -pin "mux#4" {A0(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(64)} -pin "mux#4" {A0(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(65)} -pin "mux#4" {A0(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(66)} -pin "mux#4" {A0(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(67)} -pin "mux#4" {A0(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(68)} -pin "mux#4" {A0(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(69)} -pin "mux#4" {A0(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(70)} -pin "mux#4" {A0(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(71)} -pin "mux#4" {A0(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(72)} -pin "mux#4" {A0(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(73)} -pin "mux#4" {A0(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(74)} -pin "mux#4" {A0(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(75)} -pin "mux#4" {A0(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(76)} -pin "mux#4" {A0(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(77)} -pin "mux#4" {A0(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(78)} -pin "mux#4" {A0(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(79)} -pin "mux#4" {A0(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(80)} -pin "mux#4" {A0(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(81)} -pin "mux#4" {A0(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(82)} -pin "mux#4" {A0(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(83)} -pin "mux#4" {A0(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(84)} -pin "mux#4" {A0(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(85)} -pin "mux#4" {A0(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(86)} -pin "mux#4" {A0(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(87)} -pin "mux#4" {A0(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(88)} -pin "mux#4" {A0(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(2).lpi#1.dfm(89)} -pin "mux#4" {A0(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm}
+load net {regs.regs(1).sva(0)} -pin "mux#4" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(1)} -pin "mux#4" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(2)} -pin "mux#4" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(3)} -pin "mux#4" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(4)} -pin "mux#4" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(5)} -pin "mux#4" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(6)} -pin "mux#4" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(7)} -pin "mux#4" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(8)} -pin "mux#4" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(9)} -pin "mux#4" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(10)} -pin "mux#4" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(11)} -pin "mux#4" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(12)} -pin "mux#4" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(13)} -pin "mux#4" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(14)} -pin "mux#4" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(15)} -pin "mux#4" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(16)} -pin "mux#4" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(17)} -pin "mux#4" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(18)} -pin "mux#4" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(19)} -pin "mux#4" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(20)} -pin "mux#4" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(21)} -pin "mux#4" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(22)} -pin "mux#4" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(23)} -pin "mux#4" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(24)} -pin "mux#4" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(25)} -pin "mux#4" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(26)} -pin "mux#4" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(27)} -pin "mux#4" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(28)} -pin "mux#4" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(29)} -pin "mux#4" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(30)} -pin "mux#4" {A1(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(31)} -pin "mux#4" {A1(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(32)} -pin "mux#4" {A1(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(33)} -pin "mux#4" {A1(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(34)} -pin "mux#4" {A1(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(35)} -pin "mux#4" {A1(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(36)} -pin "mux#4" {A1(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(37)} -pin "mux#4" {A1(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(38)} -pin "mux#4" {A1(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(39)} -pin "mux#4" {A1(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(40)} -pin "mux#4" {A1(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(41)} -pin "mux#4" {A1(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(42)} -pin "mux#4" {A1(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(43)} -pin "mux#4" {A1(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(44)} -pin "mux#4" {A1(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(45)} -pin "mux#4" {A1(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(46)} -pin "mux#4" {A1(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(47)} -pin "mux#4" {A1(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(48)} -pin "mux#4" {A1(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(49)} -pin "mux#4" {A1(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(50)} -pin "mux#4" {A1(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(51)} -pin "mux#4" {A1(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(52)} -pin "mux#4" {A1(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(53)} -pin "mux#4" {A1(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(54)} -pin "mux#4" {A1(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(55)} -pin "mux#4" {A1(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(56)} -pin "mux#4" {A1(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(57)} -pin "mux#4" {A1(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(58)} -pin "mux#4" {A1(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(59)} -pin "mux#4" {A1(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(60)} -pin "mux#4" {A1(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(61)} -pin "mux#4" {A1(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(62)} -pin "mux#4" {A1(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(63)} -pin "mux#4" {A1(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(64)} -pin "mux#4" {A1(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(65)} -pin "mux#4" {A1(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(66)} -pin "mux#4" {A1(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(67)} -pin "mux#4" {A1(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(68)} -pin "mux#4" {A1(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(69)} -pin "mux#4" {A1(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(70)} -pin "mux#4" {A1(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(71)} -pin "mux#4" {A1(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(72)} -pin "mux#4" {A1(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(73)} -pin "mux#4" {A1(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(74)} -pin "mux#4" {A1(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(75)} -pin "mux#4" {A1(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(76)} -pin "mux#4" {A1(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(77)} -pin "mux#4" {A1(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(78)} -pin "mux#4" {A1(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(79)} -pin "mux#4" {A1(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(80)} -pin "mux#4" {A1(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(81)} -pin "mux#4" {A1(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(82)} -pin "mux#4" {A1(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(83)} -pin "mux#4" {A1(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(84)} -pin "mux#4" {A1(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(85)} -pin "mux#4" {A1(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(86)} -pin "mux#4" {A1(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(87)} -pin "mux#4" {A1(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(88)} -pin "mux#4" {A1(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(89)} -pin "mux#4" {A1(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {and.dcpl#1} -pin "mux#4" {S(0)} -attr @path {/sobel/sobel:core/and.dcpl#1}
+load net {regs.regs(2).lpi#1.dfm:mx0(0)} -pin "mux#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(1)} -pin "mux#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(2)} -pin "mux#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(3)} -pin "mux#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(4)} -pin "mux#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(5)} -pin "mux#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(6)} -pin "mux#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(7)} -pin "mux#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(8)} -pin "mux#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(9)} -pin "mux#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(10)} -pin "mux#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(11)} -pin "mux#4" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(12)} -pin "mux#4" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(13)} -pin "mux#4" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(14)} -pin "mux#4" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(15)} -pin "mux#4" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(16)} -pin "mux#4" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(17)} -pin "mux#4" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(18)} -pin "mux#4" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(19)} -pin "mux#4" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(20)} -pin "mux#4" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(21)} -pin "mux#4" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(22)} -pin "mux#4" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(23)} -pin "mux#4" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(24)} -pin "mux#4" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(25)} -pin "mux#4" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(26)} -pin "mux#4" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(27)} -pin "mux#4" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(28)} -pin "mux#4" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(29)} -pin "mux#4" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(30)} -pin "mux#4" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(31)} -pin "mux#4" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(32)} -pin "mux#4" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(33)} -pin "mux#4" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(34)} -pin "mux#4" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(35)} -pin "mux#4" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(36)} -pin "mux#4" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(37)} -pin "mux#4" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(38)} -pin "mux#4" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(39)} -pin "mux#4" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(40)} -pin "mux#4" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(41)} -pin "mux#4" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(42)} -pin "mux#4" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(43)} -pin "mux#4" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(44)} -pin "mux#4" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(45)} -pin "mux#4" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(46)} -pin "mux#4" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(47)} -pin "mux#4" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(48)} -pin "mux#4" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(49)} -pin "mux#4" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(50)} -pin "mux#4" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(51)} -pin "mux#4" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(52)} -pin "mux#4" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(53)} -pin "mux#4" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(54)} -pin "mux#4" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(55)} -pin "mux#4" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(56)} -pin "mux#4" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(57)} -pin "mux#4" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(58)} -pin "mux#4" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(59)} -pin "mux#4" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(60)} -pin "mux#4" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(61)} -pin "mux#4" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(62)} -pin "mux#4" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(63)} -pin "mux#4" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(64)} -pin "mux#4" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(65)} -pin "mux#4" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(66)} -pin "mux#4" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(67)} -pin "mux#4" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(68)} -pin "mux#4" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(69)} -pin "mux#4" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(70)} -pin "mux#4" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(71)} -pin "mux#4" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(72)} -pin "mux#4" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(73)} -pin "mux#4" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(74)} -pin "mux#4" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(75)} -pin "mux#4" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(76)} -pin "mux#4" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(77)} -pin "mux#4" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(78)} -pin "mux#4" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(79)} -pin "mux#4" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(80)} -pin "mux#4" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(81)} -pin "mux#4" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(82)} -pin "mux#4" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(83)} -pin "mux#4" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(84)} -pin "mux#4" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(85)} -pin "mux#4" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(86)} -pin "mux#4" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(87)} -pin "mux#4" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(88)} -pin "mux#4" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load net {regs.regs(2).lpi#1.dfm:mx0(89)} -pin "mux#4" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(2).lpi#1.dfm:mx0}
+load inst "mux#5" "mux(2,90)" "INTERFACE" -attr xrf 44636 -attr oid 512 -attr vt d -attr @path {/sobel/sobel:core/mux#5} -attr area 82.749070 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(90,1,2)"
+load net {regs.regs(1).sva(0)} -pin "mux#5" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(1)} -pin "mux#5" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(2)} -pin "mux#5" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(3)} -pin "mux#5" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(4)} -pin "mux#5" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(5)} -pin "mux#5" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(6)} -pin "mux#5" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(7)} -pin "mux#5" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(8)} -pin "mux#5" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(9)} -pin "mux#5" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(10)} -pin "mux#5" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(11)} -pin "mux#5" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(12)} -pin "mux#5" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(13)} -pin "mux#5" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(14)} -pin "mux#5" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(15)} -pin "mux#5" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(16)} -pin "mux#5" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(17)} -pin "mux#5" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(18)} -pin "mux#5" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(19)} -pin "mux#5" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(20)} -pin "mux#5" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(21)} -pin "mux#5" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(22)} -pin "mux#5" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(23)} -pin "mux#5" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(24)} -pin "mux#5" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(25)} -pin "mux#5" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(26)} -pin "mux#5" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(27)} -pin "mux#5" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(28)} -pin "mux#5" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(29)} -pin "mux#5" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(30)} -pin "mux#5" {A0(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(31)} -pin "mux#5" {A0(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(32)} -pin "mux#5" {A0(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(33)} -pin "mux#5" {A0(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(34)} -pin "mux#5" {A0(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(35)} -pin "mux#5" {A0(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(36)} -pin "mux#5" {A0(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(37)} -pin "mux#5" {A0(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(38)} -pin "mux#5" {A0(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(39)} -pin "mux#5" {A0(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(40)} -pin "mux#5" {A0(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(41)} -pin "mux#5" {A0(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(42)} -pin "mux#5" {A0(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(43)} -pin "mux#5" {A0(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(44)} -pin "mux#5" {A0(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(45)} -pin "mux#5" {A0(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(46)} -pin "mux#5" {A0(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(47)} -pin "mux#5" {A0(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(48)} -pin "mux#5" {A0(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(49)} -pin "mux#5" {A0(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(50)} -pin "mux#5" {A0(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(51)} -pin "mux#5" {A0(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(52)} -pin "mux#5" {A0(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(53)} -pin "mux#5" {A0(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(54)} -pin "mux#5" {A0(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(55)} -pin "mux#5" {A0(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(56)} -pin "mux#5" {A0(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(57)} -pin "mux#5" {A0(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(58)} -pin "mux#5" {A0(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(59)} -pin "mux#5" {A0(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(60)} -pin "mux#5" {A0(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(61)} -pin "mux#5" {A0(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(62)} -pin "mux#5" {A0(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(63)} -pin "mux#5" {A0(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(64)} -pin "mux#5" {A0(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(65)} -pin "mux#5" {A0(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(66)} -pin "mux#5" {A0(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(67)} -pin "mux#5" {A0(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(68)} -pin "mux#5" {A0(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(69)} -pin "mux#5" {A0(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(70)} -pin "mux#5" {A0(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(71)} -pin "mux#5" {A0(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(72)} -pin "mux#5" {A0(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(73)} -pin "mux#5" {A0(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(74)} -pin "mux#5" {A0(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(75)} -pin "mux#5" {A0(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(76)} -pin "mux#5" {A0(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(77)} -pin "mux#5" {A0(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(78)} -pin "mux#5" {A0(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(79)} -pin "mux#5" {A0(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(80)} -pin "mux#5" {A0(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(81)} -pin "mux#5" {A0(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(82)} -pin "mux#5" {A0(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(83)} -pin "mux#5" {A0(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(84)} -pin "mux#5" {A0(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(85)} -pin "mux#5" {A0(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(86)} -pin "mux#5" {A0(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(87)} -pin "mux#5" {A0(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(88)} -pin "mux#5" {A0(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(1).sva(89)} -pin "mux#5" {A0(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva}
+load net {regs.regs(0).sva(0)} -pin "mux#5" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(1)} -pin "mux#5" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(2)} -pin "mux#5" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(3)} -pin "mux#5" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(4)} -pin "mux#5" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(5)} -pin "mux#5" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(6)} -pin "mux#5" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(7)} -pin "mux#5" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(8)} -pin "mux#5" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(9)} -pin "mux#5" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(10)} -pin "mux#5" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(11)} -pin "mux#5" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(12)} -pin "mux#5" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(13)} -pin "mux#5" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(14)} -pin "mux#5" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(15)} -pin "mux#5" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(16)} -pin "mux#5" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(17)} -pin "mux#5" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(18)} -pin "mux#5" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(19)} -pin "mux#5" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(20)} -pin "mux#5" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(21)} -pin "mux#5" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(22)} -pin "mux#5" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(23)} -pin "mux#5" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(24)} -pin "mux#5" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(25)} -pin "mux#5" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(26)} -pin "mux#5" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(27)} -pin "mux#5" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(28)} -pin "mux#5" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(29)} -pin "mux#5" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(30)} -pin "mux#5" {A1(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(31)} -pin "mux#5" {A1(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(32)} -pin "mux#5" {A1(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(33)} -pin "mux#5" {A1(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(34)} -pin "mux#5" {A1(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(35)} -pin "mux#5" {A1(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(36)} -pin "mux#5" {A1(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(37)} -pin "mux#5" {A1(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(38)} -pin "mux#5" {A1(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(39)} -pin "mux#5" {A1(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(40)} -pin "mux#5" {A1(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(41)} -pin "mux#5" {A1(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(42)} -pin "mux#5" {A1(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(43)} -pin "mux#5" {A1(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(44)} -pin "mux#5" {A1(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(45)} -pin "mux#5" {A1(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(46)} -pin "mux#5" {A1(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(47)} -pin "mux#5" {A1(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(48)} -pin "mux#5" {A1(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(49)} -pin "mux#5" {A1(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(50)} -pin "mux#5" {A1(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(51)} -pin "mux#5" {A1(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(52)} -pin "mux#5" {A1(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(53)} -pin "mux#5" {A1(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(54)} -pin "mux#5" {A1(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(55)} -pin "mux#5" {A1(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(56)} -pin "mux#5" {A1(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(57)} -pin "mux#5" {A1(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(58)} -pin "mux#5" {A1(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(59)} -pin "mux#5" {A1(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(60)} -pin "mux#5" {A1(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(61)} -pin "mux#5" {A1(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(62)} -pin "mux#5" {A1(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(63)} -pin "mux#5" {A1(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(64)} -pin "mux#5" {A1(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(65)} -pin "mux#5" {A1(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(66)} -pin "mux#5" {A1(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(67)} -pin "mux#5" {A1(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(68)} -pin "mux#5" {A1(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(69)} -pin "mux#5" {A1(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(70)} -pin "mux#5" {A1(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(71)} -pin "mux#5" {A1(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(72)} -pin "mux#5" {A1(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(73)} -pin "mux#5" {A1(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(74)} -pin "mux#5" {A1(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(75)} -pin "mux#5" {A1(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(76)} -pin "mux#5" {A1(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(77)} -pin "mux#5" {A1(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(78)} -pin "mux#5" {A1(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(79)} -pin "mux#5" {A1(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(80)} -pin "mux#5" {A1(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(81)} -pin "mux#5" {A1(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(82)} -pin "mux#5" {A1(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(83)} -pin "mux#5" {A1(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(84)} -pin "mux#5" {A1(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(85)} -pin "mux#5" {A1(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(86)} -pin "mux#5" {A1(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(87)} -pin "mux#5" {A1(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(88)} -pin "mux#5" {A1(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(89)} -pin "mux#5" {A1(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {and.dcpl#1} -pin "mux#5" {S(0)} -attr @path {/sobel/sobel:core/and.dcpl#1}
+load net {regs.regs(1).sva.dfm:mx0(0)} -pin "mux#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(1)} -pin "mux#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(2)} -pin "mux#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(3)} -pin "mux#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(4)} -pin "mux#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(5)} -pin "mux#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(6)} -pin "mux#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(7)} -pin "mux#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(8)} -pin "mux#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(9)} -pin "mux#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(10)} -pin "mux#5" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(11)} -pin "mux#5" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(12)} -pin "mux#5" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(13)} -pin "mux#5" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(14)} -pin "mux#5" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(15)} -pin "mux#5" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(16)} -pin "mux#5" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(17)} -pin "mux#5" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(18)} -pin "mux#5" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(19)} -pin "mux#5" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(20)} -pin "mux#5" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(21)} -pin "mux#5" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(22)} -pin "mux#5" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(23)} -pin "mux#5" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(24)} -pin "mux#5" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(25)} -pin "mux#5" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(26)} -pin "mux#5" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(27)} -pin "mux#5" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(28)} -pin "mux#5" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(29)} -pin "mux#5" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(30)} -pin "mux#5" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(31)} -pin "mux#5" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(32)} -pin "mux#5" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(33)} -pin "mux#5" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(34)} -pin "mux#5" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(35)} -pin "mux#5" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(36)} -pin "mux#5" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(37)} -pin "mux#5" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(38)} -pin "mux#5" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(39)} -pin "mux#5" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(40)} -pin "mux#5" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(41)} -pin "mux#5" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(42)} -pin "mux#5" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(43)} -pin "mux#5" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(44)} -pin "mux#5" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(45)} -pin "mux#5" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(46)} -pin "mux#5" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(47)} -pin "mux#5" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(48)} -pin "mux#5" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(49)} -pin "mux#5" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(50)} -pin "mux#5" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(51)} -pin "mux#5" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(52)} -pin "mux#5" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(53)} -pin "mux#5" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(54)} -pin "mux#5" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(55)} -pin "mux#5" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(56)} -pin "mux#5" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(57)} -pin "mux#5" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(58)} -pin "mux#5" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(59)} -pin "mux#5" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(60)} -pin "mux#5" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(61)} -pin "mux#5" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(62)} -pin "mux#5" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(63)} -pin "mux#5" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(64)} -pin "mux#5" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(65)} -pin "mux#5" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(66)} -pin "mux#5" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(67)} -pin "mux#5" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(68)} -pin "mux#5" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(69)} -pin "mux#5" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(70)} -pin "mux#5" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(71)} -pin "mux#5" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(72)} -pin "mux#5" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(73)} -pin "mux#5" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(74)} -pin "mux#5" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(75)} -pin "mux#5" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(76)} -pin "mux#5" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(77)} -pin "mux#5" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(78)} -pin "mux#5" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(79)} -pin "mux#5" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(80)} -pin "mux#5" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(81)} -pin "mux#5" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(82)} -pin "mux#5" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(83)} -pin "mux#5" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(84)} -pin "mux#5" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(85)} -pin "mux#5" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(86)} -pin "mux#5" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(87)} -pin "mux#5" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(88)} -pin "mux#5" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load net {regs.regs(1).sva.dfm:mx0(89)} -pin "mux#5" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sva.dfm:mx0}
+load inst "mux#6" "mux(2,90)" "INTERFACE" -attr xrf 44637 -attr oid 513 -attr vt d -attr @path {/sobel/sobel:core/mux#6} -attr area 82.749070 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(90,1,2)"
+load net {regs.regs(0).sva(0)} -pin "mux#6" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(1)} -pin "mux#6" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(2)} -pin "mux#6" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(3)} -pin "mux#6" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(4)} -pin "mux#6" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(5)} -pin "mux#6" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(6)} -pin "mux#6" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(7)} -pin "mux#6" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(8)} -pin "mux#6" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(9)} -pin "mux#6" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(10)} -pin "mux#6" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(11)} -pin "mux#6" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(12)} -pin "mux#6" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(13)} -pin "mux#6" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(14)} -pin "mux#6" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(15)} -pin "mux#6" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(16)} -pin "mux#6" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(17)} -pin "mux#6" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(18)} -pin "mux#6" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(19)} -pin "mux#6" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(20)} -pin "mux#6" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(21)} -pin "mux#6" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(22)} -pin "mux#6" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(23)} -pin "mux#6" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(24)} -pin "mux#6" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(25)} -pin "mux#6" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(26)} -pin "mux#6" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(27)} -pin "mux#6" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(28)} -pin "mux#6" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(29)} -pin "mux#6" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(30)} -pin "mux#6" {A0(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(31)} -pin "mux#6" {A0(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(32)} -pin "mux#6" {A0(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(33)} -pin "mux#6" {A0(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(34)} -pin "mux#6" {A0(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(35)} -pin "mux#6" {A0(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(36)} -pin "mux#6" {A0(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(37)} -pin "mux#6" {A0(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(38)} -pin "mux#6" {A0(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(39)} -pin "mux#6" {A0(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(40)} -pin "mux#6" {A0(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(41)} -pin "mux#6" {A0(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(42)} -pin "mux#6" {A0(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(43)} -pin "mux#6" {A0(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(44)} -pin "mux#6" {A0(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(45)} -pin "mux#6" {A0(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(46)} -pin "mux#6" {A0(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(47)} -pin "mux#6" {A0(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(48)} -pin "mux#6" {A0(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(49)} -pin "mux#6" {A0(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(50)} -pin "mux#6" {A0(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(51)} -pin "mux#6" {A0(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(52)} -pin "mux#6" {A0(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(53)} -pin "mux#6" {A0(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(54)} -pin "mux#6" {A0(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(55)} -pin "mux#6" {A0(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(56)} -pin "mux#6" {A0(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(57)} -pin "mux#6" {A0(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(58)} -pin "mux#6" {A0(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(59)} -pin "mux#6" {A0(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(60)} -pin "mux#6" {A0(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(61)} -pin "mux#6" {A0(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(62)} -pin "mux#6" {A0(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(63)} -pin "mux#6" {A0(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(64)} -pin "mux#6" {A0(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(65)} -pin "mux#6" {A0(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(66)} -pin "mux#6" {A0(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(67)} -pin "mux#6" {A0(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(68)} -pin "mux#6" {A0(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(69)} -pin "mux#6" {A0(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(70)} -pin "mux#6" {A0(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(71)} -pin "mux#6" {A0(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(72)} -pin "mux#6" {A0(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(73)} -pin "mux#6" {A0(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(74)} -pin "mux#6" {A0(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(75)} -pin "mux#6" {A0(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(76)} -pin "mux#6" {A0(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(77)} -pin "mux#6" {A0(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(78)} -pin "mux#6" {A0(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(79)} -pin "mux#6" {A0(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(80)} -pin "mux#6" {A0(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(81)} -pin "mux#6" {A0(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(82)} -pin "mux#6" {A0(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(83)} -pin "mux#6" {A0(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(84)} -pin "mux#6" {A0(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(85)} -pin "mux#6" {A0(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(86)} -pin "mux#6" {A0(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(87)} -pin "mux#6" {A0(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(88)} -pin "mux#6" {A0(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {regs.regs(0).sva(89)} -pin "mux#6" {A0(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva}
+load net {vin:rsc:mgc_in_wire.d(0)} -pin "mux#6" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(1)} -pin "mux#6" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(2)} -pin "mux#6" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(3)} -pin "mux#6" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(4)} -pin "mux#6" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(5)} -pin "mux#6" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(6)} -pin "mux#6" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(7)} -pin "mux#6" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(8)} -pin "mux#6" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(9)} -pin "mux#6" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(10)} -pin "mux#6" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(11)} -pin "mux#6" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(12)} -pin "mux#6" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(13)} -pin "mux#6" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(14)} -pin "mux#6" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(15)} -pin "mux#6" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(16)} -pin "mux#6" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(17)} -pin "mux#6" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(18)} -pin "mux#6" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(19)} -pin "mux#6" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(20)} -pin "mux#6" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(21)} -pin "mux#6" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(22)} -pin "mux#6" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(23)} -pin "mux#6" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(24)} -pin "mux#6" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(25)} -pin "mux#6" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(26)} -pin "mux#6" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(27)} -pin "mux#6" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(28)} -pin "mux#6" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(29)} -pin "mux#6" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(30)} -pin "mux#6" {A1(30)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(31)} -pin "mux#6" {A1(31)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(32)} -pin "mux#6" {A1(32)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(33)} -pin "mux#6" {A1(33)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(34)} -pin "mux#6" {A1(34)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(35)} -pin "mux#6" {A1(35)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(36)} -pin "mux#6" {A1(36)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(37)} -pin "mux#6" {A1(37)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(38)} -pin "mux#6" {A1(38)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(39)} -pin "mux#6" {A1(39)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(40)} -pin "mux#6" {A1(40)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(41)} -pin "mux#6" {A1(41)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(42)} -pin "mux#6" {A1(42)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(43)} -pin "mux#6" {A1(43)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(44)} -pin "mux#6" {A1(44)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(45)} -pin "mux#6" {A1(45)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(46)} -pin "mux#6" {A1(46)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(47)} -pin "mux#6" {A1(47)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(48)} -pin "mux#6" {A1(48)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(49)} -pin "mux#6" {A1(49)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(50)} -pin "mux#6" {A1(50)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(51)} -pin "mux#6" {A1(51)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(52)} -pin "mux#6" {A1(52)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(53)} -pin "mux#6" {A1(53)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(54)} -pin "mux#6" {A1(54)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(55)} -pin "mux#6" {A1(55)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(56)} -pin "mux#6" {A1(56)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(57)} -pin "mux#6" {A1(57)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(58)} -pin "mux#6" {A1(58)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(59)} -pin "mux#6" {A1(59)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(60)} -pin "mux#6" {A1(60)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(61)} -pin "mux#6" {A1(61)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(62)} -pin "mux#6" {A1(62)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(63)} -pin "mux#6" {A1(63)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(64)} -pin "mux#6" {A1(64)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(65)} -pin "mux#6" {A1(65)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(66)} -pin "mux#6" {A1(66)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(67)} -pin "mux#6" {A1(67)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(68)} -pin "mux#6" {A1(68)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(69)} -pin "mux#6" {A1(69)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(70)} -pin "mux#6" {A1(70)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(71)} -pin "mux#6" {A1(71)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(72)} -pin "mux#6" {A1(72)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(73)} -pin "mux#6" {A1(73)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(74)} -pin "mux#6" {A1(74)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(75)} -pin "mux#6" {A1(75)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(76)} -pin "mux#6" {A1(76)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(77)} -pin "mux#6" {A1(77)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(78)} -pin "mux#6" {A1(78)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(79)} -pin "mux#6" {A1(79)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(80)} -pin "mux#6" {A1(80)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(81)} -pin "mux#6" {A1(81)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(82)} -pin "mux#6" {A1(82)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(83)} -pin "mux#6" {A1(83)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(84)} -pin "mux#6" {A1(84)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(85)} -pin "mux#6" {A1(85)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(86)} -pin "mux#6" {A1(86)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(87)} -pin "mux#6" {A1(87)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(88)} -pin "mux#6" {A1(88)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d(89)} -pin "mux#6" {A1(89)} -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
+load net {and.dcpl#1} -pin "mux#6" {S(0)} -attr @path {/sobel/sobel:core/and.dcpl#1}
+load net {regs.regs(0).sva.dfm:mx0(0)} -pin "mux#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(1)} -pin "mux#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(2)} -pin "mux#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(3)} -pin "mux#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(4)} -pin "mux#6" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(5)} -pin "mux#6" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(6)} -pin "mux#6" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(7)} -pin "mux#6" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(8)} -pin "mux#6" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(9)} -pin "mux#6" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(10)} -pin "mux#6" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(11)} -pin "mux#6" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(12)} -pin "mux#6" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(13)} -pin "mux#6" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(14)} -pin "mux#6" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(15)} -pin "mux#6" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(16)} -pin "mux#6" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(17)} -pin "mux#6" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(18)} -pin "mux#6" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(19)} -pin "mux#6" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(20)} -pin "mux#6" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(21)} -pin "mux#6" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(22)} -pin "mux#6" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(23)} -pin "mux#6" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(24)} -pin "mux#6" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(25)} -pin "mux#6" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(26)} -pin "mux#6" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(27)} -pin "mux#6" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(28)} -pin "mux#6" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(29)} -pin "mux#6" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(30)} -pin "mux#6" {Z(30)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(31)} -pin "mux#6" {Z(31)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(32)} -pin "mux#6" {Z(32)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(33)} -pin "mux#6" {Z(33)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(34)} -pin "mux#6" {Z(34)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(35)} -pin "mux#6" {Z(35)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(36)} -pin "mux#6" {Z(36)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(37)} -pin "mux#6" {Z(37)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(38)} -pin "mux#6" {Z(38)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(39)} -pin "mux#6" {Z(39)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(40)} -pin "mux#6" {Z(40)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(41)} -pin "mux#6" {Z(41)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(42)} -pin "mux#6" {Z(42)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(43)} -pin "mux#6" {Z(43)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(44)} -pin "mux#6" {Z(44)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(45)} -pin "mux#6" {Z(45)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(46)} -pin "mux#6" {Z(46)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(47)} -pin "mux#6" {Z(47)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(48)} -pin "mux#6" {Z(48)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(49)} -pin "mux#6" {Z(49)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(50)} -pin "mux#6" {Z(50)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(51)} -pin "mux#6" {Z(51)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(52)} -pin "mux#6" {Z(52)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(53)} -pin "mux#6" {Z(53)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(54)} -pin "mux#6" {Z(54)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(55)} -pin "mux#6" {Z(55)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(56)} -pin "mux#6" {Z(56)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(57)} -pin "mux#6" {Z(57)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(58)} -pin "mux#6" {Z(58)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(59)} -pin "mux#6" {Z(59)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(60)} -pin "mux#6" {Z(60)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(61)} -pin "mux#6" {Z(61)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(62)} -pin "mux#6" {Z(62)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(63)} -pin "mux#6" {Z(63)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(64)} -pin "mux#6" {Z(64)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(65)} -pin "mux#6" {Z(65)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(66)} -pin "mux#6" {Z(66)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(67)} -pin "mux#6" {Z(67)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(68)} -pin "mux#6" {Z(68)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(69)} -pin "mux#6" {Z(69)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(70)} -pin "mux#6" {Z(70)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(71)} -pin "mux#6" {Z(71)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(72)} -pin "mux#6" {Z(72)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(73)} -pin "mux#6" {Z(73)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(74)} -pin "mux#6" {Z(74)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(75)} -pin "mux#6" {Z(75)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(76)} -pin "mux#6" {Z(76)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(77)} -pin "mux#6" {Z(77)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(78)} -pin "mux#6" {Z(78)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(79)} -pin "mux#6" {Z(79)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(80)} -pin "mux#6" {Z(80)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(81)} -pin "mux#6" {Z(81)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(82)} -pin "mux#6" {Z(82)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(83)} -pin "mux#6" {Z(83)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(84)} -pin "mux#6" {Z(84)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(85)} -pin "mux#6" {Z(85)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(86)} -pin "mux#6" {Z(86)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(87)} -pin "mux#6" {Z(87)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(88)} -pin "mux#6" {Z(88)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load net {regs.regs(0).sva.dfm:mx0(89)} -pin "mux#6" {Z(89)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(0).sva.dfm:mx0}
+load inst "FRAME:acc" "add(7,0,8,-1,8)" "INTERFACE" -attr xrf 44638 -attr oid 514 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc} -attr area 9.262368 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,7,0,8)"
+load net {FRAME:p#1.sva#1(12)} -pin "FRAME:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(13)} -pin "FRAME:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(14)} -pin "FRAME:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(15)} -pin "FRAME:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(16)} -pin "FRAME:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(17)} -pin "FRAME:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {FRAME:p#1.sva#1(18)} -pin "FRAME:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:p#1.sva#2).itm}
+load net {PWR} -pin "FRAME:acc" {B(0)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {GND} -pin "FRAME:acc" {B(1)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(2)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {GND} -pin "FRAME:acc" {B(3)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(4)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(5)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {GND} -pin "FRAME:acc" {B(6)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {PWR} -pin "FRAME:acc" {B(7)} -attr @path {/sobel/sobel:core/Cn75_8}
+load net {FRAME:acc.itm(0)} -pin "FRAME:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(1)} -pin "FRAME:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(2)} -pin "FRAME:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(3)} -pin "FRAME:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(4)} -pin "FRAME:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(5)} -pin "FRAME:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(6)} -pin "FRAME:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load net {FRAME:acc.itm(7)} -pin "FRAME:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc.itm}
+load inst "FRAME:for:and#10" "and(2,1)" "INTERFACE" -attr xrf 44639 -attr oid 515 -attr @path {/sobel/sobel:core/FRAME:for:and#10} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {exit:FRAME.lpi#1.dfm#2} -pin "FRAME:for:and#10" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#2}
+load net {not#24} -pin "FRAME:for:and#10" {A1(0)} -attr @path {/sobel/sobel:core/not#24}
+load net {FRAME:for:and#10.itm} -pin "FRAME:for:and#10" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#10.itm}
+load inst "FRAME:not" "not(1)" "INTERFACE" -attr xrf 44640 -attr oid 516 -attr @path {/sobel/sobel:core/FRAME:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:acc.itm(7)} -pin "FRAME:not" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc#8.itm}
+load net {FRAME:not.itm} -pin "FRAME:not" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not.itm}
+load inst "mux#7" "mux(2,1)" "INTERFACE" -attr xrf 44641 -attr oid 517 -attr @path {/sobel/sobel:core/mux#7} -attr area 0.920423 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(1,1,2)"
+load net {FRAME:for:and#10.itm} -pin "mux#7" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#10.itm}
+load net {FRAME:not.itm} -pin "mux#7" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:not.itm}
+load net {or#9.cse} -pin "mux#7" {S(0)} -attr @path {/sobel/sobel:core/or#9.cse}
+load net {exit:FRAME.lpi#1.dfm#2:mx0} -pin "mux#7" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME.lpi#1.dfm#2:mx0}
+load inst "FRAME:for#1:not" "not(1)" "INTERFACE" -attr xrf 44642 -attr oid 518 -attr @path {/sobel/sobel:core/FRAME:for#1:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {FRAME:for#1:acc.itm(1)} -pin "FRAME:for#1:not" {A(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:slc#1.itm}
+load net {FRAME:for#1:not.itm} -pin "FRAME:for#1:not" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not.itm}
+load inst "FRAME:for:and#15" "and(2,1)" "INTERFACE" -attr xrf 44643 -attr oid 519 -attr @path {/sobel/sobel:core/FRAME:for:and#15} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {FRAME:for#1:not.itm} -pin "FRAME:for:and#15" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not.itm}
+load net {exit:FRAME:for.lpi#1.dfm} -pin "FRAME:for:and#15" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1.dfm}
+load net {exit:FRAME:for#1.lpi#1.dfm#5} -pin "FRAME:for:and#15" {Z(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#5}
+load inst "FRAME:acc#6" "add(19,-1,1,0,19)" "INTERFACE" -attr xrf 44644 -attr oid 520 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#6} -attr area 20.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(19,0,2,1,19)"
+load net {FRAME:p#1.lpi#1.dfm(0)} -pin "FRAME:acc#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(1)} -pin "FRAME:acc#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(2)} -pin "FRAME:acc#6" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(3)} -pin "FRAME:acc#6" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(4)} -pin "FRAME:acc#6" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(5)} -pin "FRAME:acc#6" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(6)} -pin "FRAME:acc#6" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(7)} -pin "FRAME:acc#6" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(8)} -pin "FRAME:acc#6" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(9)} -pin "FRAME:acc#6" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(10)} -pin "FRAME:acc#6" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(11)} -pin "FRAME:acc#6" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(12)} -pin "FRAME:acc#6" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(13)} -pin "FRAME:acc#6" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(14)} -pin "FRAME:acc#6" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(15)} -pin "FRAME:acc#6" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(16)} -pin "FRAME:acc#6" {A(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(17)} -pin "FRAME:acc#6" {A(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(18)} -pin "FRAME:acc#6" {A(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {PWR} -pin "FRAME:acc#6" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {FRAME:p#1.sva#1(0)} -pin "FRAME:acc#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(1)} -pin "FRAME:acc#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(2)} -pin "FRAME:acc#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(3)} -pin "FRAME:acc#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(4)} -pin "FRAME:acc#6" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(5)} -pin "FRAME:acc#6" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(6)} -pin "FRAME:acc#6" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(7)} -pin "FRAME:acc#6" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(8)} -pin "FRAME:acc#6" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(9)} -pin "FRAME:acc#6" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(10)} -pin "FRAME:acc#6" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(11)} -pin "FRAME:acc#6" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(12)} -pin "FRAME:acc#6" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(13)} -pin "FRAME:acc#6" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(14)} -pin "FRAME:acc#6" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(15)} -pin "FRAME:acc#6" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(16)} -pin "FRAME:acc#6" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(17)} -pin "FRAME:acc#6" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load net {FRAME:p#1.sva#1(18)} -pin "FRAME:acc#6" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.sva#1}
+load inst "FRAME:not#28" "not(1)" "INTERFACE" -attr xrf 44645 -attr oid 521 -attr @path {/sobel/sobel:core/FRAME:not#28} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {exit:FRAME#1.sva} -pin "FRAME:not#28" {A(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {FRAME:not#28.itm} -pin "FRAME:not#28" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#28.itm}
+load inst "and" "and(2,19)" "INTERFACE" -attr vt d -attr @path {/sobel/sobel:core/and} -attr area 13.866816 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(19,2)"
+load net {FRAME:p#1.lpi#1(0)} -pin "and" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(1)} -pin "and" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(2)} -pin "and" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(3)} -pin "and" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(4)} -pin "and" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(5)} -pin "and" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(6)} -pin "and" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(7)} -pin "and" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(8)} -pin "and" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(9)} -pin "and" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(10)} -pin "and" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(11)} -pin "and" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(12)} -pin "and" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(13)} -pin "and" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(14)} -pin "and" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(15)} -pin "and" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(16)} -pin "and" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(17)} -pin "and" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:p#1.lpi#1(18)} -pin "and" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1}
+load net {FRAME:not#28.itm} -pin "and" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:not#28.itm} -pin "and" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
+load net {FRAME:p#1.lpi#1.dfm(0)} -pin "and" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(1)} -pin "and" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(2)} -pin "and" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(3)} -pin "and" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(4)} -pin "and" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(5)} -pin "and" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(6)} -pin "and" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(7)} -pin "and" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(8)} -pin "and" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(9)} -pin "and" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(10)} -pin "and" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(11)} -pin "and" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(12)} -pin "and" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(13)} -pin "and" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(14)} -pin "and" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(15)} -pin "and" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(16)} -pin "and" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(17)} -pin "and" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load net {FRAME:p#1.lpi#1.dfm(18)} -pin "and" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:p#1.lpi#1.dfm}
+load inst "FRAME:not#2" "not(3)" "INTERFACE" -attr xrf 44646 -attr oid 522 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#1.itm(10)} -pin "FRAME:not#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#1.itm(11)} -pin "FRAME:not#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#2.itm}
+load net {ACC2-3:acc#1.itm(12)} -pin "FRAME:not#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#2.itm}
+load net {FRAME:not#2.itm(0)} -pin "FRAME:not#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(1)} -pin "FRAME:not#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(2)} -pin "FRAME:not#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load inst "FRAME:acc#8" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 44647 -attr oid 523 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC2-3:acc#1.itm(7)} -pin "FRAME:acc#8" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva).itm}
+load net {ACC2-3:acc#1.itm(8)} -pin "FRAME:acc#8" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva).itm}
+load net {ACC2-3:acc#1.itm(9)} -pin "FRAME:acc#8" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva).itm}
+load net {FRAME:not#2.itm(0)} -pin "FRAME:acc#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(1)} -pin "FRAME:acc#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:not#2.itm(2)} -pin "FRAME:acc#8" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#2.itm}
+load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#8" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#8" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#8" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#8" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load inst "FRAME:not#35" "not(1)" "INTERFACE" -attr xrf 44648 -attr oid 524 -attr @path {/sobel/sobel:core/FRAME:not#35} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:not#35" {A(0)} -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#20.itm}
+load net {FRAME:not#35.itm} -pin "FRAME:not#35" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#35.itm}
+load inst "FRAME:not#45" "not(1)" "INTERFACE" -attr xrf 44649 -attr oid 525 -attr @path {/sobel/sobel:core/FRAME:not#45} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC2-3:acc#1.itm(15)} -pin "FRAME:not#45" {A(0)} -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#10.itm}
+load net {FRAME:not#45.itm} -pin "FRAME:not#45" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#45.itm}
+load inst "FRAME:acc#7" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 44650 -attr oid 526 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {FRAME:not#45.itm} -pin "FRAME:acc#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#153.itm}
+load net {PWR} -pin "FRAME:acc#7" {A(1)} -attr @path {/sobel/sobel:core/conc#153.itm}
+load net {FRAME:not#35.itm} -pin "FRAME:acc#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#153.itm}
+load net {ACC2-3:acc#1.itm(13)} -pin "FRAME:acc#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#5.itm}
+load net {ACC2-3:acc#1.itm(14)} -pin "FRAME:acc#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#5.itm}
+load net {FRAME:acc#7.itm(0)} -pin "FRAME:acc#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(1)} -pin "FRAME:acc#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(2)} -pin "FRAME:acc#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(3)} -pin "FRAME:acc#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load inst "FRAME:acc#10" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 44651 -attr oid 527 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#10" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#10" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#10" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#10" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
+load net {FRAME:acc#7.itm(0)} -pin "FRAME:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(1)} -pin "FRAME:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(2)} -pin "FRAME:acc#10" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#7.itm(3)} -pin "FRAME:acc#10" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#7.itm}
+load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#10" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#10" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#10" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#10" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(4)} -pin "FRAME:acc#10" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load inst "FRAME:not#1" "not(3)" "INTERFACE" -attr xrf 44652 -attr oid 528 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#1.itm(4)} -pin "FRAME:not#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#7.itm}
+load net {ACC2-3:acc#1.itm(5)} -pin "FRAME:not#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#7.itm}
+load net {ACC2-3:acc#1.itm(6)} -pin "FRAME:not#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#7.itm}
+load net {FRAME:not#1.itm(0)} -pin "FRAME:not#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(1)} -pin "FRAME:not#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(2)} -pin "FRAME:not#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load inst "FRAME:acc#9" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 44653 -attr oid 529 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC2-3:acc#1.itm(1)} -pin "FRAME:acc#9" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#1.itm(2)} -pin "FRAME:acc#9" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#1.itm(3)} -pin "FRAME:acc#9" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#6.itm}
+load net {FRAME:not#1.itm(0)} -pin "FRAME:acc#9" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(1)} -pin "FRAME:acc#9" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:not#1.itm(2)} -pin "FRAME:acc#9" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#1.itm}
+load net {FRAME:acc#9.itm(0)} -pin "FRAME:acc#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(1)} -pin "FRAME:acc#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(2)} -pin "FRAME:acc#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(3)} -pin "FRAME:acc#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load inst "FRAME:acc#11" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 44654 -attr oid 530 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11} -attr area 6.288444 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,4,0,6)"
+load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#11" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#11" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#11" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#11" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#10.itm(4)} -pin "FRAME:acc#11" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
+load net {FRAME:acc#9.itm(0)} -pin "FRAME:acc#11" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(1)} -pin "FRAME:acc#11" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(2)} -pin "FRAME:acc#11" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#9.itm(3)} -pin "FRAME:acc#11" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
+load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#11" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#11" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#11" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#11" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#11" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(5)} -pin "FRAME:acc#11" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load inst "acc#9" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 44655 -attr oid 531 -attr vt dc -attr @path {/sobel/sobel:core/acc#9} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
+load net {FRAME:acc#11.itm(0)} -pin "acc#9" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(1)} -pin "acc#9" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(2)} -pin "acc#9" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(3)} -pin "acc#9" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(4)} -pin "acc#9" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {FRAME:acc#11.itm(5)} -pin "acc#9" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
+load net {PWR} -pin "acc#9" {B(0)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#9" {B(1)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#9" {B(2)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#9" {B(3)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#9" {B(4)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#9" {B(5)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {acc.imod#9.sva(0)} -pin "acc#9" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load net {acc.imod#9.sva(1)} -pin "acc#9" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load net {acc.imod#9.sva(2)} -pin "acc#9" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load net {acc.imod#9.sva(3)} -pin "acc#9" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load net {acc.imod#9.sva(4)} -pin "acc#9" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load net {acc.imod#9.sva(5)} -pin "acc#9" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod#9.sva}
+load inst "ACC2:acc" "add(15,-1,15,-1,15)" "INTERFACE" -attr xrf 44656 -attr oid 532 -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc} -attr area 16.198770 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,15,0,15)"
+load net {r(1).sg1.lpi#1.dfm(0)} -pin "ACC2:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(1)} -pin "ACC2:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(2)} -pin "ACC2:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(3)} -pin "ACC2:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(4)} -pin "ACC2:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(5)} -pin "ACC2:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(6)} -pin "ACC2:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(7)} -pin "ACC2:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(8)} -pin "ACC2:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(9)} -pin "ACC2:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(10)} -pin "ACC2:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(11)} -pin "ACC2:acc" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(12)} -pin "ACC2:acc" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(13)} -pin "ACC2:acc" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(14)} -pin "ACC2:acc" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(2).sva#1(1)} -pin "ACC2:acc" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(2)} -pin "ACC2:acc" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(3)} -pin "ACC2:acc" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(4)} -pin "ACC2:acc" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(5)} -pin "ACC2:acc" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(6)} -pin "ACC2:acc" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(7)} -pin "ACC2:acc" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(8)} -pin "ACC2:acc" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(9)} -pin "ACC2:acc" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(10)} -pin "ACC2:acc" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(11)} -pin "ACC2:acc" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(12)} -pin "ACC2:acc" {B(11)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(13)} -pin "ACC2:acc" {B(12)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(14)} -pin "ACC2:acc" {B(13)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {r(2).sva#1(15)} -pin "ACC2:acc" {B(14)} -attr vt dc -attr @path {/sobel/sobel:core/slc(r(2).sva#1).itm}
+load net {ACC2:acc.itm(0)} -pin "ACC2:acc" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(1)} -pin "ACC2:acc" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(2)} -pin "ACC2:acc" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(3)} -pin "ACC2:acc" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(4)} -pin "ACC2:acc" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(5)} -pin "ACC2:acc" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(6)} -pin "ACC2:acc" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(7)} -pin "ACC2:acc" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(8)} -pin "ACC2:acc" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(9)} -pin "ACC2:acc" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(10)} -pin "ACC2:acc" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(11)} -pin "ACC2:acc" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(12)} -pin "ACC2:acc" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(13)} -pin "ACC2:acc" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load net {ACC2:acc.itm(14)} -pin "ACC2:acc" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:acc.itm}
+load inst "ACC2-3:acc#1" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 44657 -attr oid 533 -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,16)"
+load net {r(2).sva#1(0)} -pin "ACC2-3:acc#1" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(0)} -pin "ACC2-3:acc#1" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(1)} -pin "ACC2-3:acc#1" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(2)} -pin "ACC2-3:acc#1" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(3)} -pin "ACC2-3:acc#1" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(4)} -pin "ACC2-3:acc#1" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(5)} -pin "ACC2-3:acc#1" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(6)} -pin "ACC2-3:acc#1" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(7)} -pin "ACC2-3:acc#1" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(8)} -pin "ACC2-3:acc#1" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(9)} -pin "ACC2-3:acc#1" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(10)} -pin "ACC2-3:acc#1" {A(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(11)} -pin "ACC2-3:acc#1" {A(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(12)} -pin "ACC2-3:acc#1" {A(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(13)} -pin "ACC2-3:acc#1" {A(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {ACC2:acc.itm(14)} -pin "ACC2-3:acc#1" {A(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2:conc.itm}
+load net {r(0).sva#1(0)} -pin "ACC2-3:acc#1" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(1)} -pin "ACC2-3:acc#1" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(2)} -pin "ACC2-3:acc#1" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(3)} -pin "ACC2-3:acc#1" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(4)} -pin "ACC2-3:acc#1" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(5)} -pin "ACC2-3:acc#1" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(6)} -pin "ACC2-3:acc#1" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(7)} -pin "ACC2-3:acc#1" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(8)} -pin "ACC2-3:acc#1" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(9)} -pin "ACC2-3:acc#1" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(10)} -pin "ACC2-3:acc#1" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(11)} -pin "ACC2-3:acc#1" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(12)} -pin "ACC2-3:acc#1" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(13)} -pin "ACC2-3:acc#1" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(14)} -pin "ACC2-3:acc#1" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(15)} -pin "ACC2-3:acc#1" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {ACC2-3:acc#1.itm(0)} -pin "ACC2-3:acc#1" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(1)} -pin "ACC2-3:acc#1" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(2)} -pin "ACC2-3:acc#1" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(3)} -pin "ACC2-3:acc#1" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(4)} -pin "ACC2-3:acc#1" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(5)} -pin "ACC2-3:acc#1" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(6)} -pin "ACC2-3:acc#1" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(7)} -pin "ACC2-3:acc#1" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(8)} -pin "ACC2-3:acc#1" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(9)} -pin "ACC2-3:acc#1" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(10)} -pin "ACC2-3:acc#1" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(11)} -pin "ACC2-3:acc#1" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(12)} -pin "ACC2-3:acc#1" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(13)} -pin "ACC2-3:acc#1" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(14)} -pin "ACC2-3:acc#1" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load net {ACC2-3:acc#1.itm(15)} -pin "ACC2-3:acc#1" {Z(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC2-3:acc#1.itm}
+load inst "FRAME:mul" "mul(2,0,9,0,10)" "INTERFACE" -attr xrf 44658 -attr oid 534 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
+load net {ACC2-3:acc#1.itm(13)} -pin "FRAME:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#12.itm}
+load net {ACC2-3:acc#1.itm(14)} -pin "FRAME:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(red#2.sg1.sva)#12.itm}
+load net {PWR} -pin "FRAME:mul" {B(0)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(1)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(2)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(3)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(4)} -attr @path {/sobel/sobel:core/C455_9}
+load net {GND} -pin "FRAME:mul" {B(5)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(6)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(7)} -attr @path {/sobel/sobel:core/C455_9}
+load net {PWR} -pin "FRAME:mul" {B(8)} -attr @path {/sobel/sobel:core/C455_9}
+load net {FRAME:mul.sdt(0)} -pin "FRAME:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(1)} -pin "FRAME:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(2)} -pin "FRAME:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(3)} -pin "FRAME:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(4)} -pin "FRAME:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(5)} -pin "FRAME:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(6)} -pin "FRAME:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(7)} -pin "FRAME:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(8)} -pin "FRAME:mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load net {FRAME:mul.sdt(9)} -pin "FRAME:mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul.sdt}
+load inst "ACC2:acc#8" "add(15,-1,15,-1,15)" "INTERFACE" -attr xrf 44659 -attr oid 535 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8} -attr area 16.198770 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,15,0,15)"
+load net {b(1).sg1.lpi#1.dfm(0)} -pin "ACC2:acc#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(1)} -pin "ACC2:acc#8" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(2)} -pin "ACC2:acc#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(3)} -pin "ACC2:acc#8" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(4)} -pin "ACC2:acc#8" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(5)} -pin "ACC2:acc#8" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(6)} -pin "ACC2:acc#8" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(7)} -pin "ACC2:acc#8" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(8)} -pin "ACC2:acc#8" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(9)} -pin "ACC2:acc#8" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(10)} -pin "ACC2:acc#8" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(11)} -pin "ACC2:acc#8" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(12)} -pin "ACC2:acc#8" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(13)} -pin "ACC2:acc#8" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(14)} -pin "ACC2:acc#8" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(2).sva#1(1)} -pin "ACC2:acc#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(2)} -pin "ACC2:acc#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(3)} -pin "ACC2:acc#8" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(4)} -pin "ACC2:acc#8" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(5)} -pin "ACC2:acc#8" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(6)} -pin "ACC2:acc#8" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(7)} -pin "ACC2:acc#8" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(8)} -pin "ACC2:acc#8" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(9)} -pin "ACC2:acc#8" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(10)} -pin "ACC2:acc#8" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(11)} -pin "ACC2:acc#8" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(12)} -pin "ACC2:acc#8" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(13)} -pin "ACC2:acc#8" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(14)} -pin "ACC2:acc#8" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {b(2).sva#1(15)} -pin "ACC2:acc#8" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(b(2).sva#1).itm}
+load net {ACC2:acc#8.itm(0)} -pin "ACC2:acc#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(1)} -pin "ACC2:acc#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(2)} -pin "ACC2:acc#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(3)} -pin "ACC2:acc#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(4)} -pin "ACC2:acc#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(5)} -pin "ACC2:acc#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(6)} -pin "ACC2:acc#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(7)} -pin "ACC2:acc#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(8)} -pin "ACC2:acc#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(9)} -pin "ACC2:acc#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(10)} -pin "ACC2:acc#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(11)} -pin "ACC2:acc#8" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(12)} -pin "ACC2:acc#8" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(13)} -pin "ACC2:acc#8" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load net {ACC2:acc#8.itm(14)} -pin "ACC2:acc#8" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#8.itm}
+load inst "ACC2-3:acc#3" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 44660 -attr oid 536 -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,16)"
+load net {b(2).sva#1(0)} -pin "ACC2-3:acc#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(0)} -pin "ACC2-3:acc#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(1)} -pin "ACC2-3:acc#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(2)} -pin "ACC2-3:acc#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(3)} -pin "ACC2-3:acc#3" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(4)} -pin "ACC2-3:acc#3" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(5)} -pin "ACC2-3:acc#3" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(6)} -pin "ACC2-3:acc#3" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(7)} -pin "ACC2-3:acc#3" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(8)} -pin "ACC2-3:acc#3" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(9)} -pin "ACC2-3:acc#3" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(10)} -pin "ACC2-3:acc#3" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(11)} -pin "ACC2-3:acc#3" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(12)} -pin "ACC2-3:acc#3" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(13)} -pin "ACC2-3:acc#3" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {ACC2:acc#8.itm(14)} -pin "ACC2-3:acc#3" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#2.itm}
+load net {b(0).sva#1(0)} -pin "ACC2-3:acc#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(1)} -pin "ACC2-3:acc#3" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(2)} -pin "ACC2-3:acc#3" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(3)} -pin "ACC2-3:acc#3" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(4)} -pin "ACC2-3:acc#3" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(5)} -pin "ACC2-3:acc#3" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(6)} -pin "ACC2-3:acc#3" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(7)} -pin "ACC2-3:acc#3" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(8)} -pin "ACC2-3:acc#3" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(9)} -pin "ACC2-3:acc#3" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(10)} -pin "ACC2-3:acc#3" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(11)} -pin "ACC2-3:acc#3" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(12)} -pin "ACC2-3:acc#3" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(13)} -pin "ACC2-3:acc#3" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(14)} -pin "ACC2-3:acc#3" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(15)} -pin "ACC2-3:acc#3" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {ACC2-3:acc#3.itm(0)} -pin "ACC2-3:acc#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(1)} -pin "ACC2-3:acc#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(2)} -pin "ACC2-3:acc#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(3)} -pin "ACC2-3:acc#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(4)} -pin "ACC2-3:acc#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(5)} -pin "ACC2-3:acc#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(6)} -pin "ACC2-3:acc#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(7)} -pin "ACC2-3:acc#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(8)} -pin "ACC2-3:acc#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(9)} -pin "ACC2-3:acc#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(10)} -pin "ACC2-3:acc#3" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(11)} -pin "ACC2-3:acc#3" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(12)} -pin "ACC2-3:acc#3" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(13)} -pin "ACC2-3:acc#3" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(14)} -pin "ACC2-3:acc#3" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load net {ACC2-3:acc#3.itm(15)} -pin "ACC2-3:acc#3" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#3.itm}
+load inst "FRAME:not#18" "not(3)" "INTERFACE" -attr xrf 44661 -attr oid 537 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#3.itm(10)} -pin "FRAME:not#18" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#3.itm}
+load net {ACC2-3:acc#3.itm(11)} -pin "FRAME:not#18" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#3.itm}
+load net {ACC2-3:acc#3.itm(12)} -pin "FRAME:not#18" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#3.itm}
+load net {FRAME:not#18.itm(0)} -pin "FRAME:not#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load net {FRAME:not#18.itm(1)} -pin "FRAME:not#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load net {FRAME:not#18.itm(2)} -pin "FRAME:not#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load inst "FRAME:acc#25" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 44662 -attr oid 538 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC2-3:acc#3.itm(7)} -pin "FRAME:acc#25" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#3.itm(8)} -pin "FRAME:acc#25" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#3.itm(9)} -pin "FRAME:acc#25" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#1.itm}
+load net {FRAME:not#18.itm(0)} -pin "FRAME:acc#25" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load net {FRAME:not#18.itm(1)} -pin "FRAME:acc#25" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load net {FRAME:not#18.itm(2)} -pin "FRAME:acc#25" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#18.itm}
+load net {FRAME:acc#25.itm(0)} -pin "FRAME:acc#25" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#25.itm(1)} -pin "FRAME:acc#25" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#25.itm(2)} -pin "FRAME:acc#25" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#25.itm(3)} -pin "FRAME:acc#25" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load inst "FRAME:not#36" "not(1)" "INTERFACE" -attr xrf 44663 -attr oid 539 -attr @path {/sobel/sobel:core/FRAME:not#36} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC2-3:acc#3.itm(15)} -pin "FRAME:not#36" {A(0)} -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#12.itm}
+load net {FRAME:not#36.itm} -pin "FRAME:not#36" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#36.itm}
+load inst "FRAME:not#47" "not(1)" "INTERFACE" -attr xrf 44664 -attr oid 540 -attr @path {/sobel/sobel:core/FRAME:not#47} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC2-3:acc#3.itm(15)} -pin "FRAME:not#47" {A(0)} -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#7.itm}
+load net {FRAME:not#47.itm} -pin "FRAME:not#47" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#47.itm}
+load inst "FRAME:acc#24" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 44665 -attr oid 541 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {FRAME:not#47.itm} -pin "FRAME:acc#24" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#154.itm}
+load net {PWR} -pin "FRAME:acc#24" {A(1)} -attr @path {/sobel/sobel:core/conc#154.itm}
+load net {FRAME:not#36.itm} -pin "FRAME:acc#24" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#154.itm}
+load net {ACC2-3:acc#3.itm(13)} -pin "FRAME:acc#24" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#4.itm}
+load net {ACC2-3:acc#3.itm(14)} -pin "FRAME:acc#24" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#4.itm}
+load net {FRAME:acc#24.itm(0)} -pin "FRAME:acc#24" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#24.itm(1)} -pin "FRAME:acc#24" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#24.itm(2)} -pin "FRAME:acc#24" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#24.itm(3)} -pin "FRAME:acc#24" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load inst "FRAME:acc#27" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 44666 -attr oid 542 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#25.itm(0)} -pin "FRAME:acc#27" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#25.itm(1)} -pin "FRAME:acc#27" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#25.itm(2)} -pin "FRAME:acc#27" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#25.itm(3)} -pin "FRAME:acc#27" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#25.itm}
+load net {FRAME:acc#24.itm(0)} -pin "FRAME:acc#27" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#24.itm(1)} -pin "FRAME:acc#27" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#24.itm(2)} -pin "FRAME:acc#27" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#24.itm(3)} -pin "FRAME:acc#27" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#24.itm}
+load net {FRAME:acc#27.itm(0)} -pin "FRAME:acc#27" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(1)} -pin "FRAME:acc#27" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(2)} -pin "FRAME:acc#27" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(3)} -pin "FRAME:acc#27" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(4)} -pin "FRAME:acc#27" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load inst "FRAME:not#17" "not(3)" "INTERFACE" -attr xrf 44667 -attr oid 543 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#3.itm(4)} -pin "FRAME:not#17" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#3.itm(5)} -pin "FRAME:not#17" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#3.itm(6)} -pin "FRAME:not#17" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#6.itm}
+load net {FRAME:not#17.itm(0)} -pin "FRAME:not#17" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load net {FRAME:not#17.itm(1)} -pin "FRAME:not#17" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load net {FRAME:not#17.itm(2)} -pin "FRAME:not#17" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load inst "FRAME:acc#26" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 44668 -attr oid 544 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC2-3:acc#3.itm(1)} -pin "FRAME:acc#26" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#5.itm}
+load net {ACC2-3:acc#3.itm(2)} -pin "FRAME:acc#26" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#5.itm}
+load net {ACC2-3:acc#3.itm(3)} -pin "FRAME:acc#26" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(blue#2.sg1.sva)#5.itm}
+load net {FRAME:not#17.itm(0)} -pin "FRAME:acc#26" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load net {FRAME:not#17.itm(1)} -pin "FRAME:acc#26" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load net {FRAME:not#17.itm(2)} -pin "FRAME:acc#26" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#17.itm}
+load net {FRAME:acc#26.itm(0)} -pin "FRAME:acc#26" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#26.itm(1)} -pin "FRAME:acc#26" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#26.itm(2)} -pin "FRAME:acc#26" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#26.itm(3)} -pin "FRAME:acc#26" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load inst "FRAME:acc#28" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 44669 -attr oid 545 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28} -attr area 6.288444 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,4,0,6)"
+load net {FRAME:acc#27.itm(0)} -pin "FRAME:acc#28" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(1)} -pin "FRAME:acc#28" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(2)} -pin "FRAME:acc#28" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(3)} -pin "FRAME:acc#28" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#27.itm(4)} -pin "FRAME:acc#28" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#27.itm}
+load net {FRAME:acc#26.itm(0)} -pin "FRAME:acc#28" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#26.itm(1)} -pin "FRAME:acc#28" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#26.itm(2)} -pin "FRAME:acc#28" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#26.itm(3)} -pin "FRAME:acc#28" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#26.itm}
+load net {FRAME:acc#28.itm(0)} -pin "FRAME:acc#28" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(1)} -pin "FRAME:acc#28" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(2)} -pin "FRAME:acc#28" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(3)} -pin "FRAME:acc#28" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(4)} -pin "FRAME:acc#28" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(5)} -pin "FRAME:acc#28" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load inst "acc#13" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 44670 -attr oid 546 -attr vt d -attr @path {/sobel/sobel:core/acc#13} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
+load net {FRAME:acc#28.itm(0)} -pin "acc#13" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(1)} -pin "acc#13" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(2)} -pin "acc#13" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(3)} -pin "acc#13" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(4)} -pin "acc#13" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {FRAME:acc#28.itm(5)} -pin "acc#13" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#28.itm}
+load net {PWR} -pin "acc#13" {B(0)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#13" {B(1)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#13" {B(2)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#13" {B(3)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#13" {B(4)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#13" {B(5)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {acc.imod#13.sva(0)} -pin "acc#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load net {acc.imod#13.sva(1)} -pin "acc#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load net {acc.imod#13.sva(2)} -pin "acc#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load net {acc.imod#13.sva(3)} -pin "acc#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load net {acc.imod#13.sva(4)} -pin "acc#13" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load net {acc.imod#13.sva(5)} -pin "acc#13" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#13.sva}
+load inst "ACC2:acc#7" "add(15,-1,15,-1,15)" "INTERFACE" -attr xrf 44671 -attr oid 547 -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7} -attr area 16.198770 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,15,0,15)"
+load net {g(1).sg1.lpi#1.dfm(0)} -pin "ACC2:acc#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(1)} -pin "ACC2:acc#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(2)} -pin "ACC2:acc#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(3)} -pin "ACC2:acc#7" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(4)} -pin "ACC2:acc#7" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(5)} -pin "ACC2:acc#7" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(6)} -pin "ACC2:acc#7" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(7)} -pin "ACC2:acc#7" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(8)} -pin "ACC2:acc#7" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(9)} -pin "ACC2:acc#7" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(10)} -pin "ACC2:acc#7" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(11)} -pin "ACC2:acc#7" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(12)} -pin "ACC2:acc#7" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(13)} -pin "ACC2:acc#7" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(14)} -pin "ACC2:acc#7" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(2).sva#1(1)} -pin "ACC2:acc#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(2)} -pin "ACC2:acc#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(3)} -pin "ACC2:acc#7" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(4)} -pin "ACC2:acc#7" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(5)} -pin "ACC2:acc#7" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(6)} -pin "ACC2:acc#7" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(7)} -pin "ACC2:acc#7" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(8)} -pin "ACC2:acc#7" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(9)} -pin "ACC2:acc#7" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(10)} -pin "ACC2:acc#7" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(11)} -pin "ACC2:acc#7" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(12)} -pin "ACC2:acc#7" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(13)} -pin "ACC2:acc#7" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(14)} -pin "ACC2:acc#7" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {g(2).sva#1(15)} -pin "ACC2:acc#7" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(g(2).sva#1).itm}
+load net {ACC2:acc#7.itm(0)} -pin "ACC2:acc#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(1)} -pin "ACC2:acc#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(2)} -pin "ACC2:acc#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(3)} -pin "ACC2:acc#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(4)} -pin "ACC2:acc#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(5)} -pin "ACC2:acc#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(6)} -pin "ACC2:acc#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(7)} -pin "ACC2:acc#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(8)} -pin "ACC2:acc#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(9)} -pin "ACC2:acc#7" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(10)} -pin "ACC2:acc#7" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(11)} -pin "ACC2:acc#7" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(12)} -pin "ACC2:acc#7" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(13)} -pin "ACC2:acc#7" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load net {ACC2:acc#7.itm(14)} -pin "ACC2:acc#7" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:acc#7.itm}
+load inst "ACC2-3:acc#2" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 44672 -attr oid 548 -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,16)"
+load net {g(2).sva#1(0)} -pin "ACC2-3:acc#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(0)} -pin "ACC2-3:acc#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(1)} -pin "ACC2-3:acc#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(2)} -pin "ACC2-3:acc#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(3)} -pin "ACC2-3:acc#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(4)} -pin "ACC2-3:acc#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(5)} -pin "ACC2-3:acc#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(6)} -pin "ACC2-3:acc#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(7)} -pin "ACC2-3:acc#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(8)} -pin "ACC2-3:acc#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(9)} -pin "ACC2-3:acc#2" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(10)} -pin "ACC2-3:acc#2" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(11)} -pin "ACC2-3:acc#2" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(12)} -pin "ACC2-3:acc#2" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(13)} -pin "ACC2-3:acc#2" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {ACC2:acc#7.itm(14)} -pin "ACC2-3:acc#2" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC2:conc#1.itm}
+load net {g(0).sva#1(0)} -pin "ACC2-3:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(1)} -pin "ACC2-3:acc#2" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(2)} -pin "ACC2-3:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(3)} -pin "ACC2-3:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(4)} -pin "ACC2-3:acc#2" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(5)} -pin "ACC2-3:acc#2" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(6)} -pin "ACC2-3:acc#2" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(7)} -pin "ACC2-3:acc#2" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(8)} -pin "ACC2-3:acc#2" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(9)} -pin "ACC2-3:acc#2" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(10)} -pin "ACC2-3:acc#2" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(11)} -pin "ACC2-3:acc#2" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(12)} -pin "ACC2-3:acc#2" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(13)} -pin "ACC2-3:acc#2" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(14)} -pin "ACC2-3:acc#2" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(15)} -pin "ACC2-3:acc#2" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {ACC2-3:acc#2.itm(0)} -pin "ACC2-3:acc#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(1)} -pin "ACC2-3:acc#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(2)} -pin "ACC2-3:acc#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(3)} -pin "ACC2-3:acc#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(4)} -pin "ACC2-3:acc#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(5)} -pin "ACC2-3:acc#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(6)} -pin "ACC2-3:acc#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(7)} -pin "ACC2-3:acc#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(8)} -pin "ACC2-3:acc#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(9)} -pin "ACC2-3:acc#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(10)} -pin "ACC2-3:acc#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(11)} -pin "ACC2-3:acc#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(12)} -pin "ACC2-3:acc#2" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(13)} -pin "ACC2-3:acc#2" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(14)} -pin "ACC2-3:acc#2" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load net {ACC2-3:acc#2.itm(15)} -pin "ACC2-3:acc#2" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC2-3:acc#2.itm}
+load inst "FRAME:not#10" "not(3)" "INTERFACE" -attr xrf 44673 -attr oid 549 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#2.itm(10)} -pin "FRAME:not#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#3.itm}
+load net {ACC2-3:acc#2.itm(11)} -pin "FRAME:not#10" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#3.itm}
+load net {ACC2-3:acc#2.itm(12)} -pin "FRAME:not#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#3.itm}
+load net {FRAME:not#10.itm(0)} -pin "FRAME:not#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load net {FRAME:not#10.itm(1)} -pin "FRAME:not#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load net {FRAME:not#10.itm(2)} -pin "FRAME:not#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load inst "FRAME:acc#13" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 44674 -attr oid 550 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC2-3:acc#2.itm(7)} -pin "FRAME:acc#13" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#2.itm(8)} -pin "FRAME:acc#13" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#1.itm}
+load net {ACC2-3:acc#2.itm(9)} -pin "FRAME:acc#13" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#1.itm}
+load net {FRAME:not#10.itm(0)} -pin "FRAME:acc#13" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load net {FRAME:not#10.itm(1)} -pin "FRAME:acc#13" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load net {FRAME:not#10.itm(2)} -pin "FRAME:acc#13" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#10.itm}
+load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load inst "FRAME:not#37" "not(1)" "INTERFACE" -attr xrf 44675 -attr oid 551 -attr @path {/sobel/sobel:core/FRAME:not#37} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC2-3:acc#2.itm(15)} -pin "FRAME:not#37" {A(0)} -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#12.itm}
+load net {FRAME:not#37.itm} -pin "FRAME:not#37" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#37.itm}
+load inst "FRAME:not#49" "not(1)" "INTERFACE" -attr xrf 44676 -attr oid 552 -attr @path {/sobel/sobel:core/FRAME:not#49} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {ACC2-3:acc#2.itm(15)} -pin "FRAME:not#49" {A(0)} -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#7.itm}
+load net {FRAME:not#49.itm} -pin "FRAME:not#49" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#49.itm}
+load inst "FRAME:acc#12" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 44677 -attr oid 553 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {FRAME:not#49.itm} -pin "FRAME:acc#12" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#155.itm}
+load net {PWR} -pin "FRAME:acc#12" {A(1)} -attr @path {/sobel/sobel:core/conc#155.itm}
+load net {FRAME:not#37.itm} -pin "FRAME:acc#12" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#155.itm}
+load net {ACC2-3:acc#2.itm(13)} -pin "FRAME:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#4.itm}
+load net {ACC2-3:acc#2.itm(14)} -pin "FRAME:acc#12" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#4.itm}
+load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load inst "FRAME:acc#15" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 44678 -attr oid 554 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
+load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#15" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#15" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#15" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#15" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
+load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#15" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#15" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#15" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#15" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
+load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#15" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#15" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load inst "FRAME:not#9" "not(3)" "INTERFACE" -attr xrf 44679 -attr oid 555 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
+load net {ACC2-3:acc#2.itm(4)} -pin "FRAME:not#9" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#2.itm(5)} -pin "FRAME:not#9" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#6.itm}
+load net {ACC2-3:acc#2.itm(6)} -pin "FRAME:not#9" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#6.itm}
+load net {FRAME:not#9.itm(0)} -pin "FRAME:not#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load net {FRAME:not#9.itm(1)} -pin "FRAME:not#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load net {FRAME:not#9.itm(2)} -pin "FRAME:not#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load inst "FRAME:acc#14" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 44680 -attr oid 556 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
+load net {ACC2-3:acc#2.itm(1)} -pin "FRAME:acc#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#5.itm}
+load net {ACC2-3:acc#2.itm(2)} -pin "FRAME:acc#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#5.itm}
+load net {ACC2-3:acc#2.itm(3)} -pin "FRAME:acc#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(green#2.sg1.sva)#5.itm}
+load net {FRAME:not#9.itm(0)} -pin "FRAME:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load net {FRAME:not#9.itm(1)} -pin "FRAME:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load net {FRAME:not#9.itm(2)} -pin "FRAME:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#9.itm}
+load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load inst "FRAME:acc#16" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 44681 -attr oid 557 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16} -attr area 6.288444 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,4,0,6)"
+load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#16" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#16" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#16" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#16" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
+load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#16" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#16" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#16" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#16" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
+load net {FRAME:acc#16.itm(0)} -pin "FRAME:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(1)} -pin "FRAME:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(2)} -pin "FRAME:acc#16" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(3)} -pin "FRAME:acc#16" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(4)} -pin "FRAME:acc#16" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(5)} -pin "FRAME:acc#16" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load inst "acc#11" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 44682 -attr oid 558 -attr vt d -attr @path {/sobel/sobel:core/acc#11} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
+load net {FRAME:acc#16.itm(0)} -pin "acc#11" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(1)} -pin "acc#11" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(2)} -pin "acc#11" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(3)} -pin "acc#11" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(4)} -pin "acc#11" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {FRAME:acc#16.itm(5)} -pin "acc#11" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
+load net {PWR} -pin "acc#11" {B(0)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#11" {B(1)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#11" {B(2)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#11" {B(3)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {GND} -pin "acc#11" {B(4)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {PWR} -pin "acc#11" {B(5)} -attr @path {/sobel/sobel:core/Cn21_6}
+load net {acc.imod#11.sva(0)} -pin "acc#11" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load net {acc.imod#11.sva(1)} -pin "acc#11" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load net {acc.imod#11.sva(2)} -pin "acc#11" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load net {acc.imod#11.sva(3)} -pin "acc#11" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load net {acc.imod#11.sva(4)} -pin "acc#11" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load net {acc.imod#11.sva(5)} -pin "acc#11" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#11.sva}
+load inst "FRAME:for:and#9" "and(2,15)" "INTERFACE" -attr xrf 44683 -attr oid 559 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#9} -attr area 10.947486 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(15,2)"
+load net {b(1).sg1.lpi#1(0)} -pin "FRAME:for:and#9" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(1)} -pin "FRAME:for:and#9" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(2)} -pin "FRAME:for:and#9" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(3)} -pin "FRAME:for:and#9" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(4)} -pin "FRAME:for:and#9" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(5)} -pin "FRAME:for:and#9" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(6)} -pin "FRAME:for:and#9" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(7)} -pin "FRAME:for:and#9" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(8)} -pin "FRAME:for:and#9" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(9)} -pin "FRAME:for:and#9" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(10)} -pin "FRAME:for:and#9" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(11)} -pin "FRAME:for:and#9" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(12)} -pin "FRAME:for:and#9" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(13)} -pin "FRAME:for:and#9" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {b(1).sg1.lpi#1(14)} -pin "FRAME:for:and#9" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1}
+load net {not#24} -pin "FRAME:for:and#9" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {not#24} -pin "FRAME:for:and#9" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#35.itm}
+load net {b(1).sg1.lpi#1.dfm(0)} -pin "FRAME:for:and#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(1)} -pin "FRAME:for:and#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(2)} -pin "FRAME:for:and#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(3)} -pin "FRAME:for:and#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(4)} -pin "FRAME:for:and#9" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(5)} -pin "FRAME:for:and#9" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(6)} -pin "FRAME:for:and#9" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(7)} -pin "FRAME:for:and#9" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(8)} -pin "FRAME:for:and#9" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(9)} -pin "FRAME:for:and#9" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(10)} -pin "FRAME:for:and#9" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(11)} -pin "FRAME:for:and#9" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(12)} -pin "FRAME:for:and#9" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(13)} -pin "FRAME:for:and#9" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load net {b(1).sg1.lpi#1.dfm(14)} -pin "FRAME:for:and#9" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(1).sg1.lpi#1.dfm}
+load inst "regs.operator[]#35:mux" "mux(4,10)" "INTERFACE" -attr xrf 44684 -attr oid 560 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#35:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#35:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm(60)} -pin "regs.operator[]#35:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(61)} -pin "regs.operator[]#35:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(62)} -pin "regs.operator[]#35:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(63)} -pin "regs.operator[]#35:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(64)} -pin "regs.operator[]#35:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(65)} -pin "regs.operator[]#35:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(66)} -pin "regs.operator[]#35:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(67)} -pin "regs.operator[]#35:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(68)} -pin "regs.operator[]#35:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(2).lpi#1.dfm(69)} -pin "regs.operator[]#35:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm).itm}
+load net {regs.regs(1).sva(60)} -pin "regs.operator[]#35:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(61)} -pin "regs.operator[]#35:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(62)} -pin "regs.operator[]#35:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(63)} -pin "regs.operator[]#35:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(64)} -pin "regs.operator[]#35:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(65)} -pin "regs.operator[]#35:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(66)} -pin "regs.operator[]#35:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(67)} -pin "regs.operator[]#35:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(68)} -pin "regs.operator[]#35:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(1).sva(69)} -pin "regs.operator[]#35:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva).itm}
+load net {regs.regs(0).sva(60)} -pin "regs.operator[]#35:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(61)} -pin "regs.operator[]#35:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(62)} -pin "regs.operator[]#35:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(63)} -pin "regs.operator[]#35:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(64)} -pin "regs.operator[]#35:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(65)} -pin "regs.operator[]#35:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(66)} -pin "regs.operator[]#35:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(67)} -pin "regs.operator[]#35:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(68)} -pin "regs.operator[]#35:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {regs.regs(0).sva(69)} -pin "regs.operator[]#35:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva).itm}
+load net {i#7.lpi#1(0)} -pin "regs.operator[]#35:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "regs.operator[]#35:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.operator[]#35:mux.itm(0)} -pin "regs.operator[]#35:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(1)} -pin "regs.operator[]#35:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(2)} -pin "regs.operator[]#35:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(3)} -pin "regs.operator[]#35:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(4)} -pin "regs.operator[]#35:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(5)} -pin "regs.operator[]#35:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(6)} -pin "regs.operator[]#35:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(7)} -pin "regs.operator[]#35:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(8)} -pin "regs.operator[]#35:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(9)} -pin "regs.operator[]#35:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load inst "FRAME:for#1:mul#8" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44685 -attr oid 561 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#35:mux.itm(0)} -pin "FRAME:for#1:mul#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(1)} -pin "FRAME:for#1:mul#8" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(2)} -pin "FRAME:for#1:mul#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(3)} -pin "FRAME:for#1:mul#8" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(4)} -pin "FRAME:for#1:mul#8" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(5)} -pin "FRAME:for#1:mul#8" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(6)} -pin "FRAME:for#1:mul#8" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(7)} -pin "FRAME:for#1:mul#8" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(8)} -pin "FRAME:for#1:mul#8" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {regs.operator[]#35:mux.itm(9)} -pin "FRAME:for#1:mul#8" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#35:mux.itm}
+load net {FRAME:for#1:or#1.itm} -pin "FRAME:for#1:mul#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for#1:nor.cse} -pin "FRAME:for#1:mul#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for#1:mul#8.itm(0)} -pin "FRAME:for#1:mul#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(1)} -pin "FRAME:for#1:mul#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(2)} -pin "FRAME:for#1:mul#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(3)} -pin "FRAME:for#1:mul#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(4)} -pin "FRAME:for#1:mul#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(5)} -pin "FRAME:for#1:mul#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(6)} -pin "FRAME:for#1:mul#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(7)} -pin "FRAME:for#1:mul#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(8)} -pin "FRAME:for#1:mul#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(9)} -pin "FRAME:for#1:mul#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(10)} -pin "FRAME:for#1:mul#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load inst "FRAME:for#1:acc#14" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44686 -attr oid 562 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc#14} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {b(2).lpi#1.dfm(0)} -pin "FRAME:for#1:acc#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(1)} -pin "FRAME:for#1:acc#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(2)} -pin "FRAME:for#1:acc#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(3)} -pin "FRAME:for#1:acc#14" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(4)} -pin "FRAME:for#1:acc#14" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(5)} -pin "FRAME:for#1:acc#14" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(6)} -pin "FRAME:for#1:acc#14" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(7)} -pin "FRAME:for#1:acc#14" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(8)} -pin "FRAME:for#1:acc#14" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(9)} -pin "FRAME:for#1:acc#14" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(10)} -pin "FRAME:for#1:acc#14" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(11)} -pin "FRAME:for#1:acc#14" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(12)} -pin "FRAME:for#1:acc#14" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(13)} -pin "FRAME:for#1:acc#14" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(14)} -pin "FRAME:for#1:acc#14" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(15)} -pin "FRAME:for#1:acc#14" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {FRAME:for#1:mul#8.itm(0)} -pin "FRAME:for#1:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(1)} -pin "FRAME:for#1:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(2)} -pin "FRAME:for#1:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(3)} -pin "FRAME:for#1:acc#14" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(4)} -pin "FRAME:for#1:acc#14" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(5)} -pin "FRAME:for#1:acc#14" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(6)} -pin "FRAME:for#1:acc#14" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(7)} -pin "FRAME:for#1:acc#14" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(8)} -pin "FRAME:for#1:acc#14" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(9)} -pin "FRAME:for#1:acc#14" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {FRAME:for#1:mul#8.itm(10)} -pin "FRAME:for#1:acc#14" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#8.itm}
+load net {b(2).sva#1(0)} -pin "FRAME:for#1:acc#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(1)} -pin "FRAME:for#1:acc#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(2)} -pin "FRAME:for#1:acc#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(3)} -pin "FRAME:for#1:acc#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(4)} -pin "FRAME:for#1:acc#14" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(5)} -pin "FRAME:for#1:acc#14" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(6)} -pin "FRAME:for#1:acc#14" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(7)} -pin "FRAME:for#1:acc#14" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(8)} -pin "FRAME:for#1:acc#14" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(9)} -pin "FRAME:for#1:acc#14" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(10)} -pin "FRAME:for#1:acc#14" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(11)} -pin "FRAME:for#1:acc#14" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(12)} -pin "FRAME:for#1:acc#14" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(13)} -pin "FRAME:for#1:acc#14" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(14)} -pin "FRAME:for#1:acc#14" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load net {b(2).sva#1(15)} -pin "FRAME:for#1:acc#14" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).sva#1}
+load inst "regs.operator[]#29:mux" "mux(4,10)" "INTERFACE" -attr xrf 44687 -attr oid 563 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#29:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#29:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm(0)} -pin "regs.operator[]#29:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(1)} -pin "regs.operator[]#29:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(2)} -pin "regs.operator[]#29:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(3)} -pin "regs.operator[]#29:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(4)} -pin "regs.operator[]#29:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(5)} -pin "regs.operator[]#29:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(6)} -pin "regs.operator[]#29:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(7)} -pin "regs.operator[]#29:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(8)} -pin "regs.operator[]#29:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(2).lpi#1.dfm(9)} -pin "regs.operator[]#29:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#3.itm}
+load net {regs.regs(1).sva(0)} -pin "regs.operator[]#29:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(1)} -pin "regs.operator[]#29:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(2)} -pin "regs.operator[]#29:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(3)} -pin "regs.operator[]#29:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(4)} -pin "regs.operator[]#29:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(5)} -pin "regs.operator[]#29:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(6)} -pin "regs.operator[]#29:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(7)} -pin "regs.operator[]#29:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(8)} -pin "regs.operator[]#29:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(1).sva(9)} -pin "regs.operator[]#29:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#3.itm}
+load net {regs.regs(0).sva(0)} -pin "regs.operator[]#29:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(1)} -pin "regs.operator[]#29:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(2)} -pin "regs.operator[]#29:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(3)} -pin "regs.operator[]#29:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(4)} -pin "regs.operator[]#29:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(5)} -pin "regs.operator[]#29:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(6)} -pin "regs.operator[]#29:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(7)} -pin "regs.operator[]#29:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(8)} -pin "regs.operator[]#29:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {regs.regs(0).sva(9)} -pin "regs.operator[]#29:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#3.itm}
+load net {i#7.lpi#1(0)} -pin "regs.operator[]#29:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "regs.operator[]#29:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.operator[]#29:mux.itm(0)} -pin "regs.operator[]#29:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(1)} -pin "regs.operator[]#29:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(2)} -pin "regs.operator[]#29:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(3)} -pin "regs.operator[]#29:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(4)} -pin "regs.operator[]#29:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(5)} -pin "regs.operator[]#29:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(6)} -pin "regs.operator[]#29:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(7)} -pin "regs.operator[]#29:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(8)} -pin "regs.operator[]#29:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(9)} -pin "regs.operator[]#29:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load inst "FRAME:for#1:mul#2" "mul(10,1,2,1,12)" "INTERFACE" -attr xrf 44688 -attr oid 564 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#29:mux.itm(0)} -pin "FRAME:for#1:mul#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(1)} -pin "FRAME:for#1:mul#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(2)} -pin "FRAME:for#1:mul#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(3)} -pin "FRAME:for#1:mul#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(4)} -pin "FRAME:for#1:mul#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(5)} -pin "FRAME:for#1:mul#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(6)} -pin "FRAME:for#1:mul#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(7)} -pin "FRAME:for#1:mul#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(8)} -pin "FRAME:for#1:mul#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {regs.operator[]#29:mux.itm(9)} -pin "FRAME:for#1:mul#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#29:mux.itm}
+load net {FRAME:for#1:or.itm} -pin "FRAME:for#1:mul#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#156.itm}
+load net {PWR} -pin "FRAME:for#1:mul#2" {B(1)} -attr @path {/sobel/sobel:core/conc#156.itm}
+load net {FRAME:for#1:mul#2.itm(0)} -pin "FRAME:for#1:mul#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(1)} -pin "FRAME:for#1:mul#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(2)} -pin "FRAME:for#1:mul#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(3)} -pin "FRAME:for#1:mul#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(4)} -pin "FRAME:for#1:mul#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(5)} -pin "FRAME:for#1:mul#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(6)} -pin "FRAME:for#1:mul#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(7)} -pin "FRAME:for#1:mul#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(8)} -pin "FRAME:for#1:mul#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(9)} -pin "FRAME:for#1:mul#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(10)} -pin "FRAME:for#1:mul#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(11)} -pin "FRAME:for#1:mul#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load inst "FRAME:for#1:acc#3" "add(16,-1,12,1,16)" "INTERFACE" -attr xrf 44689 -attr oid 565 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc#3} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {b(0).lpi#1.dfm(0)} -pin "FRAME:for#1:acc#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(1)} -pin "FRAME:for#1:acc#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(2)} -pin "FRAME:for#1:acc#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(3)} -pin "FRAME:for#1:acc#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(4)} -pin "FRAME:for#1:acc#3" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(5)} -pin "FRAME:for#1:acc#3" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(6)} -pin "FRAME:for#1:acc#3" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(7)} -pin "FRAME:for#1:acc#3" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(8)} -pin "FRAME:for#1:acc#3" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(9)} -pin "FRAME:for#1:acc#3" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(10)} -pin "FRAME:for#1:acc#3" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(11)} -pin "FRAME:for#1:acc#3" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(12)} -pin "FRAME:for#1:acc#3" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(13)} -pin "FRAME:for#1:acc#3" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(14)} -pin "FRAME:for#1:acc#3" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(15)} -pin "FRAME:for#1:acc#3" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {FRAME:for#1:mul#2.itm(0)} -pin "FRAME:for#1:acc#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(1)} -pin "FRAME:for#1:acc#3" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(2)} -pin "FRAME:for#1:acc#3" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(3)} -pin "FRAME:for#1:acc#3" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(4)} -pin "FRAME:for#1:acc#3" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(5)} -pin "FRAME:for#1:acc#3" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(6)} -pin "FRAME:for#1:acc#3" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(7)} -pin "FRAME:for#1:acc#3" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(8)} -pin "FRAME:for#1:acc#3" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(9)} -pin "FRAME:for#1:acc#3" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(10)} -pin "FRAME:for#1:acc#3" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {FRAME:for#1:mul#2.itm(11)} -pin "FRAME:for#1:acc#3" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#2.itm}
+load net {b(0).sva#1(0)} -pin "FRAME:for#1:acc#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(1)} -pin "FRAME:for#1:acc#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(2)} -pin "FRAME:for#1:acc#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(3)} -pin "FRAME:for#1:acc#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(4)} -pin "FRAME:for#1:acc#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(5)} -pin "FRAME:for#1:acc#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(6)} -pin "FRAME:for#1:acc#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(7)} -pin "FRAME:for#1:acc#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(8)} -pin "FRAME:for#1:acc#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(9)} -pin "FRAME:for#1:acc#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(10)} -pin "FRAME:for#1:acc#3" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(11)} -pin "FRAME:for#1:acc#3" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(12)} -pin "FRAME:for#1:acc#3" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(13)} -pin "FRAME:for#1:acc#3" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(14)} -pin "FRAME:for#1:acc#3" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load net {b(0).sva#1(15)} -pin "FRAME:for#1:acc#3" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).sva#1}
+load inst "FRAME:for:and#8" "and(2,15)" "INTERFACE" -attr xrf 44690 -attr oid 566 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#8} -attr area 10.947486 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(15,2)"
+load net {g(1).sg1.lpi#1(0)} -pin "FRAME:for:and#8" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(1)} -pin "FRAME:for:and#8" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(2)} -pin "FRAME:for:and#8" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(3)} -pin "FRAME:for:and#8" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(4)} -pin "FRAME:for:and#8" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(5)} -pin "FRAME:for:and#8" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(6)} -pin "FRAME:for:and#8" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(7)} -pin "FRAME:for:and#8" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(8)} -pin "FRAME:for:and#8" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(9)} -pin "FRAME:for:and#8" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(10)} -pin "FRAME:for:and#8" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(11)} -pin "FRAME:for:and#8" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(12)} -pin "FRAME:for:and#8" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(13)} -pin "FRAME:for:and#8" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {g(1).sg1.lpi#1(14)} -pin "FRAME:for:and#8" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1}
+load net {not#24} -pin "FRAME:for:and#8" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {not#24} -pin "FRAME:for:and#8" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#36.itm}
+load net {g(1).sg1.lpi#1.dfm(0)} -pin "FRAME:for:and#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(1)} -pin "FRAME:for:and#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(2)} -pin "FRAME:for:and#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(3)} -pin "FRAME:for:and#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(4)} -pin "FRAME:for:and#8" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(5)} -pin "FRAME:for:and#8" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(6)} -pin "FRAME:for:and#8" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(7)} -pin "FRAME:for:and#8" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(8)} -pin "FRAME:for:and#8" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(9)} -pin "FRAME:for:and#8" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(10)} -pin "FRAME:for:and#8" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(11)} -pin "FRAME:for:and#8" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(12)} -pin "FRAME:for:and#8" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(13)} -pin "FRAME:for:and#8" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load net {g(1).sg1.lpi#1.dfm(14)} -pin "FRAME:for:and#8" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(1).sg1.lpi#1.dfm}
+load inst "regs.operator[]#34:mux" "mux(4,10)" "INTERFACE" -attr xrf 44691 -attr oid 567 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#34:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#34:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm(70)} -pin "regs.operator[]#34:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(71)} -pin "regs.operator[]#34:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(72)} -pin "regs.operator[]#34:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(73)} -pin "regs.operator[]#34:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(74)} -pin "regs.operator[]#34:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(75)} -pin "regs.operator[]#34:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(76)} -pin "regs.operator[]#34:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(77)} -pin "regs.operator[]#34:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(78)} -pin "regs.operator[]#34:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(2).lpi#1.dfm(79)} -pin "regs.operator[]#34:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#1.itm}
+load net {regs.regs(1).sva(70)} -pin "regs.operator[]#34:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(71)} -pin "regs.operator[]#34:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(72)} -pin "regs.operator[]#34:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(73)} -pin "regs.operator[]#34:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(74)} -pin "regs.operator[]#34:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(75)} -pin "regs.operator[]#34:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(76)} -pin "regs.operator[]#34:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(77)} -pin "regs.operator[]#34:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(78)} -pin "regs.operator[]#34:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(1).sva(79)} -pin "regs.operator[]#34:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#1.itm}
+load net {regs.regs(0).sva(70)} -pin "regs.operator[]#34:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(71)} -pin "regs.operator[]#34:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(72)} -pin "regs.operator[]#34:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(73)} -pin "regs.operator[]#34:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(74)} -pin "regs.operator[]#34:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(75)} -pin "regs.operator[]#34:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(76)} -pin "regs.operator[]#34:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(77)} -pin "regs.operator[]#34:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(78)} -pin "regs.operator[]#34:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {regs.regs(0).sva(79)} -pin "regs.operator[]#34:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#1.itm}
+load net {i#7.lpi#1(0)} -pin "regs.operator[]#34:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "regs.operator[]#34:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.operator[]#34:mux.itm(0)} -pin "regs.operator[]#34:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(1)} -pin "regs.operator[]#34:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(2)} -pin "regs.operator[]#34:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(3)} -pin "regs.operator[]#34:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(4)} -pin "regs.operator[]#34:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(5)} -pin "regs.operator[]#34:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(6)} -pin "regs.operator[]#34:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(7)} -pin "regs.operator[]#34:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(8)} -pin "regs.operator[]#34:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(9)} -pin "regs.operator[]#34:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load inst "FRAME:for#1:mul#7" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44692 -attr oid 568 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#34:mux.itm(0)} -pin "FRAME:for#1:mul#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(1)} -pin "FRAME:for#1:mul#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(2)} -pin "FRAME:for#1:mul#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(3)} -pin "FRAME:for#1:mul#7" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(4)} -pin "FRAME:for#1:mul#7" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(5)} -pin "FRAME:for#1:mul#7" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(6)} -pin "FRAME:for#1:mul#7" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(7)} -pin "FRAME:for#1:mul#7" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(8)} -pin "FRAME:for#1:mul#7" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {regs.operator[]#34:mux.itm(9)} -pin "FRAME:for#1:mul#7" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#34:mux.itm}
+load net {FRAME:for#1:or#1.itm} -pin "FRAME:for#1:mul#7" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for#1:nor.cse} -pin "FRAME:for#1:mul#7" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for#1:mul#7.itm(0)} -pin "FRAME:for#1:mul#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(1)} -pin "FRAME:for#1:mul#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(2)} -pin "FRAME:for#1:mul#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(3)} -pin "FRAME:for#1:mul#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(4)} -pin "FRAME:for#1:mul#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(5)} -pin "FRAME:for#1:mul#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(6)} -pin "FRAME:for#1:mul#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(7)} -pin "FRAME:for#1:mul#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(8)} -pin "FRAME:for#1:mul#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(9)} -pin "FRAME:for#1:mul#7" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(10)} -pin "FRAME:for#1:mul#7" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load inst "FRAME:for#1:acc#12" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44693 -attr oid 569 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc#12} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {g(2).lpi#1.dfm(0)} -pin "FRAME:for#1:acc#12" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(1)} -pin "FRAME:for#1:acc#12" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(2)} -pin "FRAME:for#1:acc#12" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(3)} -pin "FRAME:for#1:acc#12" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(4)} -pin "FRAME:for#1:acc#12" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(5)} -pin "FRAME:for#1:acc#12" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(6)} -pin "FRAME:for#1:acc#12" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(7)} -pin "FRAME:for#1:acc#12" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(8)} -pin "FRAME:for#1:acc#12" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(9)} -pin "FRAME:for#1:acc#12" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(10)} -pin "FRAME:for#1:acc#12" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(11)} -pin "FRAME:for#1:acc#12" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(12)} -pin "FRAME:for#1:acc#12" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(13)} -pin "FRAME:for#1:acc#12" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(14)} -pin "FRAME:for#1:acc#12" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(15)} -pin "FRAME:for#1:acc#12" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {FRAME:for#1:mul#7.itm(0)} -pin "FRAME:for#1:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(1)} -pin "FRAME:for#1:acc#12" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(2)} -pin "FRAME:for#1:acc#12" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(3)} -pin "FRAME:for#1:acc#12" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(4)} -pin "FRAME:for#1:acc#12" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(5)} -pin "FRAME:for#1:acc#12" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(6)} -pin "FRAME:for#1:acc#12" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(7)} -pin "FRAME:for#1:acc#12" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(8)} -pin "FRAME:for#1:acc#12" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(9)} -pin "FRAME:for#1:acc#12" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {FRAME:for#1:mul#7.itm(10)} -pin "FRAME:for#1:acc#12" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#7.itm}
+load net {g(2).sva#1(0)} -pin "FRAME:for#1:acc#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(1)} -pin "FRAME:for#1:acc#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(2)} -pin "FRAME:for#1:acc#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(3)} -pin "FRAME:for#1:acc#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(4)} -pin "FRAME:for#1:acc#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(5)} -pin "FRAME:for#1:acc#12" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(6)} -pin "FRAME:for#1:acc#12" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(7)} -pin "FRAME:for#1:acc#12" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(8)} -pin "FRAME:for#1:acc#12" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(9)} -pin "FRAME:for#1:acc#12" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(10)} -pin "FRAME:for#1:acc#12" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(11)} -pin "FRAME:for#1:acc#12" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(12)} -pin "FRAME:for#1:acc#12" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(13)} -pin "FRAME:for#1:acc#12" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(14)} -pin "FRAME:for#1:acc#12" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load net {g(2).sva#1(15)} -pin "FRAME:for#1:acc#12" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).sva#1}
+load inst "regs.operator[]#28:mux" "mux(4,10)" "INTERFACE" -attr xrf 44694 -attr oid 570 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#28:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#28:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm(10)} -pin "regs.operator[]#28:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(11)} -pin "regs.operator[]#28:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(12)} -pin "regs.operator[]#28:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(13)} -pin "regs.operator[]#28:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(14)} -pin "regs.operator[]#28:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(15)} -pin "regs.operator[]#28:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(16)} -pin "regs.operator[]#28:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(17)} -pin "regs.operator[]#28:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(18)} -pin "regs.operator[]#28:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(2).lpi#1.dfm(19)} -pin "regs.operator[]#28:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#4.itm}
+load net {regs.regs(1).sva(10)} -pin "regs.operator[]#28:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(11)} -pin "regs.operator[]#28:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(12)} -pin "regs.operator[]#28:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(13)} -pin "regs.operator[]#28:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(14)} -pin "regs.operator[]#28:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(15)} -pin "regs.operator[]#28:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(16)} -pin "regs.operator[]#28:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(17)} -pin "regs.operator[]#28:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(18)} -pin "regs.operator[]#28:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(1).sva(19)} -pin "regs.operator[]#28:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#4.itm}
+load net {regs.regs(0).sva(10)} -pin "regs.operator[]#28:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(11)} -pin "regs.operator[]#28:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(12)} -pin "regs.operator[]#28:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(13)} -pin "regs.operator[]#28:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(14)} -pin "regs.operator[]#28:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(15)} -pin "regs.operator[]#28:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(16)} -pin "regs.operator[]#28:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(17)} -pin "regs.operator[]#28:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(18)} -pin "regs.operator[]#28:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {regs.regs(0).sva(19)} -pin "regs.operator[]#28:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#4.itm}
+load net {i#7.lpi#1(0)} -pin "regs.operator[]#28:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "regs.operator[]#28:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.operator[]#28:mux.itm(0)} -pin "regs.operator[]#28:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(1)} -pin "regs.operator[]#28:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(2)} -pin "regs.operator[]#28:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(3)} -pin "regs.operator[]#28:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(4)} -pin "regs.operator[]#28:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(5)} -pin "regs.operator[]#28:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(6)} -pin "regs.operator[]#28:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(7)} -pin "regs.operator[]#28:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(8)} -pin "regs.operator[]#28:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(9)} -pin "regs.operator[]#28:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load inst "FRAME:for#1:mul#1" "mul(10,1,2,1,12)" "INTERFACE" -attr xrf 44695 -attr oid 571 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#28:mux.itm(0)} -pin "FRAME:for#1:mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(1)} -pin "FRAME:for#1:mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(2)} -pin "FRAME:for#1:mul#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(3)} -pin "FRAME:for#1:mul#1" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(4)} -pin "FRAME:for#1:mul#1" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(5)} -pin "FRAME:for#1:mul#1" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(6)} -pin "FRAME:for#1:mul#1" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(7)} -pin "FRAME:for#1:mul#1" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(8)} -pin "FRAME:for#1:mul#1" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {regs.operator[]#28:mux.itm(9)} -pin "FRAME:for#1:mul#1" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#28:mux.itm}
+load net {FRAME:for#1:or.itm} -pin "FRAME:for#1:mul#1" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#157.itm}
+load net {PWR} -pin "FRAME:for#1:mul#1" {B(1)} -attr @path {/sobel/sobel:core/conc#157.itm}
+load net {FRAME:for#1:mul#1.itm(0)} -pin "FRAME:for#1:mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(1)} -pin "FRAME:for#1:mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(2)} -pin "FRAME:for#1:mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(3)} -pin "FRAME:for#1:mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(4)} -pin "FRAME:for#1:mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(5)} -pin "FRAME:for#1:mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(6)} -pin "FRAME:for#1:mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(7)} -pin "FRAME:for#1:mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(8)} -pin "FRAME:for#1:mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(9)} -pin "FRAME:for#1:mul#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(10)} -pin "FRAME:for#1:mul#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(11)} -pin "FRAME:for#1:mul#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load inst "FRAME:for#1:acc#2" "add(16,-1,12,1,16)" "INTERFACE" -attr xrf 44696 -attr oid 572 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc#2} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {g(0).lpi#1.dfm(0)} -pin "FRAME:for#1:acc#2" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(1)} -pin "FRAME:for#1:acc#2" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(2)} -pin "FRAME:for#1:acc#2" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(3)} -pin "FRAME:for#1:acc#2" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(4)} -pin "FRAME:for#1:acc#2" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(5)} -pin "FRAME:for#1:acc#2" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(6)} -pin "FRAME:for#1:acc#2" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(7)} -pin "FRAME:for#1:acc#2" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(8)} -pin "FRAME:for#1:acc#2" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(9)} -pin "FRAME:for#1:acc#2" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(10)} -pin "FRAME:for#1:acc#2" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(11)} -pin "FRAME:for#1:acc#2" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(12)} -pin "FRAME:for#1:acc#2" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(13)} -pin "FRAME:for#1:acc#2" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(14)} -pin "FRAME:for#1:acc#2" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(15)} -pin "FRAME:for#1:acc#2" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {FRAME:for#1:mul#1.itm(0)} -pin "FRAME:for#1:acc#2" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(1)} -pin "FRAME:for#1:acc#2" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(2)} -pin "FRAME:for#1:acc#2" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(3)} -pin "FRAME:for#1:acc#2" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(4)} -pin "FRAME:for#1:acc#2" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(5)} -pin "FRAME:for#1:acc#2" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(6)} -pin "FRAME:for#1:acc#2" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(7)} -pin "FRAME:for#1:acc#2" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(8)} -pin "FRAME:for#1:acc#2" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(9)} -pin "FRAME:for#1:acc#2" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(10)} -pin "FRAME:for#1:acc#2" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {FRAME:for#1:mul#1.itm(11)} -pin "FRAME:for#1:acc#2" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul#1.itm}
+load net {g(0).sva#1(0)} -pin "FRAME:for#1:acc#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(1)} -pin "FRAME:for#1:acc#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(2)} -pin "FRAME:for#1:acc#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(3)} -pin "FRAME:for#1:acc#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(4)} -pin "FRAME:for#1:acc#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(5)} -pin "FRAME:for#1:acc#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(6)} -pin "FRAME:for#1:acc#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(7)} -pin "FRAME:for#1:acc#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(8)} -pin "FRAME:for#1:acc#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(9)} -pin "FRAME:for#1:acc#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(10)} -pin "FRAME:for#1:acc#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(11)} -pin "FRAME:for#1:acc#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(12)} -pin "FRAME:for#1:acc#2" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(13)} -pin "FRAME:for#1:acc#2" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(14)} -pin "FRAME:for#1:acc#2" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load net {g(0).sva#1(15)} -pin "FRAME:for#1:acc#2" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).sva#1}
+load inst "FRAME:for:and#7" "and(2,15)" "INTERFACE" -attr xrf 44697 -attr oid 573 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#7} -attr area 10.947486 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(15,2)"
+load net {r(1).sg1.lpi#1(0)} -pin "FRAME:for:and#7" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(1)} -pin "FRAME:for:and#7" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(2)} -pin "FRAME:for:and#7" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(3)} -pin "FRAME:for:and#7" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(4)} -pin "FRAME:for:and#7" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(5)} -pin "FRAME:for:and#7" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(6)} -pin "FRAME:for:and#7" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(7)} -pin "FRAME:for:and#7" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(8)} -pin "FRAME:for:and#7" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(9)} -pin "FRAME:for:and#7" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(10)} -pin "FRAME:for:and#7" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(11)} -pin "FRAME:for:and#7" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(12)} -pin "FRAME:for:and#7" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(13)} -pin "FRAME:for:and#7" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {r(1).sg1.lpi#1(14)} -pin "FRAME:for:and#7" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1}
+load net {not#24} -pin "FRAME:for:and#7" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {not#24} -pin "FRAME:for:and#7" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#31.itm}
+load net {r(1).sg1.lpi#1.dfm(0)} -pin "FRAME:for:and#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(1)} -pin "FRAME:for:and#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(2)} -pin "FRAME:for:and#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(3)} -pin "FRAME:for:and#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(4)} -pin "FRAME:for:and#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(5)} -pin "FRAME:for:and#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(6)} -pin "FRAME:for:and#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(7)} -pin "FRAME:for:and#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(8)} -pin "FRAME:for:and#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(9)} -pin "FRAME:for:and#7" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(10)} -pin "FRAME:for:and#7" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(11)} -pin "FRAME:for:and#7" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(12)} -pin "FRAME:for:and#7" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(13)} -pin "FRAME:for:and#7" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load net {r(1).sg1.lpi#1.dfm(14)} -pin "FRAME:for:and#7" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(1).sg1.lpi#1.dfm}
+load inst "regs.operator[]#33:mux" "mux(4,10)" "INTERFACE" -attr xrf 44698 -attr oid 574 -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#33:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#33:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm(80)} -pin "regs.operator[]#33:mux" {A1(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(81)} -pin "regs.operator[]#33:mux" {A1(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(82)} -pin "regs.operator[]#33:mux" {A1(2)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(83)} -pin "regs.operator[]#33:mux" {A1(3)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(84)} -pin "regs.operator[]#33:mux" {A1(4)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(85)} -pin "regs.operator[]#33:mux" {A1(5)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(86)} -pin "regs.operator[]#33:mux" {A1(6)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(87)} -pin "regs.operator[]#33:mux" {A1(7)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(88)} -pin "regs.operator[]#33:mux" {A1(8)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(2).lpi#1.dfm(89)} -pin "regs.operator[]#33:mux" {A1(9)} -attr vt dc -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#2.itm}
+load net {regs.regs(1).sva(80)} -pin "regs.operator[]#33:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(81)} -pin "regs.operator[]#33:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(82)} -pin "regs.operator[]#33:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(83)} -pin "regs.operator[]#33:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(84)} -pin "regs.operator[]#33:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(85)} -pin "regs.operator[]#33:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(86)} -pin "regs.operator[]#33:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(87)} -pin "regs.operator[]#33:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(88)} -pin "regs.operator[]#33:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(1).sva(89)} -pin "regs.operator[]#33:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#2.itm}
+load net {regs.regs(0).sva(80)} -pin "regs.operator[]#33:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(81)} -pin "regs.operator[]#33:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(82)} -pin "regs.operator[]#33:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(83)} -pin "regs.operator[]#33:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(84)} -pin "regs.operator[]#33:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(85)} -pin "regs.operator[]#33:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(86)} -pin "regs.operator[]#33:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(87)} -pin "regs.operator[]#33:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(88)} -pin "regs.operator[]#33:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {regs.regs(0).sva(89)} -pin "regs.operator[]#33:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#2.itm}
+load net {i#7.lpi#1(0)} -pin "regs.operator[]#33:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "regs.operator[]#33:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.operator[]#33:mux.itm(0)} -pin "regs.operator[]#33:mux" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(1)} -pin "regs.operator[]#33:mux" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(2)} -pin "regs.operator[]#33:mux" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(3)} -pin "regs.operator[]#33:mux" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(4)} -pin "regs.operator[]#33:mux" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(5)} -pin "regs.operator[]#33:mux" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(6)} -pin "regs.operator[]#33:mux" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(7)} -pin "regs.operator[]#33:mux" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(8)} -pin "regs.operator[]#33:mux" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(9)} -pin "regs.operator[]#33:mux" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load inst "FRAME:for#1:mul#6" "mul(10,1,2,1,11)" "INTERFACE" -attr xrf 44699 -attr oid 575 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#33:mux.itm(0)} -pin "FRAME:for#1:mul#6" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(1)} -pin "FRAME:for#1:mul#6" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(2)} -pin "FRAME:for#1:mul#6" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(3)} -pin "FRAME:for#1:mul#6" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(4)} -pin "FRAME:for#1:mul#6" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(5)} -pin "FRAME:for#1:mul#6" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(6)} -pin "FRAME:for#1:mul#6" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(7)} -pin "FRAME:for#1:mul#6" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(8)} -pin "FRAME:for#1:mul#6" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {regs.operator[]#33:mux.itm(9)} -pin "FRAME:for#1:mul#6" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/regs.operator[]#33:mux.itm}
+load net {FRAME:for#1:or#1.itm} -pin "FRAME:for#1:mul#6" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for#1:nor.cse} -pin "FRAME:for#1:mul#6" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:conc#16}
+load net {FRAME:for#1:mul#6.itm(0)} -pin "FRAME:for#1:mul#6" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(1)} -pin "FRAME:for#1:mul#6" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(2)} -pin "FRAME:for#1:mul#6" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(3)} -pin "FRAME:for#1:mul#6" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(4)} -pin "FRAME:for#1:mul#6" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(5)} -pin "FRAME:for#1:mul#6" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(6)} -pin "FRAME:for#1:mul#6" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(7)} -pin "FRAME:for#1:mul#6" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(8)} -pin "FRAME:for#1:mul#6" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(9)} -pin "FRAME:for#1:mul#6" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(10)} -pin "FRAME:for#1:mul#6" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load inst "FRAME:for#1:acc#10" "add(16,-1,11,1,16)" "INTERFACE" -attr xrf 44700 -attr oid 576 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:acc#10} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {r(2).lpi#1.dfm(0)} -pin "FRAME:for#1:acc#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(1)} -pin "FRAME:for#1:acc#10" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(2)} -pin "FRAME:for#1:acc#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(3)} -pin "FRAME:for#1:acc#10" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(4)} -pin "FRAME:for#1:acc#10" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(5)} -pin "FRAME:for#1:acc#10" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(6)} -pin "FRAME:for#1:acc#10" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(7)} -pin "FRAME:for#1:acc#10" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(8)} -pin "FRAME:for#1:acc#10" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(9)} -pin "FRAME:for#1:acc#10" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(10)} -pin "FRAME:for#1:acc#10" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(11)} -pin "FRAME:for#1:acc#10" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(12)} -pin "FRAME:for#1:acc#10" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(13)} -pin "FRAME:for#1:acc#10" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(14)} -pin "FRAME:for#1:acc#10" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(15)} -pin "FRAME:for#1:acc#10" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {FRAME:for#1:mul#6.itm(0)} -pin "FRAME:for#1:acc#10" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(1)} -pin "FRAME:for#1:acc#10" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(2)} -pin "FRAME:for#1:acc#10" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(3)} -pin "FRAME:for#1:acc#10" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(4)} -pin "FRAME:for#1:acc#10" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(5)} -pin "FRAME:for#1:acc#10" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(6)} -pin "FRAME:for#1:acc#10" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(7)} -pin "FRAME:for#1:acc#10" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(8)} -pin "FRAME:for#1:acc#10" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(9)} -pin "FRAME:for#1:acc#10" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {FRAME:for#1:mul#6.itm(10)} -pin "FRAME:for#1:acc#10" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:for#1:mul#6.itm}
+load net {r(2).sva#1(0)} -pin "FRAME:for#1:acc#10" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(1)} -pin "FRAME:for#1:acc#10" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(2)} -pin "FRAME:for#1:acc#10" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(3)} -pin "FRAME:for#1:acc#10" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(4)} -pin "FRAME:for#1:acc#10" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(5)} -pin "FRAME:for#1:acc#10" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(6)} -pin "FRAME:for#1:acc#10" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(7)} -pin "FRAME:for#1:acc#10" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(8)} -pin "FRAME:for#1:acc#10" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(9)} -pin "FRAME:for#1:acc#10" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(10)} -pin "FRAME:for#1:acc#10" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(11)} -pin "FRAME:for#1:acc#10" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(12)} -pin "FRAME:for#1:acc#10" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(13)} -pin "FRAME:for#1:acc#10" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(14)} -pin "FRAME:for#1:acc#10" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load net {r(2).sva#1(15)} -pin "FRAME:for#1:acc#10" {Z(15)} -attr vt dc -attr @path {/sobel/sobel:core/r(2).sva#1}
+load inst "regs.operator[]#27:mux" "mux(4,10)" "INTERFACE" -attr xrf 44701 -attr oid 577 -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux} -attr area 22.258830 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(10,2,4)"
+load net {DC} -pin "regs.operator[]#27:mux" {A0(0)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(1)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(2)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(3)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(4)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(5)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(6)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(7)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(8)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {DC} -pin "regs.operator[]#27:mux" {A0(9)} -attr @path {/sobel/sobel:core/C----------_10}
+load net {regs.regs(2).lpi#1.dfm(20)} -pin "regs.operator[]#27:mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(21)} -pin "regs.operator[]#27:mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(22)} -pin "regs.operator[]#27:mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(23)} -pin "regs.operator[]#27:mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(24)} -pin "regs.operator[]#27:mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(25)} -pin "regs.operator[]#27:mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(26)} -pin "regs.operator[]#27:mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(27)} -pin "regs.operator[]#27:mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(28)} -pin "regs.operator[]#27:mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(2).lpi#1.dfm(29)} -pin "regs.operator[]#27:mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(2).lpi#1.dfm)#5.itm}
+load net {regs.regs(1).sva(20)} -pin "regs.operator[]#27:mux" {A2(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(21)} -pin "regs.operator[]#27:mux" {A2(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(22)} -pin "regs.operator[]#27:mux" {A2(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(23)} -pin "regs.operator[]#27:mux" {A2(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(24)} -pin "regs.operator[]#27:mux" {A2(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(25)} -pin "regs.operator[]#27:mux" {A2(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(26)} -pin "regs.operator[]#27:mux" {A2(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(27)} -pin "regs.operator[]#27:mux" {A2(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(28)} -pin "regs.operator[]#27:mux" {A2(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(1).sva(29)} -pin "regs.operator[]#27:mux" {A2(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sva)#5.itm}
+load net {regs.regs(0).sva(20)} -pin "regs.operator[]#27:mux" {A3(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(21)} -pin "regs.operator[]#27:mux" {A3(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(22)} -pin "regs.operator[]#27:mux" {A3(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(23)} -pin "regs.operator[]#27:mux" {A3(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(24)} -pin "regs.operator[]#27:mux" {A3(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(25)} -pin "regs.operator[]#27:mux" {A3(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(26)} -pin "regs.operator[]#27:mux" {A3(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(27)} -pin "regs.operator[]#27:mux" {A3(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(28)} -pin "regs.operator[]#27:mux" {A3(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {regs.regs(0).sva(29)} -pin "regs.operator[]#27:mux" {A3(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva)#5.itm}
+load net {i#7.lpi#1(0)} -pin "regs.operator[]#27:mux" {S(0)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {i#7.lpi#1(1)} -pin "regs.operator[]#27:mux" {S(1)} -attr vt d -attr @path {/sobel/sobel:core/i#7.lpi#1}
+load net {regs.operator[]#27:mux.itm(0)} -pin "regs.operator[]#27:mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(1)} -pin "regs.operator[]#27:mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(2)} -pin "regs.operator[]#27:mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(3)} -pin "regs.operator[]#27:mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(4)} -pin "regs.operator[]#27:mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(5)} -pin "regs.operator[]#27:mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(6)} -pin "regs.operator[]#27:mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(7)} -pin "regs.operator[]#27:mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(8)} -pin "regs.operator[]#27:mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(9)} -pin "regs.operator[]#27:mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load inst "FRAME:for#1:mul" "mul(10,1,2,1,12)" "INTERFACE" -attr xrf 44702 -attr oid 578 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul} -attr area 330.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,1,10,1,12)"
+load net {regs.operator[]#27:mux.itm(0)} -pin "FRAME:for#1:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(1)} -pin "FRAME:for#1:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(2)} -pin "FRAME:for#1:mul" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(3)} -pin "FRAME:for#1:mul" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(4)} -pin "FRAME:for#1:mul" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(5)} -pin "FRAME:for#1:mul" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(6)} -pin "FRAME:for#1:mul" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(7)} -pin "FRAME:for#1:mul" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(8)} -pin "FRAME:for#1:mul" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {regs.operator[]#27:mux.itm(9)} -pin "FRAME:for#1:mul" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.operator[]#27:mux.itm}
+load net {FRAME:for#1:or.itm} -pin "FRAME:for#1:mul" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#158.itm}
+load net {PWR} -pin "FRAME:for#1:mul" {B(1)} -attr @path {/sobel/sobel:core/conc#158.itm}
+load net {FRAME:for#1:mul.itm(0)} -pin "FRAME:for#1:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(1)} -pin "FRAME:for#1:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(2)} -pin "FRAME:for#1:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(3)} -pin "FRAME:for#1:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(4)} -pin "FRAME:for#1:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(5)} -pin "FRAME:for#1:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(6)} -pin "FRAME:for#1:mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(7)} -pin "FRAME:for#1:mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(8)} -pin "FRAME:for#1:mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(9)} -pin "FRAME:for#1:mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(10)} -pin "FRAME:for#1:mul" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(11)} -pin "FRAME:for#1:mul" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load inst "FRAME:for#1:acc#1" "add(16,-1,12,1,16)" "INTERFACE" -attr xrf 44703 -attr oid 579 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:acc#1} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,12,1,16)"
+load net {r(0).lpi#1.dfm(0)} -pin "FRAME:for#1:acc#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(1)} -pin "FRAME:for#1:acc#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(2)} -pin "FRAME:for#1:acc#1" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(3)} -pin "FRAME:for#1:acc#1" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(4)} -pin "FRAME:for#1:acc#1" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(5)} -pin "FRAME:for#1:acc#1" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(6)} -pin "FRAME:for#1:acc#1" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(7)} -pin "FRAME:for#1:acc#1" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(8)} -pin "FRAME:for#1:acc#1" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(9)} -pin "FRAME:for#1:acc#1" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(10)} -pin "FRAME:for#1:acc#1" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(11)} -pin "FRAME:for#1:acc#1" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(12)} -pin "FRAME:for#1:acc#1" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(13)} -pin "FRAME:for#1:acc#1" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(14)} -pin "FRAME:for#1:acc#1" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(15)} -pin "FRAME:for#1:acc#1" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {FRAME:for#1:mul.itm(0)} -pin "FRAME:for#1:acc#1" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(1)} -pin "FRAME:for#1:acc#1" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(2)} -pin "FRAME:for#1:acc#1" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(3)} -pin "FRAME:for#1:acc#1" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(4)} -pin "FRAME:for#1:acc#1" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(5)} -pin "FRAME:for#1:acc#1" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(6)} -pin "FRAME:for#1:acc#1" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(7)} -pin "FRAME:for#1:acc#1" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(8)} -pin "FRAME:for#1:acc#1" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(9)} -pin "FRAME:for#1:acc#1" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(10)} -pin "FRAME:for#1:acc#1" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {FRAME:for#1:mul.itm(11)} -pin "FRAME:for#1:acc#1" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for#1:mul.itm}
+load net {r(0).sva#1(0)} -pin "FRAME:for#1:acc#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(1)} -pin "FRAME:for#1:acc#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(2)} -pin "FRAME:for#1:acc#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(3)} -pin "FRAME:for#1:acc#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(4)} -pin "FRAME:for#1:acc#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(5)} -pin "FRAME:for#1:acc#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(6)} -pin "FRAME:for#1:acc#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(7)} -pin "FRAME:for#1:acc#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(8)} -pin "FRAME:for#1:acc#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(9)} -pin "FRAME:for#1:acc#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(10)} -pin "FRAME:for#1:acc#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(11)} -pin "FRAME:for#1:acc#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(12)} -pin "FRAME:for#1:acc#1" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(13)} -pin "FRAME:for#1:acc#1" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(14)} -pin "FRAME:for#1:acc#1" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load net {r(0).sva#1(15)} -pin "FRAME:for#1:acc#1" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).sva#1}
+load inst "FRAME:for:and#5" "and(2,16)" "INTERFACE" -attr xrf 44704 -attr oid 580 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#5} -attr area 11.677318 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(16,2)"
+load net {b(2).lpi#1(0)} -pin "FRAME:for:and#5" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(1)} -pin "FRAME:for:and#5" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(2)} -pin "FRAME:for:and#5" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(3)} -pin "FRAME:for:and#5" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(4)} -pin "FRAME:for:and#5" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(5)} -pin "FRAME:for:and#5" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(6)} -pin "FRAME:for:and#5" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(7)} -pin "FRAME:for:and#5" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(8)} -pin "FRAME:for:and#5" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(9)} -pin "FRAME:for:and#5" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(10)} -pin "FRAME:for:and#5" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(11)} -pin "FRAME:for:and#5" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(12)} -pin "FRAME:for:and#5" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(13)} -pin "FRAME:for:and#5" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(14)} -pin "FRAME:for:and#5" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {b(2).lpi#1(15)} -pin "FRAME:for:and#5" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1}
+load net {not#24} -pin "FRAME:for:and#5" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {not#24} -pin "FRAME:for:and#5" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#37.itm}
+load net {b(2).lpi#1.dfm(0)} -pin "FRAME:for:and#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(1)} -pin "FRAME:for:and#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(2)} -pin "FRAME:for:and#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(3)} -pin "FRAME:for:and#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(4)} -pin "FRAME:for:and#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(5)} -pin "FRAME:for:and#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(6)} -pin "FRAME:for:and#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(7)} -pin "FRAME:for:and#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(8)} -pin "FRAME:for:and#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(9)} -pin "FRAME:for:and#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(10)} -pin "FRAME:for:and#5" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(11)} -pin "FRAME:for:and#5" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(12)} -pin "FRAME:for:and#5" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(13)} -pin "FRAME:for:and#5" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(14)} -pin "FRAME:for:and#5" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load net {b(2).lpi#1.dfm(15)} -pin "FRAME:for:and#5" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/b(2).lpi#1.dfm}
+load inst "FRAME:for#1:nor" "nor(2,1)" "INTERFACE" -attr xrf 44705 -attr oid 581 -attr @path {/sobel/sobel:core/FRAME:for#1:nor} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nor(1,2)"
+load net {i#7.lpi#1(1)} -pin "FRAME:for#1:nor" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#3.itm}
+load net {i#7.lpi#1(0)} -pin "FRAME:for#1:nor" {A1(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#4.itm}
+load net {FRAME:for#1:nor.cse} -pin "FRAME:for#1:nor" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nor.cse}
+load inst "FRAME:for:and#3" "and(2,16)" "INTERFACE" -attr xrf 44706 -attr oid 582 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#3} -attr area 11.677318 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(16,2)"
+load net {g(2).lpi#1(0)} -pin "FRAME:for:and#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(1)} -pin "FRAME:for:and#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(2)} -pin "FRAME:for:and#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(3)} -pin "FRAME:for:and#3" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(4)} -pin "FRAME:for:and#3" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(5)} -pin "FRAME:for:and#3" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(6)} -pin "FRAME:for:and#3" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(7)} -pin "FRAME:for:and#3" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(8)} -pin "FRAME:for:and#3" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(9)} -pin "FRAME:for:and#3" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(10)} -pin "FRAME:for:and#3" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(11)} -pin "FRAME:for:and#3" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(12)} -pin "FRAME:for:and#3" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(13)} -pin "FRAME:for:and#3" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(14)} -pin "FRAME:for:and#3" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {g(2).lpi#1(15)} -pin "FRAME:for:and#3" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1}
+load net {not#24} -pin "FRAME:for:and#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {not#24} -pin "FRAME:for:and#3" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#39.itm}
+load net {g(2).lpi#1.dfm(0)} -pin "FRAME:for:and#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(1)} -pin "FRAME:for:and#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(2)} -pin "FRAME:for:and#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(3)} -pin "FRAME:for:and#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(4)} -pin "FRAME:for:and#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(5)} -pin "FRAME:for:and#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(6)} -pin "FRAME:for:and#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(7)} -pin "FRAME:for:and#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(8)} -pin "FRAME:for:and#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(9)} -pin "FRAME:for:and#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(10)} -pin "FRAME:for:and#3" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(11)} -pin "FRAME:for:and#3" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(12)} -pin "FRAME:for:and#3" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(13)} -pin "FRAME:for:and#3" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(14)} -pin "FRAME:for:and#3" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load net {g(2).lpi#1.dfm(15)} -pin "FRAME:for:and#3" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/g(2).lpi#1.dfm}
+load inst "FRAME:for:and#1" "and(2,16)" "INTERFACE" -attr xrf 44707 -attr oid 583 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#1} -attr area 11.677318 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(16,2)"
+load net {r(2).lpi#1(0)} -pin "FRAME:for:and#1" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(1)} -pin "FRAME:for:and#1" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(2)} -pin "FRAME:for:and#1" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(3)} -pin "FRAME:for:and#1" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(4)} -pin "FRAME:for:and#1" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(5)} -pin "FRAME:for:and#1" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(6)} -pin "FRAME:for:and#1" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(7)} -pin "FRAME:for:and#1" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(8)} -pin "FRAME:for:and#1" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(9)} -pin "FRAME:for:and#1" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(10)} -pin "FRAME:for:and#1" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(11)} -pin "FRAME:for:and#1" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(12)} -pin "FRAME:for:and#1" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(13)} -pin "FRAME:for:and#1" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(14)} -pin "FRAME:for:and#1" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {r(2).lpi#1(15)} -pin "FRAME:for:and#1" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1}
+load net {not#24} -pin "FRAME:for:and#1" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {not#24} -pin "FRAME:for:and#1" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#41.itm}
+load net {r(2).lpi#1.dfm(0)} -pin "FRAME:for:and#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(1)} -pin "FRAME:for:and#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(2)} -pin "FRAME:for:and#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(3)} -pin "FRAME:for:and#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(4)} -pin "FRAME:for:and#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(5)} -pin "FRAME:for:and#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(6)} -pin "FRAME:for:and#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(7)} -pin "FRAME:for:and#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(8)} -pin "FRAME:for:and#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(9)} -pin "FRAME:for:and#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(10)} -pin "FRAME:for:and#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(11)} -pin "FRAME:for:and#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(12)} -pin "FRAME:for:and#1" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(13)} -pin "FRAME:for:and#1" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(14)} -pin "FRAME:for:and#1" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load net {r(2).lpi#1.dfm(15)} -pin "FRAME:for:and#1" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/r(2).lpi#1.dfm}
+load inst "FRAME:for:and#4" "and(2,16)" "INTERFACE" -attr xrf 44708 -attr oid 584 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#4} -attr area 11.677318 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(16,2)"
+load net {b(0).lpi#1(0)} -pin "FRAME:for:and#4" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(1)} -pin "FRAME:for:and#4" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(2)} -pin "FRAME:for:and#4" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(3)} -pin "FRAME:for:and#4" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(4)} -pin "FRAME:for:and#4" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(5)} -pin "FRAME:for:and#4" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(6)} -pin "FRAME:for:and#4" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(7)} -pin "FRAME:for:and#4" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(8)} -pin "FRAME:for:and#4" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(9)} -pin "FRAME:for:and#4" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(10)} -pin "FRAME:for:and#4" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(11)} -pin "FRAME:for:and#4" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(12)} -pin "FRAME:for:and#4" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(13)} -pin "FRAME:for:and#4" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(14)} -pin "FRAME:for:and#4" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {b(0).lpi#1(15)} -pin "FRAME:for:and#4" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1}
+load net {not#24} -pin "FRAME:for:and#4" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {not#24} -pin "FRAME:for:and#4" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#38.itm}
+load net {b(0).lpi#1.dfm(0)} -pin "FRAME:for:and#4" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(1)} -pin "FRAME:for:and#4" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(2)} -pin "FRAME:for:and#4" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(3)} -pin "FRAME:for:and#4" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(4)} -pin "FRAME:for:and#4" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(5)} -pin "FRAME:for:and#4" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(6)} -pin "FRAME:for:and#4" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(7)} -pin "FRAME:for:and#4" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(8)} -pin "FRAME:for:and#4" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(9)} -pin "FRAME:for:and#4" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(10)} -pin "FRAME:for:and#4" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(11)} -pin "FRAME:for:and#4" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(12)} -pin "FRAME:for:and#4" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(13)} -pin "FRAME:for:and#4" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(14)} -pin "FRAME:for:and#4" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load net {b(0).lpi#1.dfm(15)} -pin "FRAME:for:and#4" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/b(0).lpi#1.dfm}
+load inst "FRAME:for:and#2" "and(2,16)" "INTERFACE" -attr xrf 44709 -attr oid 585 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#2} -attr area 11.677318 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(16,2)"
+load net {g(0).lpi#1(0)} -pin "FRAME:for:and#2" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(1)} -pin "FRAME:for:and#2" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(2)} -pin "FRAME:for:and#2" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(3)} -pin "FRAME:for:and#2" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(4)} -pin "FRAME:for:and#2" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(5)} -pin "FRAME:for:and#2" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(6)} -pin "FRAME:for:and#2" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(7)} -pin "FRAME:for:and#2" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(8)} -pin "FRAME:for:and#2" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(9)} -pin "FRAME:for:and#2" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(10)} -pin "FRAME:for:and#2" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(11)} -pin "FRAME:for:and#2" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(12)} -pin "FRAME:for:and#2" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(13)} -pin "FRAME:for:and#2" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(14)} -pin "FRAME:for:and#2" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {g(0).lpi#1(15)} -pin "FRAME:for:and#2" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1}
+load net {not#24} -pin "FRAME:for:and#2" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {not#24} -pin "FRAME:for:and#2" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#40.itm}
+load net {g(0).lpi#1.dfm(0)} -pin "FRAME:for:and#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(1)} -pin "FRAME:for:and#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(2)} -pin "FRAME:for:and#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(3)} -pin "FRAME:for:and#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(4)} -pin "FRAME:for:and#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(5)} -pin "FRAME:for:and#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(6)} -pin "FRAME:for:and#2" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(7)} -pin "FRAME:for:and#2" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(8)} -pin "FRAME:for:and#2" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(9)} -pin "FRAME:for:and#2" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(10)} -pin "FRAME:for:and#2" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(11)} -pin "FRAME:for:and#2" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(12)} -pin "FRAME:for:and#2" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(13)} -pin "FRAME:for:and#2" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(14)} -pin "FRAME:for:and#2" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load net {g(0).lpi#1.dfm(15)} -pin "FRAME:for:and#2" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/g(0).lpi#1.dfm}
+load inst "FRAME:for:and" "and(2,16)" "INTERFACE" -attr xrf 44710 -attr oid 586 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and} -attr area 11.677318 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(16,2)"
+load net {r(0).lpi#1(0)} -pin "FRAME:for:and" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(1)} -pin "FRAME:for:and" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(2)} -pin "FRAME:for:and" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(3)} -pin "FRAME:for:and" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(4)} -pin "FRAME:for:and" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(5)} -pin "FRAME:for:and" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(6)} -pin "FRAME:for:and" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(7)} -pin "FRAME:for:and" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(8)} -pin "FRAME:for:and" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(9)} -pin "FRAME:for:and" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(10)} -pin "FRAME:for:and" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(11)} -pin "FRAME:for:and" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(12)} -pin "FRAME:for:and" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(13)} -pin "FRAME:for:and" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(14)} -pin "FRAME:for:and" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {r(0).lpi#1(15)} -pin "FRAME:for:and" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1}
+load net {not#24} -pin "FRAME:for:and" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {not#24} -pin "FRAME:for:and" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#33.itm}
+load net {r(0).lpi#1.dfm(0)} -pin "FRAME:for:and" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(1)} -pin "FRAME:for:and" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(2)} -pin "FRAME:for:and" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(3)} -pin "FRAME:for:and" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(4)} -pin "FRAME:for:and" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(5)} -pin "FRAME:for:and" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(6)} -pin "FRAME:for:and" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(7)} -pin "FRAME:for:and" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(8)} -pin "FRAME:for:and" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(9)} -pin "FRAME:for:and" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(10)} -pin "FRAME:for:and" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(11)} -pin "FRAME:for:and" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(12)} -pin "FRAME:for:and" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(13)} -pin "FRAME:for:and" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(14)} -pin "FRAME:for:and" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load net {r(0).lpi#1.dfm(15)} -pin "FRAME:for:and" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/r(0).lpi#1.dfm}
+load inst "FRAME:for:acc#16" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 44711 -attr oid 587 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#16} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,2)"
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:acc#16" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {PWR} -pin "FRAME:for:acc#16" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {i#6.sva#1(0)} -pin "FRAME:for:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.sva#1(1)} -pin "FRAME:for:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load inst "FRAME:for:and#6" "and(2,2)" "INTERFACE" -attr xrf 44712 -attr oid 588 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:and#6} -attr area 1.459665 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(2,2)"
+load net {i#6.lpi#1(0)} -pin "FRAME:for:and#6" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1}
+load net {i#6.lpi#1(1)} -pin "FRAME:for:and#6" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1}
+load net {not#24} -pin "FRAME:for:and#6" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#26.itm}
+load net {not#24} -pin "FRAME:for:and#6" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:exs#26.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:and#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:and#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load inst "FRAME:for:nor" "nor(2,1)" "INTERFACE" -attr xrf 44713 -attr oid 589 -attr @path {/sobel/sobel:core/FRAME:for:nor} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nor(1,2)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:nor" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#2.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:nor" {A1(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#3.itm}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:nor" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:nor.cse}
+load inst "FRAME:for:and#18" "and(2,1)" "INTERFACE" -attr xrf 44714 -attr oid 590 -attr @path {/sobel/sobel:core/FRAME:for:and#18} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {FRAME:for:acc#5.tmp(1)} -pin "FRAME:for:and#18" {A0(0)} -attr @path {/sobel/sobel:core/slc(FRAME:for:acc#5.tmp).itm}
+load net {FRAME:for:acc#5.tmp(0)} -pin "FRAME:for:and#18" {A1(0)} -attr @path {/sobel/sobel:core/slc(FRAME:for:acc#5.tmp)#1.itm}
+load net {FRAME:for:and#18.seb} -pin "FRAME:for:and#18" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#18.seb}
+load inst "FRAME:for:acc#5" "add(2,-1,1,1,2)" "INTERFACE" -attr xrf 44715 -attr oid 591 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#5} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,1,2)"
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:acc#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.lpi#1.dfm}
+load net {PWR} -pin "FRAME:for:acc#5" {B(0)} -attr @path {/sobel/sobel:core/Cn1_1}
+load net {FRAME:for:acc#5.tmp(0)} -pin "FRAME:for:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#5.tmp}
+load net {FRAME:for:acc#5.tmp(1)} -pin "FRAME:for:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc#5.tmp}
+load inst "nor#2" "nor(2,1)" "INTERFACE" -attr @path {/sobel/sobel:core/nor#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nor(1,2)"
+load net {exit:FRAME:for#1.lpi#1.dfm#4} -pin "nor#2" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4}
+load net {exit:FRAME#1.sva} -pin "nor#2" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {not#24} -pin "nor#2" {Z(0)} -attr @path {/sobel/sobel:core/not#24}
+load inst "FRAME:for#1:not#8" "not(1)" "INTERFACE" -attr xrf 44716 -attr oid 592 -attr @path {/sobel/sobel:core/FRAME:for#1:not#8} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#7.lpi#1(1)} -pin "FRAME:for#1:not#8" {A(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#7.itm}
+load net {FRAME:for#1:not#8.itm} -pin "FRAME:for#1:not#8" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#8.itm}
+load inst "FRAME:for#1:nand#1" "nand(2,1)" "INTERFACE" -attr xrf 44717 -attr oid 593 -attr @path {/sobel/sobel:core/FRAME:for#1:nand#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {FRAME:for#1:not#8.itm} -pin "FRAME:for#1:nand#1" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#8.itm}
+load net {i#7.lpi#1(0)} -pin "FRAME:for#1:nand#1" {A1(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#8.itm}
+load net {FRAME:for#1:nand#1.itm} -pin "FRAME:for#1:nand#1" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nand#1.itm}
+load inst "FRAME:for#1:or#1" "or(2,1)" "INTERFACE" -attr xrf 44718 -attr oid 594 -attr @path {/sobel/sobel:core/FRAME:for#1:or#1} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {FRAME:for#1:nand#1.itm} -pin "FRAME:for#1:or#1" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nand#1.itm}
+load net {FRAME:for#1:nor.cse} -pin "FRAME:for#1:or#1" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nor.cse}
+load net {FRAME:for#1:or#1.itm} -pin "FRAME:for#1:or#1" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:or#1.itm}
+load inst "FRAME:for#1:not#5" "not(1)" "INTERFACE" -attr xrf 44719 -attr oid 595 -attr @path {/sobel/sobel:core/FRAME:for#1:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#7.lpi#1(1)} -pin "FRAME:for#1:not#5" {A(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#5.itm}
+load net {FRAME:for#1:not#5.itm} -pin "FRAME:for#1:not#5" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#5.itm}
+load inst "FRAME:for#1:nand" "nand(2,1)" "INTERFACE" -attr xrf 44720 -attr oid 596 -attr @path {/sobel/sobel:core/FRAME:for#1:nand} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {i#7.lpi#1(0)} -pin "FRAME:for#1:nand" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#9.itm}
+load net {FRAME:for#1:not#5.itm} -pin "FRAME:for#1:nand" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#5.itm}
+load net {FRAME:for#1:nand.itm} -pin "FRAME:for#1:nand" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nand.itm}
+load inst "FRAME:for#1:not#2" "not(1)" "INTERFACE" -attr xrf 44721 -attr oid 597 -attr @path {/sobel/sobel:core/FRAME:for#1:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#7.lpi#1(0)} -pin "FRAME:for#1:not#2" {A(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#10.itm}
+load net {FRAME:for#1:not#2.itm} -pin "FRAME:for#1:not#2" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#2.itm}
+load inst "FRAME:for#1:and" "and(2,1)" "INTERFACE" -attr xrf 44722 -attr oid 598 -attr @path {/sobel/sobel:core/FRAME:for#1:and} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_and(1,2)"
+load net {i#7.lpi#1(1)} -pin "FRAME:for#1:and" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#7.lpi#1)#6.itm}
+load net {FRAME:for#1:not#2.itm} -pin "FRAME:for#1:and" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:not#2.itm}
+load net {FRAME:for#1:and.itm} -pin "FRAME:for#1:and" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:and.itm}
+load inst "FRAME:for#1:or" "or(3,1)" "INTERFACE" -attr xrf 44723 -attr oid 599 -attr @path {/sobel/sobel:core/FRAME:for#1:or} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,3)"
+load net {FRAME:for#1:nand.itm} -pin "FRAME:for#1:or" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nand.itm}
+load net {FRAME:for#1:nor.cse} -pin "FRAME:for#1:or" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:nor.cse}
+load net {FRAME:for#1:and.itm} -pin "FRAME:for#1:or" {A2(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:and.itm}
+load net {FRAME:for#1:or.itm} -pin "FRAME:for#1:or" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:or.itm}
+load inst "FRAME:for:not#6" "not(1)" "INTERFACE" -attr xrf 44724 -attr oid 600 -attr @path {/sobel/sobel:core/FRAME:for:not#6} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:not#6" {A(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#5.itm}
+load net {FRAME:for:not#6.itm} -pin "FRAME:for:not#6" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#6.itm}
+load inst "FRAME:for:nand#3" "nand(2,1)" "INTERFACE" -attr xrf 44725 -attr oid 601 -attr @path {/sobel/sobel:core/FRAME:for:nand#3} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {FRAME:for:not#6.itm} -pin "FRAME:for:nand#3" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#6.itm}
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:nand#3" {A1(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#6.itm}
+load net {FRAME:for:nand#3.itm} -pin "FRAME:for:nand#3" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand#3.itm}
+load inst "FRAME:for:or#4" "or(2,1)" "INTERFACE" -attr xrf 44726 -attr oid 602 -attr @path {/sobel/sobel:core/FRAME:for:or#4} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {FRAME:for:nand#3.itm} -pin "FRAME:for:or#4" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand#3.itm}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:or#4" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:nor.cse}
+load net {FRAME:for:or#4.itm} -pin "FRAME:for:or#4" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:or#4.itm}
+load inst "FRAME:for:not#4" "not(1)" "INTERFACE" -attr xrf 44727 -attr oid 603 -attr @path {/sobel/sobel:core/FRAME:for:not#4} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {i#6.lpi#1.dfm(1)} -pin "FRAME:for:not#4" {A(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#4.itm}
+load net {FRAME:for:not#4.itm} -pin "FRAME:for:not#4" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#4.itm}
+load inst "FRAME:for:nand#2" "nand(2,1)" "INTERFACE" -attr xrf 44728 -attr oid 604 -attr @path {/sobel/sobel:core/FRAME:for:nand#2} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nand(1,2)"
+load net {i#6.lpi#1.dfm(0)} -pin "FRAME:for:nand#2" {A0(0)} -attr @path {/sobel/sobel:core/slc(i#6.lpi#1.dfm)#7.itm}
+load net {FRAME:for:not#4.itm} -pin "FRAME:for:nand#2" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:not#4.itm}
+load net {FRAME:for:nand#2.itm} -pin "FRAME:for:nand#2" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand#2.itm}
+load inst "FRAME:for:or" "or(2,1)" "INTERFACE" -attr xrf 44729 -attr oid 605 -attr @path {/sobel/sobel:core/FRAME:for:or} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {FRAME:for:nand#2.itm} -pin "FRAME:for:or" {A0(0)} -attr @path {/sobel/sobel:core/FRAME:for:nand#2.itm}
+load net {FRAME:for:nor.cse} -pin "FRAME:for:or" {A1(0)} -attr @path {/sobel/sobel:core/FRAME:for:nor.cse}
+load net {FRAME:for:or.itm} -pin "FRAME:for:or" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:or.itm}
+load inst "FRAME:for:or#5" "or(3,1)" "INTERFACE" -attr xrf 44730 -attr oid 606 -attr @path {/sobel/sobel:core/FRAME:for:or#5} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,3)"
+load net {FRAME:for:acc#5.tmp(1)} -pin "FRAME:for:or#5" {A0(0)} -attr @path {/sobel/sobel:core/slc(FRAME:for:acc#5.tmp)#2.itm}
+load net {FRAME:for:acc#5.tmp(0)} -pin "FRAME:for:or#5" {A1(0)} -attr @path {/sobel/sobel:core/slc(FRAME:for:acc#5.tmp)#3.itm}
+load net {FRAME:for:and#18.seb} -pin "FRAME:for:or#5" {A2(0)} -attr @path {/sobel/sobel:core/FRAME:for:and#18.seb}
+load net {FRAME:for:or#5.itm} -pin "FRAME:for:or#5" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:for:or#5.itm}
+load inst "nor" "nor(2,1)" "INTERFACE" -attr @path {/sobel/sobel:core/nor} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_nor(1,2)"
+load net {exit:FRAME#1.sva} -pin "nor" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {exit:FRAME:for#1.lpi#1.dfm#4} -pin "nor" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4}
+load net {and.dcpl#1} -pin "nor" {Z(0)} -attr @path {/sobel/sobel:core/and.dcpl#1}
+load inst "or#3" "or(2,1)" "INTERFACE" -attr @path {/sobel/sobel:core/or#3} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {exit:FRAME#1.sva} -pin "or#3" {A0(0)} -attr @path {/sobel/sobel:core/exit:FRAME#1.sva}
+load net {exit:FRAME:for#1.lpi#1.dfm#4} -pin "or#3" {A1(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for#1.lpi#1.dfm#4}
+load net {or.dcpl#2} -pin "or#3" {Z(0)} -attr @path {/sobel/sobel:core/or.dcpl#2}
+load inst "not#35" "not(1)" "INTERFACE" -attr @path {/sobel/sobel:core/not#35} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {exit:FRAME:for.lpi#1} -pin "not#35" {A(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1}
+load net {not#35.itm} -pin "not#35" {Z(0)} -attr @path {/sobel/sobel:core/not#35.itm}
+load inst "or#4" "or(2,1)" "INTERFACE" -attr @path {/sobel/sobel:core/or#4} -attr area 0.730832 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,2)"
+load net {or.dcpl#2} -pin "or#4" {A0(0)} -attr @path {/sobel/sobel:core/or.dcpl#2}
+load net {not#35.itm} -pin "or#4" {A1(0)} -attr @path {/sobel/sobel:core/not#35.itm}
+load net {or#4.cse} -pin "or#4" {Z(0)} -attr @path {/sobel/sobel:core/or#4.cse}
+load inst "not#31" "not(1)" "INTERFACE" -attr @path {/sobel/sobel:core/not#31} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
+load net {exit:FRAME:for.lpi#1} -pin "not#31" {A(0)} -attr @path {/sobel/sobel:core/exit:FRAME:for.lpi#1}
+load net {not#31.itm} -pin "not#31" {Z(0)} -attr @path {/sobel/sobel:core/not#31.itm}
+load inst "or#9" "or(3,1)" "INTERFACE" -attr @path {/sobel/sobel:core/or#9} -attr area 1.055476 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(1,3)"
+load net {or.dcpl#2} -pin "or#9" {A0(0)} -attr @path {/sobel/sobel:core/or.dcpl#2}
+load net {not#31.itm} -pin "or#9" {A1(0)} -attr @path {/sobel/sobel:core/not#31.itm}
+load net {FRAME:for#1:acc.itm(1)} -pin "or#9" {A2(0)} -attr @path {/sobel/sobel:core/FRAME:for#1:slc#3.itm}
+load net {or#9.cse} -pin "or#9" {Z(0)} -attr @path {/sobel/sobel:core/or#9.cse}
+load inst "FRAME:for:acc" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 44731 -attr oid 607 -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,2)"
+load net {i#6.sva#1(0)} -pin "FRAME:for:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {i#6.sva#1(1)} -pin "FRAME:for:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/i#6.sva#1}
+load net {PWR} -pin "FRAME:for:acc" {B(0)} -attr @path {/sobel/sobel:core/C1_1#1}
+load net {FRAME:for:acc.itm(0)} -pin "FRAME:for:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc.itm}
+load net {FRAME:for:acc.itm(1)} -pin "FRAME:for:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:for:acc.itm}
+### END MODULE
+
+module new "sobel" "orig"
+load portBus {vin:rsc.z(89:0)} input 90 {vin:rsc.z(89)} {vin:rsc.z(88)} {vin:rsc.z(87)} {vin:rsc.z(86)} {vin:rsc.z(85)} {vin:rsc.z(84)} {vin:rsc.z(83)} {vin:rsc.z(82)} {vin:rsc.z(81)} {vin:rsc.z(80)} {vin:rsc.z(79)} {vin:rsc.z(78)} {vin:rsc.z(77)} {vin:rsc.z(76)} {vin:rsc.z(75)} {vin:rsc.z(74)} {vin:rsc.z(73)} {vin:rsc.z(72)} {vin:rsc.z(71)} {vin:rsc.z(70)} {vin:rsc.z(69)} {vin:rsc.z(68)} {vin:rsc.z(67)} {vin:rsc.z(66)} {vin:rsc.z(65)} {vin:rsc.z(64)} {vin:rsc.z(63)} {vin:rsc.z(62)} {vin:rsc.z(61)} {vin:rsc.z(60)} {vin:rsc.z(59)} {vin:rsc.z(58)} {vin:rsc.z(57)} {vin:rsc.z(56)} {vin:rsc.z(55)} {vin:rsc.z(54)} {vin:rsc.z(53)} {vin:rsc.z(52)} {vin:rsc.z(51)} {vin:rsc.z(50)} {vin:rsc.z(49)} {vin:rsc.z(48)} {vin:rsc.z(47)} {vin:rsc.z(46)} {vin:rsc.z(45)} {vin:rsc.z(44)} {vin:rsc.z(43)} {vin:rsc.z(42)} {vin:rsc.z(41)} {vin:rsc.z(40)} {vin:rsc.z(39)} {vin:rsc.z(38)} {vin:rsc.z(37)} {vin:rsc.z(36)} {vin:rsc.z(35)} {vin:rsc.z(34)} {vin:rsc.z(33)} {vin:rsc.z(32)} {vin:rsc.z(31)} {vin:rsc.z(30)} {vin:rsc.z(29)} {vin:rsc.z(28)} {vin:rsc.z(27)} {vin:rsc.z(26)} {vin:rsc.z(25)} {vin:rsc.z(24)} {vin:rsc.z(23)} {vin:rsc.z(22)} {vin:rsc.z(21)} {vin:rsc.z(20)} {vin:rsc.z(19)} {vin:rsc.z(18)} {vin:rsc.z(17)} {vin:rsc.z(16)} {vin:rsc.z(15)} {vin:rsc.z(14)} {vin:rsc.z(13)} {vin:rsc.z(12)} {vin:rsc.z(11)} {vin:rsc.z(10)} {vin:rsc.z(9)} {vin:rsc.z(8)} {vin:rsc.z(7)} {vin:rsc.z(6)} {vin:rsc.z(5)} {vin:rsc.z(4)} {vin:rsc.z(3)} {vin:rsc.z(2)} {vin:rsc.z(1)} {vin:rsc.z(0)} -attr xrf 44732 -attr oid 608 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load portBus {vout:rsc.z(29:0)} output 30 {vout:rsc.z(29)} {vout:rsc.z(28)} {vout:rsc.z(27)} {vout:rsc.z(26)} {vout:rsc.z(25)} {vout:rsc.z(24)} {vout:rsc.z(23)} {vout:rsc.z(22)} {vout:rsc.z(21)} {vout:rsc.z(20)} {vout:rsc.z(19)} {vout:rsc.z(18)} {vout:rsc.z(17)} {vout:rsc.z(16)} {vout:rsc.z(15)} {vout:rsc.z(14)} {vout:rsc.z(13)} {vout:rsc.z(12)} {vout:rsc.z(11)} {vout:rsc.z(10)} {vout:rsc.z(9)} {vout:rsc.z(8)} {vout:rsc.z(7)} {vout:rsc.z(6)} {vout:rsc.z(5)} {vout:rsc.z(4)} {vout:rsc.z(3)} {vout:rsc.z(2)} {vout:rsc.z(1)} {vout:rsc.z(0)} -attr xrf 44733 -attr oid 609 -attr vt d -attr @path {/sobel/vout:rsc.z}
+load port {clk} input -attr xrf 44734 -attr oid 610 -attr vt d -attr @path {/sobel/clk}
+load port {en} input -attr xrf 44735 -attr oid 611 -attr vt d -attr @path {/sobel/en}
+load port {arst_n} input -attr xrf 44736 -attr oid 612 -attr vt d -attr @path {/sobel/arst_n}
+load symbol "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" GEN boxcolor 0 \
+ portBus {d(89:0)} output 90 {d(89)} {d(88)} {d(87)} {d(86)} {d(85)} {d(84)} {d(83)} {d(82)} {d(81)} {d(80)} {d(79)} {d(78)} {d(77)} {d(76)} {d(75)} {d(74)} {d(73)} {d(72)} {d(71)} {d(70)} {d(69)} {d(68)} {d(67)} {d(66)} {d(65)} {d(64)} {d(63)} {d(62)} {d(61)} {d(60)} {d(59)} {d(58)} {d(57)} {d(56)} {d(55)} {d(54)} {d(53)} {d(52)} {d(51)} {d(50)} {d(49)} {d(48)} {d(47)} {d(46)} {d(45)} {d(44)} {d(43)} {d(42)} {d(41)} {d(40)} {d(39)} {d(38)} {d(37)} {d(36)} {d(35)} {d(34)} {d(33)} {d(32)} {d(31)} {d(30)} {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
+ portBus {z(89:0)} input 90 {z(89)} {z(88)} {z(87)} {z(86)} {z(85)} {z(84)} {z(83)} {z(82)} {z(81)} {z(80)} {z(79)} {z(78)} {z(77)} {z(76)} {z(75)} {z(74)} {z(73)} {z(72)} {z(71)} {z(70)} {z(69)} {z(68)} {z(67)} {z(66)} {z(65)} {z(64)} {z(63)} {z(62)} {z(61)} {z(60)} {z(59)} {z(58)} {z(57)} {z(56)} {z(55)} {z(54)} {z(53)} {z(52)} {z(51)} {z(50)} {z(49)} {z(48)} {z(47)} {z(46)} {z(45)} {z(44)} {z(43)} {z(42)} {z(41)} {z(40)} {z(39)} {z(38)} {z(37)} {z(36)} {z(35)} {z(34)} {z(33)} {z(32)} {z(31)} {z(30)} {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
+
+load symbol "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" GEN boxcolor 0 \
+ portBus {d(29:0)} input 30 {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
+ portBus {z(29:0)} output 30 {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
+
+load symbol "sobel:core" "orig" GEN \
+ port {clk#1} input \
+ port {en#1} input \
+ port {arst_n#1} input \
+ portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} \
+ portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} \
+
+load net {vin:rsc:mgc_in_wire.d#1(0)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(1)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(2)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(3)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(4)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(5)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(6)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(7)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(8)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(9)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(10)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(11)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(12)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(13)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(14)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(15)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(16)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(17)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(18)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(19)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(20)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(21)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(22)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(23)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(24)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(25)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(26)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(27)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(28)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(29)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(30)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(31)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(32)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(33)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(34)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(35)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(36)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(37)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(38)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(39)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(40)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(41)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(42)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(43)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(44)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(45)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(46)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(47)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(48)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(49)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(50)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(51)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(52)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(53)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(54)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(55)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(56)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(57)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(58)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(59)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(60)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(61)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(62)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(63)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(64)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(65)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(66)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(67)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(68)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(69)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(70)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(71)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(72)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(73)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(74)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(75)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(76)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(77)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(78)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(79)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(80)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(81)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(82)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(83)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(84)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(85)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(86)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(87)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(88)} -attr vt d
+load net {vin:rsc:mgc_in_wire.d#1(89)} -attr vt d
+load netBundle {vin:rsc:mgc_in_wire.d#1} 90 {vin:rsc:mgc_in_wire.d#1(0)} {vin:rsc:mgc_in_wire.d#1(1)} {vin:rsc:mgc_in_wire.d#1(2)} {vin:rsc:mgc_in_wire.d#1(3)} {vin:rsc:mgc_in_wire.d#1(4)} {vin:rsc:mgc_in_wire.d#1(5)} {vin:rsc:mgc_in_wire.d#1(6)} {vin:rsc:mgc_in_wire.d#1(7)} {vin:rsc:mgc_in_wire.d#1(8)} {vin:rsc:mgc_in_wire.d#1(9)} {vin:rsc:mgc_in_wire.d#1(10)} {vin:rsc:mgc_in_wire.d#1(11)} {vin:rsc:mgc_in_wire.d#1(12)} {vin:rsc:mgc_in_wire.d#1(13)} {vin:rsc:mgc_in_wire.d#1(14)} {vin:rsc:mgc_in_wire.d#1(15)} {vin:rsc:mgc_in_wire.d#1(16)} {vin:rsc:mgc_in_wire.d#1(17)} {vin:rsc:mgc_in_wire.d#1(18)} {vin:rsc:mgc_in_wire.d#1(19)} {vin:rsc:mgc_in_wire.d#1(20)} {vin:rsc:mgc_in_wire.d#1(21)} {vin:rsc:mgc_in_wire.d#1(22)} {vin:rsc:mgc_in_wire.d#1(23)} {vin:rsc:mgc_in_wire.d#1(24)} {vin:rsc:mgc_in_wire.d#1(25)} {vin:rsc:mgc_in_wire.d#1(26)} {vin:rsc:mgc_in_wire.d#1(27)} {vin:rsc:mgc_in_wire.d#1(28)} {vin:rsc:mgc_in_wire.d#1(29)} {vin:rsc:mgc_in_wire.d#1(30)} {vin:rsc:mgc_in_wire.d#1(31)} {vin:rsc:mgc_in_wire.d#1(32)} {vin:rsc:mgc_in_wire.d#1(33)} {vin:rsc:mgc_in_wire.d#1(34)} {vin:rsc:mgc_in_wire.d#1(35)} {vin:rsc:mgc_in_wire.d#1(36)} {vin:rsc:mgc_in_wire.d#1(37)} {vin:rsc:mgc_in_wire.d#1(38)} {vin:rsc:mgc_in_wire.d#1(39)} {vin:rsc:mgc_in_wire.d#1(40)} {vin:rsc:mgc_in_wire.d#1(41)} {vin:rsc:mgc_in_wire.d#1(42)} {vin:rsc:mgc_in_wire.d#1(43)} {vin:rsc:mgc_in_wire.d#1(44)} {vin:rsc:mgc_in_wire.d#1(45)} {vin:rsc:mgc_in_wire.d#1(46)} {vin:rsc:mgc_in_wire.d#1(47)} {vin:rsc:mgc_in_wire.d#1(48)} {vin:rsc:mgc_in_wire.d#1(49)} {vin:rsc:mgc_in_wire.d#1(50)} {vin:rsc:mgc_in_wire.d#1(51)} {vin:rsc:mgc_in_wire.d#1(52)} {vin:rsc:mgc_in_wire.d#1(53)} {vin:rsc:mgc_in_wire.d#1(54)} {vin:rsc:mgc_in_wire.d#1(55)} {vin:rsc:mgc_in_wire.d#1(56)} {vin:rsc:mgc_in_wire.d#1(57)} {vin:rsc:mgc_in_wire.d#1(58)} {vin:rsc:mgc_in_wire.d#1(59)} {vin:rsc:mgc_in_wire.d#1(60)} {vin:rsc:mgc_in_wire.d#1(61)} {vin:rsc:mgc_in_wire.d#1(62)} {vin:rsc:mgc_in_wire.d#1(63)} {vin:rsc:mgc_in_wire.d#1(64)} {vin:rsc:mgc_in_wire.d#1(65)} {vin:rsc:mgc_in_wire.d#1(66)} {vin:rsc:mgc_in_wire.d#1(67)} {vin:rsc:mgc_in_wire.d#1(68)} {vin:rsc:mgc_in_wire.d#1(69)} {vin:rsc:mgc_in_wire.d#1(70)} {vin:rsc:mgc_in_wire.d#1(71)} {vin:rsc:mgc_in_wire.d#1(72)} {vin:rsc:mgc_in_wire.d#1(73)} {vin:rsc:mgc_in_wire.d#1(74)} {vin:rsc:mgc_in_wire.d#1(75)} {vin:rsc:mgc_in_wire.d#1(76)} {vin:rsc:mgc_in_wire.d#1(77)} {vin:rsc:mgc_in_wire.d#1(78)} {vin:rsc:mgc_in_wire.d#1(79)} {vin:rsc:mgc_in_wire.d#1(80)} {vin:rsc:mgc_in_wire.d#1(81)} {vin:rsc:mgc_in_wire.d#1(82)} {vin:rsc:mgc_in_wire.d#1(83)} {vin:rsc:mgc_in_wire.d#1(84)} {vin:rsc:mgc_in_wire.d#1(85)} {vin:rsc:mgc_in_wire.d#1(86)} {vin:rsc:mgc_in_wire.d#1(87)} {vin:rsc:mgc_in_wire.d#1(88)} {vin:rsc:mgc_in_wire.d#1(89)} -attr xrf 44737 -attr oid 613 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -attr vt d
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -attr vt d
+load netBundle {vout:rsc:mgc_out_stdreg.d#1} 30 {vout:rsc:mgc_out_stdreg.d#1(0)} {vout:rsc:mgc_out_stdreg.d#1(1)} {vout:rsc:mgc_out_stdreg.d#1(2)} {vout:rsc:mgc_out_stdreg.d#1(3)} {vout:rsc:mgc_out_stdreg.d#1(4)} {vout:rsc:mgc_out_stdreg.d#1(5)} {vout:rsc:mgc_out_stdreg.d#1(6)} {vout:rsc:mgc_out_stdreg.d#1(7)} {vout:rsc:mgc_out_stdreg.d#1(8)} {vout:rsc:mgc_out_stdreg.d#1(9)} {vout:rsc:mgc_out_stdreg.d#1(10)} {vout:rsc:mgc_out_stdreg.d#1(11)} {vout:rsc:mgc_out_stdreg.d#1(12)} {vout:rsc:mgc_out_stdreg.d#1(13)} {vout:rsc:mgc_out_stdreg.d#1(14)} {vout:rsc:mgc_out_stdreg.d#1(15)} {vout:rsc:mgc_out_stdreg.d#1(16)} {vout:rsc:mgc_out_stdreg.d#1(17)} {vout:rsc:mgc_out_stdreg.d#1(18)} {vout:rsc:mgc_out_stdreg.d#1(19)} {vout:rsc:mgc_out_stdreg.d#1(20)} {vout:rsc:mgc_out_stdreg.d#1(21)} {vout:rsc:mgc_out_stdreg.d#1(22)} {vout:rsc:mgc_out_stdreg.d#1(23)} {vout:rsc:mgc_out_stdreg.d#1(24)} {vout:rsc:mgc_out_stdreg.d#1(25)} {vout:rsc:mgc_out_stdreg.d#1(26)} {vout:rsc:mgc_out_stdreg.d#1(27)} {vout:rsc:mgc_out_stdreg.d#1(28)} {vout:rsc:mgc_out_stdreg.d#1(29)} -attr xrf 44738 -attr oid 614 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vin:rsc.z(0)} -attr vt d
+load net {vin:rsc.z(1)} -attr vt d
+load net {vin:rsc.z(2)} -attr vt d
+load net {vin:rsc.z(3)} -attr vt d
+load net {vin:rsc.z(4)} -attr vt d
+load net {vin:rsc.z(5)} -attr vt d
+load net {vin:rsc.z(6)} -attr vt d
+load net {vin:rsc.z(7)} -attr vt d
+load net {vin:rsc.z(8)} -attr vt d
+load net {vin:rsc.z(9)} -attr vt d
+load net {vin:rsc.z(10)} -attr vt d
+load net {vin:rsc.z(11)} -attr vt d
+load net {vin:rsc.z(12)} -attr vt d
+load net {vin:rsc.z(13)} -attr vt d
+load net {vin:rsc.z(14)} -attr vt d
+load net {vin:rsc.z(15)} -attr vt d
+load net {vin:rsc.z(16)} -attr vt d
+load net {vin:rsc.z(17)} -attr vt d
+load net {vin:rsc.z(18)} -attr vt d
+load net {vin:rsc.z(19)} -attr vt d
+load net {vin:rsc.z(20)} -attr vt d
+load net {vin:rsc.z(21)} -attr vt d
+load net {vin:rsc.z(22)} -attr vt d
+load net {vin:rsc.z(23)} -attr vt d
+load net {vin:rsc.z(24)} -attr vt d
+load net {vin:rsc.z(25)} -attr vt d
+load net {vin:rsc.z(26)} -attr vt d
+load net {vin:rsc.z(27)} -attr vt d
+load net {vin:rsc.z(28)} -attr vt d
+load net {vin:rsc.z(29)} -attr vt d
+load net {vin:rsc.z(30)} -attr vt d
+load net {vin:rsc.z(31)} -attr vt d
+load net {vin:rsc.z(32)} -attr vt d
+load net {vin:rsc.z(33)} -attr vt d
+load net {vin:rsc.z(34)} -attr vt d
+load net {vin:rsc.z(35)} -attr vt d
+load net {vin:rsc.z(36)} -attr vt d
+load net {vin:rsc.z(37)} -attr vt d
+load net {vin:rsc.z(38)} -attr vt d
+load net {vin:rsc.z(39)} -attr vt d
+load net {vin:rsc.z(40)} -attr vt d
+load net {vin:rsc.z(41)} -attr vt d
+load net {vin:rsc.z(42)} -attr vt d
+load net {vin:rsc.z(43)} -attr vt d
+load net {vin:rsc.z(44)} -attr vt d
+load net {vin:rsc.z(45)} -attr vt d
+load net {vin:rsc.z(46)} -attr vt d
+load net {vin:rsc.z(47)} -attr vt d
+load net {vin:rsc.z(48)} -attr vt d
+load net {vin:rsc.z(49)} -attr vt d
+load net {vin:rsc.z(50)} -attr vt d
+load net {vin:rsc.z(51)} -attr vt d
+load net {vin:rsc.z(52)} -attr vt d
+load net {vin:rsc.z(53)} -attr vt d
+load net {vin:rsc.z(54)} -attr vt d
+load net {vin:rsc.z(55)} -attr vt d
+load net {vin:rsc.z(56)} -attr vt d
+load net {vin:rsc.z(57)} -attr vt d
+load net {vin:rsc.z(58)} -attr vt d
+load net {vin:rsc.z(59)} -attr vt d
+load net {vin:rsc.z(60)} -attr vt d
+load net {vin:rsc.z(61)} -attr vt d
+load net {vin:rsc.z(62)} -attr vt d
+load net {vin:rsc.z(63)} -attr vt d
+load net {vin:rsc.z(64)} -attr vt d
+load net {vin:rsc.z(65)} -attr vt d
+load net {vin:rsc.z(66)} -attr vt d
+load net {vin:rsc.z(67)} -attr vt d
+load net {vin:rsc.z(68)} -attr vt d
+load net {vin:rsc.z(69)} -attr vt d
+load net {vin:rsc.z(70)} -attr vt d
+load net {vin:rsc.z(71)} -attr vt d
+load net {vin:rsc.z(72)} -attr vt d
+load net {vin:rsc.z(73)} -attr vt d
+load net {vin:rsc.z(74)} -attr vt d
+load net {vin:rsc.z(75)} -attr vt d
+load net {vin:rsc.z(76)} -attr vt d
+load net {vin:rsc.z(77)} -attr vt d
+load net {vin:rsc.z(78)} -attr vt d
+load net {vin:rsc.z(79)} -attr vt d
+load net {vin:rsc.z(80)} -attr vt d
+load net {vin:rsc.z(81)} -attr vt d
+load net {vin:rsc.z(82)} -attr vt d
+load net {vin:rsc.z(83)} -attr vt d
+load net {vin:rsc.z(84)} -attr vt d
+load net {vin:rsc.z(85)} -attr vt d
+load net {vin:rsc.z(86)} -attr vt d
+load net {vin:rsc.z(87)} -attr vt d
+load net {vin:rsc.z(88)} -attr vt d
+load net {vin:rsc.z(89)} -attr vt d
+load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 44739 -attr oid 615 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(0)} -port {vin:rsc.z(0)} -attr vt d
+load net {vin:rsc.z(1)} -port {vin:rsc.z(1)} -attr vt d
+load net {vin:rsc.z(2)} -port {vin:rsc.z(2)} -attr vt d
+load net {vin:rsc.z(3)} -port {vin:rsc.z(3)} -attr vt d
+load net {vin:rsc.z(4)} -port {vin:rsc.z(4)} -attr vt d
+load net {vin:rsc.z(5)} -port {vin:rsc.z(5)} -attr vt d
+load net {vin:rsc.z(6)} -port {vin:rsc.z(6)} -attr vt d
+load net {vin:rsc.z(7)} -port {vin:rsc.z(7)} -attr vt d
+load net {vin:rsc.z(8)} -port {vin:rsc.z(8)} -attr vt d
+load net {vin:rsc.z(9)} -port {vin:rsc.z(9)} -attr vt d
+load net {vin:rsc.z(10)} -port {vin:rsc.z(10)} -attr vt d
+load net {vin:rsc.z(11)} -port {vin:rsc.z(11)} -attr vt d
+load net {vin:rsc.z(12)} -port {vin:rsc.z(12)} -attr vt d
+load net {vin:rsc.z(13)} -port {vin:rsc.z(13)} -attr vt d
+load net {vin:rsc.z(14)} -port {vin:rsc.z(14)} -attr vt d
+load net {vin:rsc.z(15)} -port {vin:rsc.z(15)} -attr vt d
+load net {vin:rsc.z(16)} -port {vin:rsc.z(16)} -attr vt d
+load net {vin:rsc.z(17)} -port {vin:rsc.z(17)} -attr vt d
+load net {vin:rsc.z(18)} -port {vin:rsc.z(18)} -attr vt d
+load net {vin:rsc.z(19)} -port {vin:rsc.z(19)} -attr vt d
+load net {vin:rsc.z(20)} -port {vin:rsc.z(20)} -attr vt d
+load net {vin:rsc.z(21)} -port {vin:rsc.z(21)} -attr vt d
+load net {vin:rsc.z(22)} -port {vin:rsc.z(22)} -attr vt d
+load net {vin:rsc.z(23)} -port {vin:rsc.z(23)} -attr vt d
+load net {vin:rsc.z(24)} -port {vin:rsc.z(24)} -attr vt d
+load net {vin:rsc.z(25)} -port {vin:rsc.z(25)} -attr vt d
+load net {vin:rsc.z(26)} -port {vin:rsc.z(26)} -attr vt d
+load net {vin:rsc.z(27)} -port {vin:rsc.z(27)} -attr vt d
+load net {vin:rsc.z(28)} -port {vin:rsc.z(28)} -attr vt d
+load net {vin:rsc.z(29)} -port {vin:rsc.z(29)} -attr vt d
+load net {vin:rsc.z(30)} -port {vin:rsc.z(30)} -attr vt d
+load net {vin:rsc.z(31)} -port {vin:rsc.z(31)} -attr vt d
+load net {vin:rsc.z(32)} -port {vin:rsc.z(32)} -attr vt d
+load net {vin:rsc.z(33)} -port {vin:rsc.z(33)} -attr vt d
+load net {vin:rsc.z(34)} -port {vin:rsc.z(34)} -attr vt d
+load net {vin:rsc.z(35)} -port {vin:rsc.z(35)} -attr vt d
+load net {vin:rsc.z(36)} -port {vin:rsc.z(36)} -attr vt d
+load net {vin:rsc.z(37)} -port {vin:rsc.z(37)} -attr vt d
+load net {vin:rsc.z(38)} -port {vin:rsc.z(38)} -attr vt d
+load net {vin:rsc.z(39)} -port {vin:rsc.z(39)} -attr vt d
+load net {vin:rsc.z(40)} -port {vin:rsc.z(40)} -attr vt d
+load net {vin:rsc.z(41)} -port {vin:rsc.z(41)} -attr vt d
+load net {vin:rsc.z(42)} -port {vin:rsc.z(42)} -attr vt d
+load net {vin:rsc.z(43)} -port {vin:rsc.z(43)} -attr vt d
+load net {vin:rsc.z(44)} -port {vin:rsc.z(44)} -attr vt d
+load net {vin:rsc.z(45)} -port {vin:rsc.z(45)} -attr vt d
+load net {vin:rsc.z(46)} -port {vin:rsc.z(46)} -attr vt d
+load net {vin:rsc.z(47)} -port {vin:rsc.z(47)} -attr vt d
+load net {vin:rsc.z(48)} -port {vin:rsc.z(48)} -attr vt d
+load net {vin:rsc.z(49)} -port {vin:rsc.z(49)} -attr vt d
+load net {vin:rsc.z(50)} -port {vin:rsc.z(50)} -attr vt d
+load net {vin:rsc.z(51)} -port {vin:rsc.z(51)} -attr vt d
+load net {vin:rsc.z(52)} -port {vin:rsc.z(52)} -attr vt d
+load net {vin:rsc.z(53)} -port {vin:rsc.z(53)} -attr vt d
+load net {vin:rsc.z(54)} -port {vin:rsc.z(54)} -attr vt d
+load net {vin:rsc.z(55)} -port {vin:rsc.z(55)} -attr vt d
+load net {vin:rsc.z(56)} -port {vin:rsc.z(56)} -attr vt d
+load net {vin:rsc.z(57)} -port {vin:rsc.z(57)} -attr vt d
+load net {vin:rsc.z(58)} -port {vin:rsc.z(58)} -attr vt d
+load net {vin:rsc.z(59)} -port {vin:rsc.z(59)} -attr vt d
+load net {vin:rsc.z(60)} -port {vin:rsc.z(60)} -attr vt d
+load net {vin:rsc.z(61)} -port {vin:rsc.z(61)} -attr vt d
+load net {vin:rsc.z(62)} -port {vin:rsc.z(62)} -attr vt d
+load net {vin:rsc.z(63)} -port {vin:rsc.z(63)} -attr vt d
+load net {vin:rsc.z(64)} -port {vin:rsc.z(64)} -attr vt d
+load net {vin:rsc.z(65)} -port {vin:rsc.z(65)} -attr vt d
+load net {vin:rsc.z(66)} -port {vin:rsc.z(66)} -attr vt d
+load net {vin:rsc.z(67)} -port {vin:rsc.z(67)} -attr vt d
+load net {vin:rsc.z(68)} -port {vin:rsc.z(68)} -attr vt d
+load net {vin:rsc.z(69)} -port {vin:rsc.z(69)} -attr vt d
+load net {vin:rsc.z(70)} -port {vin:rsc.z(70)} -attr vt d
+load net {vin:rsc.z(71)} -port {vin:rsc.z(71)} -attr vt d
+load net {vin:rsc.z(72)} -port {vin:rsc.z(72)} -attr vt d
+load net {vin:rsc.z(73)} -port {vin:rsc.z(73)} -attr vt d
+load net {vin:rsc.z(74)} -port {vin:rsc.z(74)} -attr vt d
+load net {vin:rsc.z(75)} -port {vin:rsc.z(75)} -attr vt d
+load net {vin:rsc.z(76)} -port {vin:rsc.z(76)} -attr vt d
+load net {vin:rsc.z(77)} -port {vin:rsc.z(77)} -attr vt d
+load net {vin:rsc.z(78)} -port {vin:rsc.z(78)} -attr vt d
+load net {vin:rsc.z(79)} -port {vin:rsc.z(79)} -attr vt d
+load net {vin:rsc.z(80)} -port {vin:rsc.z(80)} -attr vt d
+load net {vin:rsc.z(81)} -port {vin:rsc.z(81)} -attr vt d
+load net {vin:rsc.z(82)} -port {vin:rsc.z(82)} -attr vt d
+load net {vin:rsc.z(83)} -port {vin:rsc.z(83)} -attr vt d
+load net {vin:rsc.z(84)} -port {vin:rsc.z(84)} -attr vt d
+load net {vin:rsc.z(85)} -port {vin:rsc.z(85)} -attr vt d
+load net {vin:rsc.z(86)} -port {vin:rsc.z(86)} -attr vt d
+load net {vin:rsc.z(87)} -port {vin:rsc.z(87)} -attr vt d
+load net {vin:rsc.z(88)} -port {vin:rsc.z(88)} -attr vt d
+load net {vin:rsc.z(89)} -port {vin:rsc.z(89)} -attr vt d
+load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 44740 -attr oid 616 -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vout:rsc.z(0)} -attr vt d
+load net {vout:rsc.z(1)} -attr vt d
+load net {vout:rsc.z(2)} -attr vt d
+load net {vout:rsc.z(3)} -attr vt d
+load net {vout:rsc.z(4)} -attr vt d
+load net {vout:rsc.z(5)} -attr vt d
+load net {vout:rsc.z(6)} -attr vt d
+load net {vout:rsc.z(7)} -attr vt d
+load net {vout:rsc.z(8)} -attr vt d
+load net {vout:rsc.z(9)} -attr vt d
+load net {vout:rsc.z(10)} -attr vt d
+load net {vout:rsc.z(11)} -attr vt d
+load net {vout:rsc.z(12)} -attr vt d
+load net {vout:rsc.z(13)} -attr vt d
+load net {vout:rsc.z(14)} -attr vt d
+load net {vout:rsc.z(15)} -attr vt d
+load net {vout:rsc.z(16)} -attr vt d
+load net {vout:rsc.z(17)} -attr vt d
+load net {vout:rsc.z(18)} -attr vt d
+load net {vout:rsc.z(19)} -attr vt d
+load net {vout:rsc.z(20)} -attr vt d
+load net {vout:rsc.z(21)} -attr vt d
+load net {vout:rsc.z(22)} -attr vt d
+load net {vout:rsc.z(23)} -attr vt d
+load net {vout:rsc.z(24)} -attr vt d
+load net {vout:rsc.z(25)} -attr vt d
+load net {vout:rsc.z(26)} -attr vt d
+load net {vout:rsc.z(27)} -attr vt d
+load net {vout:rsc.z(28)} -attr vt d
+load net {vout:rsc.z(29)} -attr vt d
+load netBundle {vout:rsc.z} 30 {vout:rsc.z(0)} {vout:rsc.z(1)} {vout:rsc.z(2)} {vout:rsc.z(3)} {vout:rsc.z(4)} {vout:rsc.z(5)} {vout:rsc.z(6)} {vout:rsc.z(7)} {vout:rsc.z(8)} {vout:rsc.z(9)} {vout:rsc.z(10)} {vout:rsc.z(11)} {vout:rsc.z(12)} {vout:rsc.z(13)} {vout:rsc.z(14)} {vout:rsc.z(15)} {vout:rsc.z(16)} {vout:rsc.z(17)} {vout:rsc.z(18)} {vout:rsc.z(19)} {vout:rsc.z(20)} {vout:rsc.z(21)} {vout:rsc.z(22)} {vout:rsc.z(23)} {vout:rsc.z(24)} {vout:rsc.z(25)} {vout:rsc.z(26)} {vout:rsc.z(27)} {vout:rsc.z(28)} {vout:rsc.z(29)} -attr xrf 44741 -attr oid 617 -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(0)} -port {vout:rsc.z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(1)} -port {vout:rsc.z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(2)} -port {vout:rsc.z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(3)} -port {vout:rsc.z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(4)} -port {vout:rsc.z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(5)} -port {vout:rsc.z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(6)} -port {vout:rsc.z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(7)} -port {vout:rsc.z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(8)} -port {vout:rsc.z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(9)} -port {vout:rsc.z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(10)} -port {vout:rsc.z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(11)} -port {vout:rsc.z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(12)} -port {vout:rsc.z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(13)} -port {vout:rsc.z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(14)} -port {vout:rsc.z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(15)} -port {vout:rsc.z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(16)} -port {vout:rsc.z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(17)} -port {vout:rsc.z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(18)} -port {vout:rsc.z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(19)} -port {vout:rsc.z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(20)} -port {vout:rsc.z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(21)} -port {vout:rsc.z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(22)} -port {vout:rsc.z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(23)} -port {vout:rsc.z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(24)} -port {vout:rsc.z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(25)} -port {vout:rsc.z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(26)} -port {vout:rsc.z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(27)} -port {vout:rsc.z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(28)} -port {vout:rsc.z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(29)} -port {vout:rsc.z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {clk} -attr xrf 44742 -attr oid 618
+load net {clk} -port {clk} -attr xrf 44743 -attr oid 619
+load net {en} -attr xrf 44744 -attr oid 620
+load net {en} -port {en} -attr xrf 44745 -attr oid 621
+load net {arst_n} -attr xrf 44746 -attr oid 622
+load net {arst_n} -port {arst_n} -attr xrf 44747 -attr oid 623
+load inst "sobel:core:inst" "sobel:core" "orig" -attr xrf 44748 -attr oid 624 -attr vt dc -attr @path {/sobel/sobel:core:inst} -attr area 8527.523639 -attr delay 15.158629 -attr hier "/sobel/sobel:core" -pg 1 -lvl 3
+load net {clk} -pin "sobel:core:inst" {clk#1} -attr xrf 44749 -attr oid 625 -attr @path {/sobel/clk}
+load net {en} -pin "sobel:core:inst" {en#1} -attr xrf 44750 -attr oid 626 -attr @path {/sobel/en}
+load net {arst_n} -pin "sobel:core:inst" {arst_n#1} -attr xrf 44751 -attr oid 627 -attr @path {/sobel/arst_n}
+load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(0)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(1)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(2)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(3)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(4)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(5)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(6)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(7)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(8)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(9)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(10)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(11)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(12)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(13)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(14)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(15)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(16)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(17)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(18)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(19)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(20)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(21)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(22)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(23)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(24)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(25)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(26)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(27)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(28)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(29)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(30)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(31)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(32)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(33)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(34)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(35)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(36)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(37)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(38)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(39)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(40)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(41)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(42)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(43)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(44)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(45)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(46)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(47)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(48)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(49)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(50)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(51)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(52)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(53)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(54)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(55)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(56)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(57)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(58)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(59)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(60)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(61)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(62)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(63)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(64)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(65)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(66)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(67)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(68)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(69)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(70)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(71)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(72)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(73)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(74)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(75)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(76)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(77)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(78)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(79)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(80)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(81)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(82)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(83)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(84)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(85)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(86)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(87)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(88)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(89)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(0)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(1)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(2)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(3)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(4)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(5)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(6)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(7)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(8)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(9)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(10)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(11)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(12)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(13)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(14)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(15)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(16)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(17)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(18)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(19)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(20)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(21)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(22)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(23)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(24)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(25)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(26)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(27)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(28)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(29)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load inst "vin:rsc:mgc_in_wire" "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" -attr xrf 44752 -attr oid 628 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_in_wire(1,90)" -pg 1 -lvl 1
+load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "vin:rsc:mgc_in_wire" {d(0)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "vin:rsc:mgc_in_wire" {d(1)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "vin:rsc:mgc_in_wire" {d(2)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "vin:rsc:mgc_in_wire" {d(3)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "vin:rsc:mgc_in_wire" {d(4)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "vin:rsc:mgc_in_wire" {d(5)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "vin:rsc:mgc_in_wire" {d(6)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "vin:rsc:mgc_in_wire" {d(7)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "vin:rsc:mgc_in_wire" {d(8)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "vin:rsc:mgc_in_wire" {d(9)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "vin:rsc:mgc_in_wire" {d(10)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "vin:rsc:mgc_in_wire" {d(11)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "vin:rsc:mgc_in_wire" {d(12)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "vin:rsc:mgc_in_wire" {d(13)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "vin:rsc:mgc_in_wire" {d(14)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "vin:rsc:mgc_in_wire" {d(15)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "vin:rsc:mgc_in_wire" {d(16)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "vin:rsc:mgc_in_wire" {d(17)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "vin:rsc:mgc_in_wire" {d(18)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "vin:rsc:mgc_in_wire" {d(19)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "vin:rsc:mgc_in_wire" {d(20)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "vin:rsc:mgc_in_wire" {d(21)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "vin:rsc:mgc_in_wire" {d(22)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "vin:rsc:mgc_in_wire" {d(23)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "vin:rsc:mgc_in_wire" {d(24)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "vin:rsc:mgc_in_wire" {d(25)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "vin:rsc:mgc_in_wire" {d(26)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "vin:rsc:mgc_in_wire" {d(27)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "vin:rsc:mgc_in_wire" {d(28)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "vin:rsc:mgc_in_wire" {d(29)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "vin:rsc:mgc_in_wire" {d(30)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "vin:rsc:mgc_in_wire" {d(31)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "vin:rsc:mgc_in_wire" {d(32)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "vin:rsc:mgc_in_wire" {d(33)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "vin:rsc:mgc_in_wire" {d(34)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "vin:rsc:mgc_in_wire" {d(35)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "vin:rsc:mgc_in_wire" {d(36)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "vin:rsc:mgc_in_wire" {d(37)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "vin:rsc:mgc_in_wire" {d(38)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "vin:rsc:mgc_in_wire" {d(39)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "vin:rsc:mgc_in_wire" {d(40)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "vin:rsc:mgc_in_wire" {d(41)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "vin:rsc:mgc_in_wire" {d(42)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "vin:rsc:mgc_in_wire" {d(43)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "vin:rsc:mgc_in_wire" {d(44)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "vin:rsc:mgc_in_wire" {d(45)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "vin:rsc:mgc_in_wire" {d(46)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "vin:rsc:mgc_in_wire" {d(47)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "vin:rsc:mgc_in_wire" {d(48)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "vin:rsc:mgc_in_wire" {d(49)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "vin:rsc:mgc_in_wire" {d(50)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "vin:rsc:mgc_in_wire" {d(51)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "vin:rsc:mgc_in_wire" {d(52)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "vin:rsc:mgc_in_wire" {d(53)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "vin:rsc:mgc_in_wire" {d(54)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "vin:rsc:mgc_in_wire" {d(55)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "vin:rsc:mgc_in_wire" {d(56)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "vin:rsc:mgc_in_wire" {d(57)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "vin:rsc:mgc_in_wire" {d(58)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "vin:rsc:mgc_in_wire" {d(59)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "vin:rsc:mgc_in_wire" {d(60)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "vin:rsc:mgc_in_wire" {d(61)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "vin:rsc:mgc_in_wire" {d(62)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "vin:rsc:mgc_in_wire" {d(63)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "vin:rsc:mgc_in_wire" {d(64)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "vin:rsc:mgc_in_wire" {d(65)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "vin:rsc:mgc_in_wire" {d(66)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "vin:rsc:mgc_in_wire" {d(67)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "vin:rsc:mgc_in_wire" {d(68)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "vin:rsc:mgc_in_wire" {d(69)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "vin:rsc:mgc_in_wire" {d(70)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "vin:rsc:mgc_in_wire" {d(71)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "vin:rsc:mgc_in_wire" {d(72)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "vin:rsc:mgc_in_wire" {d(73)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "vin:rsc:mgc_in_wire" {d(74)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "vin:rsc:mgc_in_wire" {d(75)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "vin:rsc:mgc_in_wire" {d(76)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "vin:rsc:mgc_in_wire" {d(77)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "vin:rsc:mgc_in_wire" {d(78)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "vin:rsc:mgc_in_wire" {d(79)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "vin:rsc:mgc_in_wire" {d(80)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "vin:rsc:mgc_in_wire" {d(81)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "vin:rsc:mgc_in_wire" {d(82)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "vin:rsc:mgc_in_wire" {d(83)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "vin:rsc:mgc_in_wire" {d(84)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "vin:rsc:mgc_in_wire" {d(85)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "vin:rsc:mgc_in_wire" {d(86)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "vin:rsc:mgc_in_wire" {d(87)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "vin:rsc:mgc_in_wire" {d(88)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "vin:rsc:mgc_in_wire" {d(89)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
+load net {vin:rsc.z(0)} -pin "vin:rsc:mgc_in_wire" {z(0)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(1)} -pin "vin:rsc:mgc_in_wire" {z(1)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(2)} -pin "vin:rsc:mgc_in_wire" {z(2)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(3)} -pin "vin:rsc:mgc_in_wire" {z(3)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(4)} -pin "vin:rsc:mgc_in_wire" {z(4)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(5)} -pin "vin:rsc:mgc_in_wire" {z(5)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(6)} -pin "vin:rsc:mgc_in_wire" {z(6)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(7)} -pin "vin:rsc:mgc_in_wire" {z(7)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(8)} -pin "vin:rsc:mgc_in_wire" {z(8)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(9)} -pin "vin:rsc:mgc_in_wire" {z(9)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(10)} -pin "vin:rsc:mgc_in_wire" {z(10)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(11)} -pin "vin:rsc:mgc_in_wire" {z(11)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(12)} -pin "vin:rsc:mgc_in_wire" {z(12)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(13)} -pin "vin:rsc:mgc_in_wire" {z(13)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(14)} -pin "vin:rsc:mgc_in_wire" {z(14)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(15)} -pin "vin:rsc:mgc_in_wire" {z(15)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(16)} -pin "vin:rsc:mgc_in_wire" {z(16)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(17)} -pin "vin:rsc:mgc_in_wire" {z(17)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(18)} -pin "vin:rsc:mgc_in_wire" {z(18)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(19)} -pin "vin:rsc:mgc_in_wire" {z(19)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(20)} -pin "vin:rsc:mgc_in_wire" {z(20)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(21)} -pin "vin:rsc:mgc_in_wire" {z(21)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(22)} -pin "vin:rsc:mgc_in_wire" {z(22)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(23)} -pin "vin:rsc:mgc_in_wire" {z(23)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(24)} -pin "vin:rsc:mgc_in_wire" {z(24)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(25)} -pin "vin:rsc:mgc_in_wire" {z(25)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(26)} -pin "vin:rsc:mgc_in_wire" {z(26)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(27)} -pin "vin:rsc:mgc_in_wire" {z(27)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(28)} -pin "vin:rsc:mgc_in_wire" {z(28)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(29)} -pin "vin:rsc:mgc_in_wire" {z(29)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(30)} -pin "vin:rsc:mgc_in_wire" {z(30)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(31)} -pin "vin:rsc:mgc_in_wire" {z(31)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(32)} -pin "vin:rsc:mgc_in_wire" {z(32)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(33)} -pin "vin:rsc:mgc_in_wire" {z(33)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(34)} -pin "vin:rsc:mgc_in_wire" {z(34)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(35)} -pin "vin:rsc:mgc_in_wire" {z(35)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(36)} -pin "vin:rsc:mgc_in_wire" {z(36)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(37)} -pin "vin:rsc:mgc_in_wire" {z(37)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(38)} -pin "vin:rsc:mgc_in_wire" {z(38)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(39)} -pin "vin:rsc:mgc_in_wire" {z(39)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(40)} -pin "vin:rsc:mgc_in_wire" {z(40)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(41)} -pin "vin:rsc:mgc_in_wire" {z(41)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(42)} -pin "vin:rsc:mgc_in_wire" {z(42)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(43)} -pin "vin:rsc:mgc_in_wire" {z(43)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(44)} -pin "vin:rsc:mgc_in_wire" {z(44)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(45)} -pin "vin:rsc:mgc_in_wire" {z(45)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(46)} -pin "vin:rsc:mgc_in_wire" {z(46)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(47)} -pin "vin:rsc:mgc_in_wire" {z(47)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(48)} -pin "vin:rsc:mgc_in_wire" {z(48)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(49)} -pin "vin:rsc:mgc_in_wire" {z(49)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(50)} -pin "vin:rsc:mgc_in_wire" {z(50)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(51)} -pin "vin:rsc:mgc_in_wire" {z(51)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(52)} -pin "vin:rsc:mgc_in_wire" {z(52)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(53)} -pin "vin:rsc:mgc_in_wire" {z(53)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(54)} -pin "vin:rsc:mgc_in_wire" {z(54)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(55)} -pin "vin:rsc:mgc_in_wire" {z(55)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(56)} -pin "vin:rsc:mgc_in_wire" {z(56)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(57)} -pin "vin:rsc:mgc_in_wire" {z(57)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(58)} -pin "vin:rsc:mgc_in_wire" {z(58)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(59)} -pin "vin:rsc:mgc_in_wire" {z(59)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(60)} -pin "vin:rsc:mgc_in_wire" {z(60)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(61)} -pin "vin:rsc:mgc_in_wire" {z(61)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(62)} -pin "vin:rsc:mgc_in_wire" {z(62)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(63)} -pin "vin:rsc:mgc_in_wire" {z(63)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(64)} -pin "vin:rsc:mgc_in_wire" {z(64)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(65)} -pin "vin:rsc:mgc_in_wire" {z(65)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(66)} -pin "vin:rsc:mgc_in_wire" {z(66)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(67)} -pin "vin:rsc:mgc_in_wire" {z(67)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(68)} -pin "vin:rsc:mgc_in_wire" {z(68)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(69)} -pin "vin:rsc:mgc_in_wire" {z(69)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(70)} -pin "vin:rsc:mgc_in_wire" {z(70)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(71)} -pin "vin:rsc:mgc_in_wire" {z(71)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(72)} -pin "vin:rsc:mgc_in_wire" {z(72)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(73)} -pin "vin:rsc:mgc_in_wire" {z(73)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(74)} -pin "vin:rsc:mgc_in_wire" {z(74)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(75)} -pin "vin:rsc:mgc_in_wire" {z(75)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(76)} -pin "vin:rsc:mgc_in_wire" {z(76)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(77)} -pin "vin:rsc:mgc_in_wire" {z(77)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(78)} -pin "vin:rsc:mgc_in_wire" {z(78)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(79)} -pin "vin:rsc:mgc_in_wire" {z(79)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(80)} -pin "vin:rsc:mgc_in_wire" {z(80)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(81)} -pin "vin:rsc:mgc_in_wire" {z(81)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(82)} -pin "vin:rsc:mgc_in_wire" {z(82)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(83)} -pin "vin:rsc:mgc_in_wire" {z(83)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(84)} -pin "vin:rsc:mgc_in_wire" {z(84)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(85)} -pin "vin:rsc:mgc_in_wire" {z(85)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(86)} -pin "vin:rsc:mgc_in_wire" {z(86)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(87)} -pin "vin:rsc:mgc_in_wire" {z(87)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(88)} -pin "vin:rsc:mgc_in_wire" {z(88)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load net {vin:rsc.z(89)} -pin "vin:rsc:mgc_in_wire" {z(89)} -attr vt d -attr @path {/sobel/vin:rsc.z}
+load inst "vout:rsc:mgc_out_stdreg" "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" -attr xrf 44753 -attr oid 629 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_out_stdreg(2,30)" -pg 1 -lvl 1002
+load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "vout:rsc:mgc_out_stdreg" {d(0)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "vout:rsc:mgc_out_stdreg" {d(1)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "vout:rsc:mgc_out_stdreg" {d(2)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "vout:rsc:mgc_out_stdreg" {d(3)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "vout:rsc:mgc_out_stdreg" {d(4)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "vout:rsc:mgc_out_stdreg" {d(5)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "vout:rsc:mgc_out_stdreg" {d(6)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "vout:rsc:mgc_out_stdreg" {d(7)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "vout:rsc:mgc_out_stdreg" {d(8)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "vout:rsc:mgc_out_stdreg" {d(9)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "vout:rsc:mgc_out_stdreg" {d(10)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "vout:rsc:mgc_out_stdreg" {d(11)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "vout:rsc:mgc_out_stdreg" {d(12)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "vout:rsc:mgc_out_stdreg" {d(13)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "vout:rsc:mgc_out_stdreg" {d(14)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "vout:rsc:mgc_out_stdreg" {d(15)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "vout:rsc:mgc_out_stdreg" {d(16)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "vout:rsc:mgc_out_stdreg" {d(17)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "vout:rsc:mgc_out_stdreg" {d(18)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "vout:rsc:mgc_out_stdreg" {d(19)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "vout:rsc:mgc_out_stdreg" {d(20)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "vout:rsc:mgc_out_stdreg" {d(21)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "vout:rsc:mgc_out_stdreg" {d(22)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "vout:rsc:mgc_out_stdreg" {d(23)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "vout:rsc:mgc_out_stdreg" {d(24)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "vout:rsc:mgc_out_stdreg" {d(25)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "vout:rsc:mgc_out_stdreg" {d(26)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "vout:rsc:mgc_out_stdreg" {d(27)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "vout:rsc:mgc_out_stdreg" {d(28)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "vout:rsc:mgc_out_stdreg" {d(29)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
+load net {vout:rsc.z(0)} -pin "vout:rsc:mgc_out_stdreg" {z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(1)} -pin "vout:rsc:mgc_out_stdreg" {z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(2)} -pin "vout:rsc:mgc_out_stdreg" {z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(3)} -pin "vout:rsc:mgc_out_stdreg" {z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(4)} -pin "vout:rsc:mgc_out_stdreg" {z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(5)} -pin "vout:rsc:mgc_out_stdreg" {z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(6)} -pin "vout:rsc:mgc_out_stdreg" {z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(7)} -pin "vout:rsc:mgc_out_stdreg" {z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(8)} -pin "vout:rsc:mgc_out_stdreg" {z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(9)} -pin "vout:rsc:mgc_out_stdreg" {z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(10)} -pin "vout:rsc:mgc_out_stdreg" {z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(11)} -pin "vout:rsc:mgc_out_stdreg" {z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(12)} -pin "vout:rsc:mgc_out_stdreg" {z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(13)} -pin "vout:rsc:mgc_out_stdreg" {z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(14)} -pin "vout:rsc:mgc_out_stdreg" {z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(15)} -pin "vout:rsc:mgc_out_stdreg" {z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(16)} -pin "vout:rsc:mgc_out_stdreg" {z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(17)} -pin "vout:rsc:mgc_out_stdreg" {z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(18)} -pin "vout:rsc:mgc_out_stdreg" {z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(19)} -pin "vout:rsc:mgc_out_stdreg" {z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(20)} -pin "vout:rsc:mgc_out_stdreg" {z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(21)} -pin "vout:rsc:mgc_out_stdreg" {z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(22)} -pin "vout:rsc:mgc_out_stdreg" {z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(23)} -pin "vout:rsc:mgc_out_stdreg" {z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(24)} -pin "vout:rsc:mgc_out_stdreg" {z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(25)} -pin "vout:rsc:mgc_out_stdreg" {z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(26)} -pin "vout:rsc:mgc_out_stdreg" {z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(27)} -pin "vout:rsc:mgc_out_stdreg" {z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(28)} -pin "vout:rsc:mgc_out_stdreg" {z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+load net {vout:rsc.z(29)} -pin "vout:rsc:mgc_out_stdreg" {z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
+### END MODULE
+