aboutsummaryrefslogtreecommitdiffstats
path: root/sobel_filter/Sobel/sobel.v5/schematic.nlv
diff options
context:
space:
mode:
Diffstat (limited to 'sobel_filter/Sobel/sobel.v5/schematic.nlv')
-rw-r--r--sobel_filter/Sobel/sobel.v5/schematic.nlv3433
1 files changed, 0 insertions, 3433 deletions
diff --git a/sobel_filter/Sobel/sobel.v5/schematic.nlv b/sobel_filter/Sobel/sobel.v5/schematic.nlv
deleted file mode 100644
index 4964765..0000000
--- a/sobel_filter/Sobel/sobel.v5/schematic.nlv
+++ /dev/null
@@ -1,3433 +0,0 @@
-
-# Program: Catapult University Version
-# Version: 2011a.126
-# File: Nlview netlist
-
-module new "sobel:core" "orig"
-load port {clk} input -attr xrf 14128 -attr oid 1 -attr vt d -attr @path {/sobel/sobel:core/clk}
-load port {en} input -attr xrf 14129 -attr oid 2 -attr vt d -attr @path {/sobel/sobel:core/en}
-load port {arst_n} input -attr xrf 14130 -attr oid 3 -attr vt d -attr @path {/sobel/sobel:core/arst_n}
-load portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} -attr xrf 14131 -attr oid 4 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
-load portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} -attr xrf 14132 -attr oid 5 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load symbol "reg(10,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(9:0)} input 10 {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(9:0)} input 10 {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(30,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(29:0)} input 30 {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(29:0)} input 30 {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(10,1,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(11,1,11,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "not(10)" "INTERFACE" INV boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(12,1,12,1,13)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(13,1,12,1,14)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(4,0,5,0,9)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(1,0,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(0:0)} input 1 {A(0)} \
- portBus {B(0:0)} input 1 {B(0)} \
- portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
-
-load symbol "add(2,0,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(1:0)} input 2 {B(1)} {B(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,-1,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(2,1,1,1,3)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(0:0)} input 1 {B(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,-1,5,-1,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(3,0,3,1,4)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
- portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(4,1,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(9,0,6,1,10)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(10,0,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "not(1)" "INTERFACE" INV boxcolor 0 \
- portBus {A(0:0)} input 1 {A(0)} \
- portBus {Z(0:0)} output 1 {Z(0)} \
-
-load symbol "add(4,0,3,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,0,5,1,6)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "not(2)" "INTERFACE" INV boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
-
-load symbol "not(3)" "INTERFACE" INV boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,0,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(6,1,6,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(7,-1,7,-1,7)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(7,0,6,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(10,-1,10,-1,10)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "or(2,10)" "INTERFACE" OR boxcolor 0 \
- portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
- portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "or(2,6)" "INTERFACE" OR boxcolor 0 \
- portBus {A0(5:0)} input 6 {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
- portBus {A1(5:0)} input 6 {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(6,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(5:0)} input 6 {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(5:0)} input 6 {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load net {regs.regs(1)#1.sva(0)} -attr vt d
-load net {regs.regs(1)#1.sva(1)} -attr vt d
-load net {regs.regs(1)#1.sva(2)} -attr vt d
-load net {regs.regs(1)#1.sva(3)} -attr vt d
-load net {regs.regs(1)#1.sva(4)} -attr vt d
-load net {regs.regs(1)#1.sva(5)} -attr vt d
-load net {regs.regs(1)#1.sva(6)} -attr vt d
-load net {regs.regs(1)#1.sva(7)} -attr vt d
-load net {regs.regs(1)#1.sva(8)} -attr vt d
-load net {regs.regs(1)#1.sva(9)} -attr vt d
-load net {regs.regs(1)#1.sva(10)} -attr vt d
-load net {regs.regs(1)#1.sva(11)} -attr vt d
-load net {regs.regs(1)#1.sva(12)} -attr vt d
-load net {regs.regs(1)#1.sva(13)} -attr vt d
-load net {regs.regs(1)#1.sva(14)} -attr vt d
-load net {regs.regs(1)#1.sva(15)} -attr vt d
-load net {regs.regs(1)#1.sva(16)} -attr vt d
-load net {regs.regs(1)#1.sva(17)} -attr vt d
-load net {regs.regs(1)#1.sva(18)} -attr vt d
-load net {regs.regs(1)#1.sva(19)} -attr vt d
-load net {regs.regs(1)#1.sva(20)} -attr vt d
-load net {regs.regs(1)#1.sva(21)} -attr vt d
-load net {regs.regs(1)#1.sva(22)} -attr vt d
-load net {regs.regs(1)#1.sva(23)} -attr vt d
-load net {regs.regs(1)#1.sva(24)} -attr vt d
-load net {regs.regs(1)#1.sva(25)} -attr vt d
-load net {regs.regs(1)#1.sva(26)} -attr vt d
-load net {regs.regs(1)#1.sva(27)} -attr vt d
-load net {regs.regs(1)#1.sva(28)} -attr vt d
-load net {regs.regs(1)#1.sva(29)} -attr vt d
-load netBundle {regs.regs(1)#1.sva} 30 {regs.regs(1)#1.sva(0)} {regs.regs(1)#1.sva(1)} {regs.regs(1)#1.sva(2)} {regs.regs(1)#1.sva(3)} {regs.regs(1)#1.sva(4)} {regs.regs(1)#1.sva(5)} {regs.regs(1)#1.sva(6)} {regs.regs(1)#1.sva(7)} {regs.regs(1)#1.sva(8)} {regs.regs(1)#1.sva(9)} {regs.regs(1)#1.sva(10)} {regs.regs(1)#1.sva(11)} {regs.regs(1)#1.sva(12)} {regs.regs(1)#1.sva(13)} {regs.regs(1)#1.sva(14)} {regs.regs(1)#1.sva(15)} {regs.regs(1)#1.sva(16)} {regs.regs(1)#1.sva(17)} {regs.regs(1)#1.sva(18)} {regs.regs(1)#1.sva(19)} {regs.regs(1)#1.sva(20)} {regs.regs(1)#1.sva(21)} {regs.regs(1)#1.sva(22)} {regs.regs(1)#1.sva(23)} {regs.regs(1)#1.sva(24)} {regs.regs(1)#1.sva(25)} {regs.regs(1)#1.sva(26)} {regs.regs(1)#1.sva(27)} {regs.regs(1)#1.sva(28)} {regs.regs(1)#1.sva(29)} -attr xrf 14133 -attr oid 6 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1).sg2.sva(0)} -attr vt d
-load net {regs.regs(1).sg2.sva(1)} -attr vt d
-load net {regs.regs(1).sg2.sva(2)} -attr vt d
-load net {regs.regs(1).sg2.sva(3)} -attr vt d
-load net {regs.regs(1).sg2.sva(4)} -attr vt d
-load net {regs.regs(1).sg2.sva(5)} -attr vt d
-load net {regs.regs(1).sg2.sva(6)} -attr vt d
-load net {regs.regs(1).sg2.sva(7)} -attr vt d
-load net {regs.regs(1).sg2.sva(8)} -attr vt d
-load net {regs.regs(1).sg2.sva(9)} -attr vt d
-load net {regs.regs(1).sg2.sva(10)} -attr vt d
-load net {regs.regs(1).sg2.sva(11)} -attr vt d
-load net {regs.regs(1).sg2.sva(12)} -attr vt d
-load net {regs.regs(1).sg2.sva(13)} -attr vt d
-load net {regs.regs(1).sg2.sva(14)} -attr vt d
-load net {regs.regs(1).sg2.sva(15)} -attr vt d
-load net {regs.regs(1).sg2.sva(16)} -attr vt d
-load net {regs.regs(1).sg2.sva(17)} -attr vt d
-load net {regs.regs(1).sg2.sva(18)} -attr vt d
-load net {regs.regs(1).sg2.sva(19)} -attr vt d
-load net {regs.regs(1).sg2.sva(20)} -attr vt d
-load net {regs.regs(1).sg2.sva(21)} -attr vt d
-load net {regs.regs(1).sg2.sva(22)} -attr vt d
-load net {regs.regs(1).sg2.sva(23)} -attr vt d
-load net {regs.regs(1).sg2.sva(24)} -attr vt d
-load net {regs.regs(1).sg2.sva(25)} -attr vt d
-load net {regs.regs(1).sg2.sva(26)} -attr vt d
-load net {regs.regs(1).sg2.sva(27)} -attr vt d
-load net {regs.regs(1).sg2.sva(28)} -attr vt d
-load net {regs.regs(1).sg2.sva(29)} -attr vt d
-load netBundle {regs.regs(1).sg2.sva} 30 {regs.regs(1).sg2.sva(0)} {regs.regs(1).sg2.sva(1)} {regs.regs(1).sg2.sva(2)} {regs.regs(1).sg2.sva(3)} {regs.regs(1).sg2.sva(4)} {regs.regs(1).sg2.sva(5)} {regs.regs(1).sg2.sva(6)} {regs.regs(1).sg2.sva(7)} {regs.regs(1).sg2.sva(8)} {regs.regs(1).sg2.sva(9)} {regs.regs(1).sg2.sva(10)} {regs.regs(1).sg2.sva(11)} {regs.regs(1).sg2.sva(12)} {regs.regs(1).sg2.sva(13)} {regs.regs(1).sg2.sva(14)} {regs.regs(1).sg2.sva(15)} {regs.regs(1).sg2.sva(16)} {regs.regs(1).sg2.sva(17)} {regs.regs(1).sg2.sva(18)} {regs.regs(1).sg2.sva(19)} {regs.regs(1).sg2.sva(20)} {regs.regs(1).sg2.sva(21)} {regs.regs(1).sg2.sva(22)} {regs.regs(1).sg2.sva(23)} {regs.regs(1).sg2.sva(24)} {regs.regs(1).sg2.sva(25)} {regs.regs(1).sg2.sva(26)} {regs.regs(1).sg2.sva(27)} {regs.regs(1).sg2.sva(28)} {regs.regs(1).sg2.sva(29)} -attr xrf 14134 -attr oid 7 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {ACC1:slc(regs.regs(2).sg2).itm(0)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(1)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(2)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(3)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(4)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(5)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(6)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(7)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(8)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2).itm(9)} -attr vt d
-load netBundle {ACC1:slc(regs.regs(2).sg2).itm} 10 {ACC1:slc(regs.regs(2).sg2).itm(0)} {ACC1:slc(regs.regs(2).sg2).itm(1)} {ACC1:slc(regs.regs(2).sg2).itm(2)} {ACC1:slc(regs.regs(2).sg2).itm(3)} {ACC1:slc(regs.regs(2).sg2).itm(4)} {ACC1:slc(regs.regs(2).sg2).itm(5)} {ACC1:slc(regs.regs(2).sg2).itm(6)} {ACC1:slc(regs.regs(2).sg2).itm(7)} {ACC1:slc(regs.regs(2).sg2).itm(8)} {ACC1:slc(regs.regs(2).sg2).itm(9)} -attr xrf 14135 -attr oid 8 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(0)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(1)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(2)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(3)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(4)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(5)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(6)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(7)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(8)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(9)} -attr vt d
-load netBundle {ACC1:slc(regs.regs(2).sg2)#1.itm} 10 {ACC1:slc(regs.regs(2).sg2)#1.itm(0)} {ACC1:slc(regs.regs(2).sg2)#1.itm(1)} {ACC1:slc(regs.regs(2).sg2)#1.itm(2)} {ACC1:slc(regs.regs(2).sg2)#1.itm(3)} {ACC1:slc(regs.regs(2).sg2)#1.itm(4)} {ACC1:slc(regs.regs(2).sg2)#1.itm(5)} {ACC1:slc(regs.regs(2).sg2)#1.itm(6)} {ACC1:slc(regs.regs(2).sg2)#1.itm(7)} {ACC1:slc(regs.regs(2).sg2)#1.itm(8)} {ACC1:slc(regs.regs(2).sg2)#1.itm(9)} -attr xrf 14136 -attr oid 9 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(0)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(1)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(2)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(3)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(4)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(5)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(6)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(7)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(8)} -attr vt d
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(9)} -attr vt d
-load netBundle {ACC1:slc(regs.regs(2).sg2)#2.itm} 10 {ACC1:slc(regs.regs(2).sg2)#2.itm(0)} {ACC1:slc(regs.regs(2).sg2)#2.itm(1)} {ACC1:slc(regs.regs(2).sg2)#2.itm(2)} {ACC1:slc(regs.regs(2).sg2)#2.itm(3)} {ACC1:slc(regs.regs(2).sg2)#2.itm(4)} {ACC1:slc(regs.regs(2).sg2)#2.itm(5)} {ACC1:slc(regs.regs(2).sg2)#2.itm(6)} {ACC1:slc(regs.regs(2).sg2)#2.itm(7)} {ACC1:slc(regs.regs(2).sg2)#2.itm(8)} {ACC1:slc(regs.regs(2).sg2)#2.itm(9)} -attr xrf 14137 -attr oid 10 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(0)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(1)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(2)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(3)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(4)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(5)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(6)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(7)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(8)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1).itm(9)} -attr vt d
-load netBundle {ACC1:slc(regs.regs(2)#1).itm} 10 {ACC1:slc(regs.regs(2)#1).itm(0)} {ACC1:slc(regs.regs(2)#1).itm(1)} {ACC1:slc(regs.regs(2)#1).itm(2)} {ACC1:slc(regs.regs(2)#1).itm(3)} {ACC1:slc(regs.regs(2)#1).itm(4)} {ACC1:slc(regs.regs(2)#1).itm(5)} {ACC1:slc(regs.regs(2)#1).itm(6)} {ACC1:slc(regs.regs(2)#1).itm(7)} {ACC1:slc(regs.regs(2)#1).itm(8)} {ACC1:slc(regs.regs(2)#1).itm(9)} -attr xrf 14138 -attr oid 11 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(0)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(1)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(2)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(3)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(4)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(5)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(6)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(7)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(8)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(9)} -attr vt d
-load netBundle {ACC1:slc(regs.regs(2)#1)#1.itm} 10 {ACC1:slc(regs.regs(2)#1)#1.itm(0)} {ACC1:slc(regs.regs(2)#1)#1.itm(1)} {ACC1:slc(regs.regs(2)#1)#1.itm(2)} {ACC1:slc(regs.regs(2)#1)#1.itm(3)} {ACC1:slc(regs.regs(2)#1)#1.itm(4)} {ACC1:slc(regs.regs(2)#1)#1.itm(5)} {ACC1:slc(regs.regs(2)#1)#1.itm(6)} {ACC1:slc(regs.regs(2)#1)#1.itm(7)} {ACC1:slc(regs.regs(2)#1)#1.itm(8)} {ACC1:slc(regs.regs(2)#1)#1.itm(9)} -attr xrf 14139 -attr oid 12 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(0)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(1)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(2)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(3)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(4)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(5)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(6)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(7)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(8)} -attr vt d
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(9)} -attr vt d
-load netBundle {ACC1:slc(regs.regs(2)#1)#2.itm} 10 {ACC1:slc(regs.regs(2)#1)#2.itm(0)} {ACC1:slc(regs.regs(2)#1)#2.itm(1)} {ACC1:slc(regs.regs(2)#1)#2.itm(2)} {ACC1:slc(regs.regs(2)#1)#2.itm(3)} {ACC1:slc(regs.regs(2)#1)#2.itm(4)} {ACC1:slc(regs.regs(2)#1)#2.itm(5)} {ACC1:slc(regs.regs(2)#1)#2.itm(6)} {ACC1:slc(regs.regs(2)#1)#2.itm(7)} {ACC1:slc(regs.regs(2)#1)#2.itm(8)} {ACC1:slc(regs.regs(2)#1)#2.itm(9)} -attr xrf 14140 -attr oid 13 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(0)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(1)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(2)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(3)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(4)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(5)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(6)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(7)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(8)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(9)} -attr vt d
-load netBundle {reg(vout:rsc:mgc_out_stdreg.d).tmp} 10 {reg(vout:rsc:mgc_out_stdreg.d).tmp(0)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(1)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(2)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(3)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(4)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(5)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(6)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(7)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(8)} {reg(vout:rsc:mgc_out_stdreg.d).tmp(9)} -attr xrf 14141 -attr oid 14 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(0)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(1)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(2)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(3)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(4)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(5)} -attr vt d
-load netBundle {reg(vout:rsc:mgc_out_stdreg.d).tmp#2} 6 {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(0)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(1)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(2)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(3)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(4)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(5)} -attr xrf 14142 -attr oid 15 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(0)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(1)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(2)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(3)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(4)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(5)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(6)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(7)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(8)} -attr vt d
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(9)} -attr vt d
-load netBundle {reg(vout:rsc:mgc_out_stdreg.d).tmp#3} 10 {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(0)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(1)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(2)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(3)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(4)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(5)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(6)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(7)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(8)} {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(9)} -attr xrf 14143 -attr oid 16 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {ACC1:slc.psp.sva(0)} -attr vt d
-load net {ACC1:slc.psp.sva(1)} -attr vt d
-load net {ACC1:slc.psp.sva(2)} -attr vt d
-load net {ACC1:slc.psp.sva(3)} -attr vt d
-load net {ACC1:slc.psp.sva(4)} -attr vt d
-load net {ACC1:slc.psp.sva(5)} -attr vt d
-load net {ACC1:slc.psp.sva(6)} -attr vt d
-load net {ACC1:slc.psp.sva(7)} -attr vt d
-load net {ACC1:slc.psp.sva(8)} -attr vt d
-load net {ACC1:slc.psp.sva(9)} -attr vt d
-load net {ACC1:slc.psp.sva(10)} -attr vt d
-load net {ACC1:slc.psp.sva(11)} -attr vt d
-load net {ACC1:slc.psp.sva(12)} -attr vt d
-load netBundle {ACC1:slc.psp.sva} 13 {ACC1:slc.psp.sva(0)} {ACC1:slc.psp.sva(1)} {ACC1:slc.psp.sva(2)} {ACC1:slc.psp.sva(3)} {ACC1:slc.psp.sva(4)} {ACC1:slc.psp.sva(5)} {ACC1:slc.psp.sva(6)} {ACC1:slc.psp.sva(7)} {ACC1:slc.psp.sva(8)} {ACC1:slc.psp.sva(9)} {ACC1:slc.psp.sva(10)} {ACC1:slc.psp.sva(11)} {ACC1:slc.psp.sva(12)} -attr xrf 14144 -attr oid 17 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc.psp.sva}
-load net {FRAME:acc#22.cse(0)} -attr vt d
-load net {FRAME:acc#22.cse(1)} -attr vt d
-load net {FRAME:acc#22.cse(2)} -attr vt d
-load net {FRAME:acc#22.cse(3)} -attr vt d
-load net {FRAME:acc#22.cse(4)} -attr vt d
-load net {FRAME:acc#22.cse(5)} -attr vt d
-load net {FRAME:acc#22.cse(6)} -attr vt d
-load net {FRAME:acc#22.cse(7)} -attr vt d
-load net {FRAME:acc#22.cse(8)} -attr vt d
-load net {FRAME:acc#22.cse(9)} -attr vt d
-load netBundle {FRAME:acc#22.cse} 10 {FRAME:acc#22.cse(0)} {FRAME:acc#22.cse(1)} {FRAME:acc#22.cse(2)} {FRAME:acc#22.cse(3)} {FRAME:acc#22.cse(4)} {FRAME:acc#22.cse(5)} {FRAME:acc#22.cse(6)} {FRAME:acc#22.cse(7)} {FRAME:acc#22.cse(8)} {FRAME:acc#22.cse(9)} -attr xrf 14145 -attr oid 18 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#5.psp.sva(0)} -attr vt d
-load net {FRAME:acc#5.psp.sva(1)} -attr vt d
-load net {FRAME:acc#5.psp.sva(2)} -attr vt d
-load net {FRAME:acc#5.psp.sva(3)} -attr vt d
-load net {FRAME:acc#5.psp.sva(4)} -attr vt d
-load net {FRAME:acc#5.psp.sva(5)} -attr vt d
-load net {FRAME:acc#5.psp.sva(6)} -attr vt d
-load net {FRAME:acc#5.psp.sva(7)} -attr vt d
-load net {FRAME:acc#5.psp.sva(8)} -attr vt d
-load net {FRAME:acc#5.psp.sva(9)} -attr vt d
-load net {FRAME:acc#5.psp.sva(10)} -attr vt d
-load netBundle {FRAME:acc#5.psp.sva} 11 {FRAME:acc#5.psp.sva(0)} {FRAME:acc#5.psp.sva(1)} {FRAME:acc#5.psp.sva(2)} {FRAME:acc#5.psp.sva(3)} {FRAME:acc#5.psp.sva(4)} {FRAME:acc#5.psp.sva(5)} {FRAME:acc#5.psp.sva(6)} {FRAME:acc#5.psp.sva(7)} {FRAME:acc#5.psp.sva(8)} {FRAME:acc#5.psp.sva(9)} {FRAME:acc#5.psp.sva(10)} -attr xrf 14146 -attr oid 19 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {acc.imod.sva(0)} -attr vt d
-load net {acc.imod.sva(1)} -attr vt d
-load net {acc.imod.sva(2)} -attr vt d
-load net {acc.imod.sva(3)} -attr vt d
-load net {acc.imod.sva(4)} -attr vt d
-load net {acc.imod.sva(5)} -attr vt d
-load net {acc.imod.sva(6)} -attr vt d
-load netBundle {acc.imod.sva} 7 {acc.imod.sva(0)} {acc.imod.sva(1)} {acc.imod.sva(2)} {acc.imod.sva(3)} {acc.imod.sva(4)} {acc.imod.sva(5)} {acc.imod.sva(6)} -attr xrf 14147 -attr oid 20 -attr vt d -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {FRAME:conc#34(0)} -attr vt d
-load net {FRAME:conc#34(1)} -attr vt d
-load net {FRAME:conc#34(2)} -attr vt d
-load net {FRAME:conc#34(3)} -attr vt d
-load net {FRAME:conc#34(4)} -attr vt d
-load net {FRAME:conc#34(5)} -attr vt d
-load net {FRAME:conc#34(6)} -attr vt d
-load net {FRAME:conc#34(7)} -attr vt d
-load net {FRAME:conc#34(8)} -attr vt d
-load net {FRAME:conc#34(9)} -attr vt d
-load netBundle {FRAME:conc#34} 10 {FRAME:conc#34(0)} {FRAME:conc#34(1)} {FRAME:conc#34(2)} {FRAME:conc#34(3)} {FRAME:conc#34(4)} {FRAME:conc#34(5)} {FRAME:conc#34(6)} {FRAME:conc#34(7)} {FRAME:conc#34(8)} {FRAME:conc#34(9)} -attr xrf 14148 -attr oid 21 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(0)} -attr vt d
-load net {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(1)} -attr vt d
-load net {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(2)} -attr vt d
-load net {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(3)} -attr vt d
-load netBundle {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm} 4 {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(0)} {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(1)} {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(2)} {slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm(3)} -attr xrf 14149 -attr oid 22 -attr vt d -attr @path {/sobel/sobel:core/slc(reg(vout:rsc:mgc_out_stdreg.d).tmp#3).itm}
-load net {slc(regs.regs(1).sg2.sva)#2.itm(0)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(1)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(2)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(3)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(4)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(5)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(6)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(7)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(8)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1).sg2.sva)#2.itm} 10 {slc(regs.regs(1).sg2.sva)#2.itm(0)} {slc(regs.regs(1).sg2.sva)#2.itm(1)} {slc(regs.regs(1).sg2.sva)#2.itm(2)} {slc(regs.regs(1).sg2.sva)#2.itm(3)} {slc(regs.regs(1).sg2.sva)#2.itm(4)} {slc(regs.regs(1).sg2.sva)#2.itm(5)} {slc(regs.regs(1).sg2.sva)#2.itm(6)} {slc(regs.regs(1).sg2.sva)#2.itm(7)} {slc(regs.regs(1).sg2.sva)#2.itm(8)} {slc(regs.regs(1).sg2.sva)#2.itm(9)} -attr xrf 14150 -attr oid 23 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {slc(regs.regs(1).sg2.sva)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1).sg2.sva)#1.itm} 10 {slc(regs.regs(1).sg2.sva)#1.itm(0)} {slc(regs.regs(1).sg2.sva)#1.itm(1)} {slc(regs.regs(1).sg2.sva)#1.itm(2)} {slc(regs.regs(1).sg2.sva)#1.itm(3)} {slc(regs.regs(1).sg2.sva)#1.itm(4)} {slc(regs.regs(1).sg2.sva)#1.itm(5)} {slc(regs.regs(1).sg2.sva)#1.itm(6)} {slc(regs.regs(1).sg2.sva)#1.itm(7)} {slc(regs.regs(1).sg2.sva)#1.itm(8)} {slc(regs.regs(1).sg2.sva)#1.itm(9)} -attr xrf 14151 -attr oid 24 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {slc(regs.regs(1).sg2.sva).itm(0)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(1)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(2)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(3)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(4)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(5)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(6)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(7)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(8)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1).sg2.sva).itm} 10 {slc(regs.regs(1).sg2.sva).itm(0)} {slc(regs.regs(1).sg2.sva).itm(1)} {slc(regs.regs(1).sg2.sva).itm(2)} {slc(regs.regs(1).sg2.sva).itm(3)} {slc(regs.regs(1).sg2.sva).itm(4)} {slc(regs.regs(1).sg2.sva).itm(5)} {slc(regs.regs(1).sg2.sva).itm(6)} {slc(regs.regs(1).sg2.sva).itm(7)} {slc(regs.regs(1).sg2.sva).itm(8)} {slc(regs.regs(1).sg2.sva).itm(9)} -attr xrf 14152 -attr oid 25 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {slc(regs.regs(1)#1.sva)#2.itm(0)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(1)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(2)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(3)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(4)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(5)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(6)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(7)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(8)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1)#1.sva)#2.itm} 10 {slc(regs.regs(1)#1.sva)#2.itm(0)} {slc(regs.regs(1)#1.sva)#2.itm(1)} {slc(regs.regs(1)#1.sva)#2.itm(2)} {slc(regs.regs(1)#1.sva)#2.itm(3)} {slc(regs.regs(1)#1.sva)#2.itm(4)} {slc(regs.regs(1)#1.sva)#2.itm(5)} {slc(regs.regs(1)#1.sva)#2.itm(6)} {slc(regs.regs(1)#1.sva)#2.itm(7)} {slc(regs.regs(1)#1.sva)#2.itm(8)} {slc(regs.regs(1)#1.sva)#2.itm(9)} -attr xrf 14153 -attr oid 26 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {slc(regs.regs(1)#1.sva)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1)#1.sva)#1.itm} 10 {slc(regs.regs(1)#1.sva)#1.itm(0)} {slc(regs.regs(1)#1.sva)#1.itm(1)} {slc(regs.regs(1)#1.sva)#1.itm(2)} {slc(regs.regs(1)#1.sva)#1.itm(3)} {slc(regs.regs(1)#1.sva)#1.itm(4)} {slc(regs.regs(1)#1.sva)#1.itm(5)} {slc(regs.regs(1)#1.sva)#1.itm(6)} {slc(regs.regs(1)#1.sva)#1.itm(7)} {slc(regs.regs(1)#1.sva)#1.itm(8)} {slc(regs.regs(1)#1.sva)#1.itm(9)} -attr xrf 14154 -attr oid 27 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {slc(regs.regs(1)#1.sva).itm(0)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(1)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(2)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(3)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(4)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(5)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(6)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(7)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(8)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1)#1.sva).itm} 10 {slc(regs.regs(1)#1.sva).itm(0)} {slc(regs.regs(1)#1.sva).itm(1)} {slc(regs.regs(1)#1.sva).itm(2)} {slc(regs.regs(1)#1.sva).itm(3)} {slc(regs.regs(1)#1.sva).itm(4)} {slc(regs.regs(1)#1.sva).itm(5)} {slc(regs.regs(1)#1.sva).itm(6)} {slc(regs.regs(1)#1.sva).itm(7)} {slc(regs.regs(1)#1.sva).itm(8)} {slc(regs.regs(1)#1.sva).itm(9)} -attr xrf 14155 -attr oid 28 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {slc(regs.regs(0).sva#8).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(9)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(10)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(11)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(12)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(13)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(14)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(15)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(16)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(17)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(18)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(19)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(20)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(21)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(22)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(23)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(24)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(25)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(26)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(27)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(28)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(29)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#8).itm} 30 {slc(regs.regs(0).sva#8).itm(0)} {slc(regs.regs(0).sva#8).itm(1)} {slc(regs.regs(0).sva#8).itm(2)} {slc(regs.regs(0).sva#8).itm(3)} {slc(regs.regs(0).sva#8).itm(4)} {slc(regs.regs(0).sva#8).itm(5)} {slc(regs.regs(0).sva#8).itm(6)} {slc(regs.regs(0).sva#8).itm(7)} {slc(regs.regs(0).sva#8).itm(8)} {slc(regs.regs(0).sva#8).itm(9)} {slc(regs.regs(0).sva#8).itm(10)} {slc(regs.regs(0).sva#8).itm(11)} {slc(regs.regs(0).sva#8).itm(12)} {slc(regs.regs(0).sva#8).itm(13)} {slc(regs.regs(0).sva#8).itm(14)} {slc(regs.regs(0).sva#8).itm(15)} {slc(regs.regs(0).sva#8).itm(16)} {slc(regs.regs(0).sva#8).itm(17)} {slc(regs.regs(0).sva#8).itm(18)} {slc(regs.regs(0).sva#8).itm(19)} {slc(regs.regs(0).sva#8).itm(20)} {slc(regs.regs(0).sva#8).itm(21)} {slc(regs.regs(0).sva#8).itm(22)} {slc(regs.regs(0).sva#8).itm(23)} {slc(regs.regs(0).sva#8).itm(24)} {slc(regs.regs(0).sva#8).itm(25)} {slc(regs.regs(0).sva#8).itm(26)} {slc(regs.regs(0).sva#8).itm(27)} {slc(regs.regs(0).sva#8).itm(28)} {slc(regs.regs(0).sva#8).itm(29)} -attr xrf 14156 -attr oid 29 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {slc(regs.regs(0).sva#7).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(9)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(10)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(11)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(12)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(13)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(14)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(15)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(16)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(17)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(18)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(19)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(20)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(21)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(22)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(23)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(24)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(25)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(26)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(27)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(28)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(29)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#7).itm} 30 {slc(regs.regs(0).sva#7).itm(0)} {slc(regs.regs(0).sva#7).itm(1)} {slc(regs.regs(0).sva#7).itm(2)} {slc(regs.regs(0).sva#7).itm(3)} {slc(regs.regs(0).sva#7).itm(4)} {slc(regs.regs(0).sva#7).itm(5)} {slc(regs.regs(0).sva#7).itm(6)} {slc(regs.regs(0).sva#7).itm(7)} {slc(regs.regs(0).sva#7).itm(8)} {slc(regs.regs(0).sva#7).itm(9)} {slc(regs.regs(0).sva#7).itm(10)} {slc(regs.regs(0).sva#7).itm(11)} {slc(regs.regs(0).sva#7).itm(12)} {slc(regs.regs(0).sva#7).itm(13)} {slc(regs.regs(0).sva#7).itm(14)} {slc(regs.regs(0).sva#7).itm(15)} {slc(regs.regs(0).sva#7).itm(16)} {slc(regs.regs(0).sva#7).itm(17)} {slc(regs.regs(0).sva#7).itm(18)} {slc(regs.regs(0).sva#7).itm(19)} {slc(regs.regs(0).sva#7).itm(20)} {slc(regs.regs(0).sva#7).itm(21)} {slc(regs.regs(0).sva#7).itm(22)} {slc(regs.regs(0).sva#7).itm(23)} {slc(regs.regs(0).sva#7).itm(24)} {slc(regs.regs(0).sva#7).itm(25)} {slc(regs.regs(0).sva#7).itm(26)} {slc(regs.regs(0).sva#7).itm(27)} {slc(regs.regs(0).sva#7).itm(28)} {slc(regs.regs(0).sva#7).itm(29)} -attr xrf 14157 -attr oid 30 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {ACC1:acc.itm(0)} -attr vt d
-load net {ACC1:acc.itm(1)} -attr vt d
-load net {ACC1:acc.itm(2)} -attr vt d
-load net {ACC1:acc.itm(3)} -attr vt d
-load net {ACC1:acc.itm(4)} -attr vt d
-load net {ACC1:acc.itm(5)} -attr vt d
-load net {ACC1:acc.itm(6)} -attr vt d
-load net {ACC1:acc.itm(7)} -attr vt d
-load net {ACC1:acc.itm(8)} -attr vt d
-load net {ACC1:acc.itm(9)} -attr vt d
-load net {ACC1:acc.itm(10)} -attr vt d
-load net {ACC1:acc.itm(11)} -attr vt d
-load net {ACC1:acc.itm(12)} -attr vt d
-load net {ACC1:acc.itm(13)} -attr vt d
-load netBundle {ACC1:acc.itm} 14 {ACC1:acc.itm(0)} {ACC1:acc.itm(1)} {ACC1:acc.itm(2)} {ACC1:acc.itm(3)} {ACC1:acc.itm(4)} {ACC1:acc.itm(5)} {ACC1:acc.itm(6)} {ACC1:acc.itm(7)} {ACC1:acc.itm(8)} {ACC1:acc.itm(9)} {ACC1:acc.itm(10)} {ACC1:acc.itm(11)} {ACC1:acc.itm(12)} {ACC1:acc.itm(13)} -attr xrf 14158 -attr oid 31 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc#43.itm(0)} -attr vt d
-load net {ACC1:acc#43.itm(1)} -attr vt d
-load net {ACC1:acc#43.itm(2)} -attr vt d
-load net {ACC1:acc#43.itm(3)} -attr vt d
-load net {ACC1:acc#43.itm(4)} -attr vt d
-load net {ACC1:acc#43.itm(5)} -attr vt d
-load net {ACC1:acc#43.itm(6)} -attr vt d
-load net {ACC1:acc#43.itm(7)} -attr vt d
-load net {ACC1:acc#43.itm(8)} -attr vt d
-load net {ACC1:acc#43.itm(9)} -attr vt d
-load net {ACC1:acc#43.itm(10)} -attr vt d
-load net {ACC1:acc#43.itm(11)} -attr vt d
-load net {ACC1:acc#43.itm(12)} -attr vt d
-load netBundle {ACC1:acc#43.itm} 13 {ACC1:acc#43.itm(0)} {ACC1:acc#43.itm(1)} {ACC1:acc#43.itm(2)} {ACC1:acc#43.itm(3)} {ACC1:acc#43.itm(4)} {ACC1:acc#43.itm(5)} {ACC1:acc#43.itm(6)} {ACC1:acc#43.itm(7)} {ACC1:acc#43.itm(8)} {ACC1:acc#43.itm(9)} {ACC1:acc#43.itm(10)} {ACC1:acc#43.itm(11)} {ACC1:acc#43.itm(12)} -attr xrf 14159 -attr oid 32 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#41.itm(0)} -attr vt d
-load net {ACC1:acc#41.itm(1)} -attr vt d
-load net {ACC1:acc#41.itm(2)} -attr vt d
-load net {ACC1:acc#41.itm(3)} -attr vt d
-load net {ACC1:acc#41.itm(4)} -attr vt d
-load net {ACC1:acc#41.itm(5)} -attr vt d
-load net {ACC1:acc#41.itm(6)} -attr vt d
-load net {ACC1:acc#41.itm(7)} -attr vt d
-load net {ACC1:acc#41.itm(8)} -attr vt d
-load net {ACC1:acc#41.itm(9)} -attr vt d
-load net {ACC1:acc#41.itm(10)} -attr vt d
-load net {ACC1:acc#41.itm(11)} -attr vt d
-load netBundle {ACC1:acc#41.itm} 12 {ACC1:acc#41.itm(0)} {ACC1:acc#41.itm(1)} {ACC1:acc#41.itm(2)} {ACC1:acc#41.itm(3)} {ACC1:acc#41.itm(4)} {ACC1:acc#41.itm(5)} {ACC1:acc#41.itm(6)} {ACC1:acc#41.itm(7)} {ACC1:acc#41.itm(8)} {ACC1:acc#41.itm(9)} {ACC1:acc#41.itm(10)} {ACC1:acc#41.itm(11)} -attr xrf 14160 -attr oid 33 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#37.itm(0)} -attr vt d
-load net {ACC1:acc#37.itm(1)} -attr vt d
-load net {ACC1:acc#37.itm(2)} -attr vt d
-load net {ACC1:acc#37.itm(3)} -attr vt d
-load net {ACC1:acc#37.itm(4)} -attr vt d
-load net {ACC1:acc#37.itm(5)} -attr vt d
-load net {ACC1:acc#37.itm(6)} -attr vt d
-load net {ACC1:acc#37.itm(7)} -attr vt d
-load net {ACC1:acc#37.itm(8)} -attr vt d
-load net {ACC1:acc#37.itm(9)} -attr vt d
-load net {ACC1:acc#37.itm(10)} -attr vt d
-load netBundle {ACC1:acc#37.itm} 11 {ACC1:acc#37.itm(0)} {ACC1:acc#37.itm(1)} {ACC1:acc#37.itm(2)} {ACC1:acc#37.itm(3)} {ACC1:acc#37.itm(4)} {ACC1:acc#37.itm(5)} {ACC1:acc#37.itm(6)} {ACC1:acc#37.itm(7)} {ACC1:acc#37.itm(8)} {ACC1:acc#37.itm(9)} {ACC1:acc#37.itm(10)} -attr xrf 14161 -attr oid 34 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {slc(regs.regs(0).sva#1).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#1).itm} 10 {slc(regs.regs(0).sva#1).itm(0)} {slc(regs.regs(0).sva#1).itm(1)} {slc(regs.regs(0).sva#1).itm(2)} {slc(regs.regs(0).sva#1).itm(3)} {slc(regs.regs(0).sva#1).itm(4)} {slc(regs.regs(0).sva#1).itm(5)} {slc(regs.regs(0).sva#1).itm(6)} {slc(regs.regs(0).sva#1).itm(7)} {slc(regs.regs(0).sva#1).itm(8)} {slc(regs.regs(0).sva#1).itm(9)} -attr xrf 14162 -attr oid 35 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {slc(regs.regs(0).sva#2).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#2).itm} 10 {slc(regs.regs(0).sva#2).itm(0)} {slc(regs.regs(0).sva#2).itm(1)} {slc(regs.regs(0).sva#2).itm(2)} {slc(regs.regs(0).sva#2).itm(3)} {slc(regs.regs(0).sva#2).itm(4)} {slc(regs.regs(0).sva#2).itm(5)} {slc(regs.regs(0).sva#2).itm(6)} {slc(regs.regs(0).sva#2).itm(7)} {slc(regs.regs(0).sva#2).itm(8)} {slc(regs.regs(0).sva#2).itm(9)} -attr xrf 14163 -attr oid 36 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {ACC1:slc#3.itm(0)} -attr vt d
-load net {ACC1:slc#3.itm(1)} -attr vt d
-load net {ACC1:slc#3.itm(2)} -attr vt d
-load net {ACC1:slc#3.itm(3)} -attr vt d
-load net {ACC1:slc#3.itm(4)} -attr vt d
-load net {ACC1:slc#3.itm(5)} -attr vt d
-load net {ACC1:slc#3.itm(6)} -attr vt d
-load net {ACC1:slc#3.itm(7)} -attr vt d
-load net {ACC1:slc#3.itm(8)} -attr vt d
-load net {ACC1:slc#3.itm(9)} -attr vt d
-load net {ACC1:slc#3.itm(10)} -attr vt d
-load netBundle {ACC1:slc#3.itm} 11 {ACC1:slc#3.itm(0)} {ACC1:slc#3.itm(1)} {ACC1:slc#3.itm(2)} {ACC1:slc#3.itm(3)} {ACC1:slc#3.itm(4)} {ACC1:slc#3.itm(5)} {ACC1:slc#3.itm(6)} {ACC1:slc#3.itm(7)} {ACC1:slc#3.itm(8)} {ACC1:slc#3.itm(9)} {ACC1:slc#3.itm(10)} -attr xrf 14164 -attr oid 37 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(0)} -attr vt d
-load net {ACC1:acc#36.itm(1)} -attr vt d
-load net {ACC1:acc#36.itm(2)} -attr vt d
-load net {ACC1:acc#36.itm(3)} -attr vt d
-load net {ACC1:acc#36.itm(4)} -attr vt d
-load net {ACC1:acc#36.itm(5)} -attr vt d
-load net {ACC1:acc#36.itm(6)} -attr vt d
-load net {ACC1:acc#36.itm(7)} -attr vt d
-load net {ACC1:acc#36.itm(8)} -attr vt d
-load net {ACC1:acc#36.itm(9)} -attr vt d
-load net {ACC1:acc#36.itm(10)} -attr vt d
-load net {ACC1:acc#36.itm(11)} -attr vt d
-load netBundle {ACC1:acc#36.itm} 12 {ACC1:acc#36.itm(0)} {ACC1:acc#36.itm(1)} {ACC1:acc#36.itm(2)} {ACC1:acc#36.itm(3)} {ACC1:acc#36.itm(4)} {ACC1:acc#36.itm(5)} {ACC1:acc#36.itm(6)} {ACC1:acc#36.itm(7)} {ACC1:acc#36.itm(8)} {ACC1:acc#36.itm(9)} {ACC1:acc#36.itm(10)} {ACC1:acc#36.itm(11)} -attr xrf 14165 -attr oid 38 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {conc.itm(0)} -attr vt d
-load net {conc.itm(1)} -attr vt d
-load net {conc.itm(2)} -attr vt d
-load net {conc.itm(3)} -attr vt d
-load net {conc.itm(4)} -attr vt d
-load net {conc.itm(5)} -attr vt d
-load net {conc.itm(6)} -attr vt d
-load net {conc.itm(7)} -attr vt d
-load net {conc.itm(8)} -attr vt d
-load net {conc.itm(9)} -attr vt d
-load net {conc.itm(10)} -attr vt d
-load netBundle {conc.itm} 11 {conc.itm(0)} {conc.itm(1)} {conc.itm(2)} {conc.itm(3)} {conc.itm(4)} {conc.itm(5)} {conc.itm(6)} {conc.itm(7)} {conc.itm(8)} {conc.itm(9)} {conc.itm(10)} -attr xrf 14166 -attr oid 39 -attr vt d -attr @path {/sobel/sobel:core/conc.itm}
-load net {conc#50.itm(0)} -attr vt d
-load net {conc#50.itm(1)} -attr vt d
-load net {conc#50.itm(2)} -attr vt d
-load net {conc#50.itm(3)} -attr vt d
-load net {conc#50.itm(4)} -attr vt d
-load net {conc#50.itm(5)} -attr vt d
-load net {conc#50.itm(6)} -attr vt d
-load net {conc#50.itm(7)} -attr vt d
-load net {conc#50.itm(8)} -attr vt d
-load net {conc#50.itm(9)} -attr vt d
-load net {conc#50.itm(10)} -attr vt d
-load netBundle {conc#50.itm} 11 {conc#50.itm(0)} {conc#50.itm(1)} {conc#50.itm(2)} {conc#50.itm(3)} {conc#50.itm(4)} {conc#50.itm(5)} {conc#50.itm(6)} {conc#50.itm(7)} {conc#50.itm(8)} {conc#50.itm(9)} {conc#50.itm(10)} -attr xrf 14167 -attr oid 40 -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:acc#40.itm(0)} -attr vt d
-load net {ACC1:acc#40.itm(1)} -attr vt d
-load net {ACC1:acc#40.itm(2)} -attr vt d
-load net {ACC1:acc#40.itm(3)} -attr vt d
-load net {ACC1:acc#40.itm(4)} -attr vt d
-load net {ACC1:acc#40.itm(5)} -attr vt d
-load net {ACC1:acc#40.itm(6)} -attr vt d
-load net {ACC1:acc#40.itm(7)} -attr vt d
-load net {ACC1:acc#40.itm(8)} -attr vt d
-load net {ACC1:acc#40.itm(9)} -attr vt d
-load net {ACC1:acc#40.itm(10)} -attr vt d
-load net {ACC1:acc#40.itm(11)} -attr vt d
-load netBundle {ACC1:acc#40.itm} 12 {ACC1:acc#40.itm(0)} {ACC1:acc#40.itm(1)} {ACC1:acc#40.itm(2)} {ACC1:acc#40.itm(3)} {ACC1:acc#40.itm(4)} {ACC1:acc#40.itm(5)} {ACC1:acc#40.itm(6)} {ACC1:acc#40.itm(7)} {ACC1:acc#40.itm(8)} {ACC1:acc#40.itm(9)} {ACC1:acc#40.itm(10)} {ACC1:acc#40.itm(11)} -attr xrf 14168 -attr oid 41 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:slc#2.itm(0)} -attr vt d
-load net {ACC1:slc#2.itm(1)} -attr vt d
-load net {ACC1:slc#2.itm(2)} -attr vt d
-load net {ACC1:slc#2.itm(3)} -attr vt d
-load net {ACC1:slc#2.itm(4)} -attr vt d
-load net {ACC1:slc#2.itm(5)} -attr vt d
-load net {ACC1:slc#2.itm(6)} -attr vt d
-load net {ACC1:slc#2.itm(7)} -attr vt d
-load net {ACC1:slc#2.itm(8)} -attr vt d
-load net {ACC1:slc#2.itm(9)} -attr vt d
-load net {ACC1:slc#2.itm(10)} -attr vt d
-load netBundle {ACC1:slc#2.itm} 11 {ACC1:slc#2.itm(0)} {ACC1:slc#2.itm(1)} {ACC1:slc#2.itm(2)} {ACC1:slc#2.itm(3)} {ACC1:slc#2.itm(4)} {ACC1:slc#2.itm(5)} {ACC1:slc#2.itm(6)} {ACC1:slc#2.itm(7)} {ACC1:slc#2.itm(8)} {ACC1:slc#2.itm(9)} {ACC1:slc#2.itm(10)} -attr xrf 14169 -attr oid 42 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(0)} -attr vt d
-load net {ACC1:acc#35.itm(1)} -attr vt d
-load net {ACC1:acc#35.itm(2)} -attr vt d
-load net {ACC1:acc#35.itm(3)} -attr vt d
-load net {ACC1:acc#35.itm(4)} -attr vt d
-load net {ACC1:acc#35.itm(5)} -attr vt d
-load net {ACC1:acc#35.itm(6)} -attr vt d
-load net {ACC1:acc#35.itm(7)} -attr vt d
-load net {ACC1:acc#35.itm(8)} -attr vt d
-load net {ACC1:acc#35.itm(9)} -attr vt d
-load net {ACC1:acc#35.itm(10)} -attr vt d
-load net {ACC1:acc#35.itm(11)} -attr vt d
-load netBundle {ACC1:acc#35.itm} 12 {ACC1:acc#35.itm(0)} {ACC1:acc#35.itm(1)} {ACC1:acc#35.itm(2)} {ACC1:acc#35.itm(3)} {ACC1:acc#35.itm(4)} {ACC1:acc#35.itm(5)} {ACC1:acc#35.itm(6)} {ACC1:acc#35.itm(7)} {ACC1:acc#35.itm(8)} {ACC1:acc#35.itm(9)} {ACC1:acc#35.itm(10)} {ACC1:acc#35.itm(11)} -attr xrf 14170 -attr oid 43 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {conc#51.itm(0)} -attr vt d
-load net {conc#51.itm(1)} -attr vt d
-load net {conc#51.itm(2)} -attr vt d
-load net {conc#51.itm(3)} -attr vt d
-load net {conc#51.itm(4)} -attr vt d
-load net {conc#51.itm(5)} -attr vt d
-load net {conc#51.itm(6)} -attr vt d
-load net {conc#51.itm(7)} -attr vt d
-load net {conc#51.itm(8)} -attr vt d
-load net {conc#51.itm(9)} -attr vt d
-load net {conc#51.itm(10)} -attr vt d
-load netBundle {conc#51.itm} 11 {conc#51.itm(0)} {conc#51.itm(1)} {conc#51.itm(2)} {conc#51.itm(3)} {conc#51.itm(4)} {conc#51.itm(5)} {conc#51.itm(6)} {conc#51.itm(7)} {conc#51.itm(8)} {conc#51.itm(9)} {conc#51.itm(10)} -attr xrf 14171 -attr oid 44 -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {conc#52.itm(0)} -attr vt d
-load net {conc#52.itm(1)} -attr vt d
-load net {conc#52.itm(2)} -attr vt d
-load net {conc#52.itm(3)} -attr vt d
-load net {conc#52.itm(4)} -attr vt d
-load net {conc#52.itm(5)} -attr vt d
-load net {conc#52.itm(6)} -attr vt d
-load net {conc#52.itm(7)} -attr vt d
-load net {conc#52.itm(8)} -attr vt d
-load net {conc#52.itm(9)} -attr vt d
-load net {conc#52.itm(10)} -attr vt d
-load netBundle {conc#52.itm} 11 {conc#52.itm(0)} {conc#52.itm(1)} {conc#52.itm(2)} {conc#52.itm(3)} {conc#52.itm(4)} {conc#52.itm(5)} {conc#52.itm(6)} {conc#52.itm(7)} {conc#52.itm(8)} {conc#52.itm(9)} {conc#52.itm(10)} -attr xrf 14172 -attr oid 45 -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(0)} -attr vt d
-load net {ACC1:not.itm(1)} -attr vt d
-load net {ACC1:not.itm(2)} -attr vt d
-load net {ACC1:not.itm(3)} -attr vt d
-load net {ACC1:not.itm(4)} -attr vt d
-load net {ACC1:not.itm(5)} -attr vt d
-load net {ACC1:not.itm(6)} -attr vt d
-load net {ACC1:not.itm(7)} -attr vt d
-load net {ACC1:not.itm(8)} -attr vt d
-load net {ACC1:not.itm(9)} -attr vt d
-load netBundle {ACC1:not.itm} 10 {ACC1:not.itm(0)} {ACC1:not.itm(1)} {ACC1:not.itm(2)} {ACC1:not.itm(3)} {ACC1:not.itm(4)} {ACC1:not.itm(5)} {ACC1:not.itm(6)} {ACC1:not.itm(7)} {ACC1:not.itm(8)} {ACC1:not.itm(9)} -attr xrf 14173 -attr oid 46 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:slc#1.itm(0)} -attr vt d
-load net {ACC1:slc#1.itm(1)} -attr vt d
-load net {ACC1:slc#1.itm(2)} -attr vt d
-load net {ACC1:slc#1.itm(3)} -attr vt d
-load net {ACC1:slc#1.itm(4)} -attr vt d
-load net {ACC1:slc#1.itm(5)} -attr vt d
-load net {ACC1:slc#1.itm(6)} -attr vt d
-load net {ACC1:slc#1.itm(7)} -attr vt d
-load net {ACC1:slc#1.itm(8)} -attr vt d
-load net {ACC1:slc#1.itm(9)} -attr vt d
-load net {ACC1:slc#1.itm(10)} -attr vt d
-load netBundle {ACC1:slc#1.itm} 11 {ACC1:slc#1.itm(0)} {ACC1:slc#1.itm(1)} {ACC1:slc#1.itm(2)} {ACC1:slc#1.itm(3)} {ACC1:slc#1.itm(4)} {ACC1:slc#1.itm(5)} {ACC1:slc#1.itm(6)} {ACC1:slc#1.itm(7)} {ACC1:slc#1.itm(8)} {ACC1:slc#1.itm(9)} {ACC1:slc#1.itm(10)} -attr xrf 14174 -attr oid 47 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(0)} -attr vt d
-load net {ACC1:acc#34.itm(1)} -attr vt d
-load net {ACC1:acc#34.itm(2)} -attr vt d
-load net {ACC1:acc#34.itm(3)} -attr vt d
-load net {ACC1:acc#34.itm(4)} -attr vt d
-load net {ACC1:acc#34.itm(5)} -attr vt d
-load net {ACC1:acc#34.itm(6)} -attr vt d
-load net {ACC1:acc#34.itm(7)} -attr vt d
-load net {ACC1:acc#34.itm(8)} -attr vt d
-load net {ACC1:acc#34.itm(9)} -attr vt d
-load net {ACC1:acc#34.itm(10)} -attr vt d
-load net {ACC1:acc#34.itm(11)} -attr vt d
-load netBundle {ACC1:acc#34.itm} 12 {ACC1:acc#34.itm(0)} {ACC1:acc#34.itm(1)} {ACC1:acc#34.itm(2)} {ACC1:acc#34.itm(3)} {ACC1:acc#34.itm(4)} {ACC1:acc#34.itm(5)} {ACC1:acc#34.itm(6)} {ACC1:acc#34.itm(7)} {ACC1:acc#34.itm(8)} {ACC1:acc#34.itm(9)} {ACC1:acc#34.itm(10)} {ACC1:acc#34.itm(11)} -attr xrf 14175 -attr oid 48 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {conc#53.itm(0)} -attr vt d
-load net {conc#53.itm(1)} -attr vt d
-load net {conc#53.itm(2)} -attr vt d
-load net {conc#53.itm(3)} -attr vt d
-load net {conc#53.itm(4)} -attr vt d
-load net {conc#53.itm(5)} -attr vt d
-load net {conc#53.itm(6)} -attr vt d
-load net {conc#53.itm(7)} -attr vt d
-load net {conc#53.itm(8)} -attr vt d
-load net {conc#53.itm(9)} -attr vt d
-load net {conc#53.itm(10)} -attr vt d
-load netBundle {conc#53.itm} 11 {conc#53.itm(0)} {conc#53.itm(1)} {conc#53.itm(2)} {conc#53.itm(3)} {conc#53.itm(4)} {conc#53.itm(5)} {conc#53.itm(6)} {conc#53.itm(7)} {conc#53.itm(8)} {conc#53.itm(9)} {conc#53.itm(10)} -attr xrf 14176 -attr oid 49 -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(0)} -attr vt d
-load net {ACC1:not#9.itm(1)} -attr vt d
-load net {ACC1:not#9.itm(2)} -attr vt d
-load net {ACC1:not#9.itm(3)} -attr vt d
-load net {ACC1:not#9.itm(4)} -attr vt d
-load net {ACC1:not#9.itm(5)} -attr vt d
-load net {ACC1:not#9.itm(6)} -attr vt d
-load net {ACC1:not#9.itm(7)} -attr vt d
-load net {ACC1:not#9.itm(8)} -attr vt d
-load net {ACC1:not#9.itm(9)} -attr vt d
-load netBundle {ACC1:not#9.itm} 10 {ACC1:not#9.itm(0)} {ACC1:not#9.itm(1)} {ACC1:not#9.itm(2)} {ACC1:not#9.itm(3)} {ACC1:not#9.itm(4)} {ACC1:not#9.itm(5)} {ACC1:not#9.itm(6)} {ACC1:not#9.itm(7)} {ACC1:not#9.itm(8)} {ACC1:not#9.itm(9)} -attr xrf 14177 -attr oid 50 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {conc#54.itm(0)} -attr vt d
-load net {conc#54.itm(1)} -attr vt d
-load net {conc#54.itm(2)} -attr vt d
-load net {conc#54.itm(3)} -attr vt d
-load net {conc#54.itm(4)} -attr vt d
-load net {conc#54.itm(5)} -attr vt d
-load net {conc#54.itm(6)} -attr vt d
-load net {conc#54.itm(7)} -attr vt d
-load net {conc#54.itm(8)} -attr vt d
-load net {conc#54.itm(9)} -attr vt d
-load net {conc#54.itm(10)} -attr vt d
-load netBundle {conc#54.itm} 11 {conc#54.itm(0)} {conc#54.itm(1)} {conc#54.itm(2)} {conc#54.itm(3)} {conc#54.itm(4)} {conc#54.itm(5)} {conc#54.itm(6)} {conc#54.itm(7)} {conc#54.itm(8)} {conc#54.itm(9)} {conc#54.itm(10)} -attr xrf 14178 -attr oid 51 -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(0)} -attr vt d
-load net {ACC1:not#10.itm(1)} -attr vt d
-load net {ACC1:not#10.itm(2)} -attr vt d
-load net {ACC1:not#10.itm(3)} -attr vt d
-load net {ACC1:not#10.itm(4)} -attr vt d
-load net {ACC1:not#10.itm(5)} -attr vt d
-load net {ACC1:not#10.itm(6)} -attr vt d
-load net {ACC1:not#10.itm(7)} -attr vt d
-load net {ACC1:not#10.itm(8)} -attr vt d
-load net {ACC1:not#10.itm(9)} -attr vt d
-load netBundle {ACC1:not#10.itm} 10 {ACC1:not#10.itm(0)} {ACC1:not#10.itm(1)} {ACC1:not#10.itm(2)} {ACC1:not#10.itm(3)} {ACC1:not#10.itm(4)} {ACC1:not#10.itm(5)} {ACC1:not#10.itm(6)} {ACC1:not#10.itm(7)} {ACC1:not#10.itm(8)} {ACC1:not#10.itm(9)} -attr xrf 14179 -attr oid 52 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:acc#42.itm(0)} -attr vt d
-load net {ACC1:acc#42.itm(1)} -attr vt d
-load net {ACC1:acc#42.itm(2)} -attr vt d
-load net {ACC1:acc#42.itm(3)} -attr vt d
-load net {ACC1:acc#42.itm(4)} -attr vt d
-load net {ACC1:acc#42.itm(5)} -attr vt d
-load net {ACC1:acc#42.itm(6)} -attr vt d
-load net {ACC1:acc#42.itm(7)} -attr vt d
-load net {ACC1:acc#42.itm(8)} -attr vt d
-load net {ACC1:acc#42.itm(9)} -attr vt d
-load net {ACC1:acc#42.itm(10)} -attr vt d
-load net {ACC1:acc#42.itm(11)} -attr vt d
-load netBundle {ACC1:acc#42.itm} 12 {ACC1:acc#42.itm(0)} {ACC1:acc#42.itm(1)} {ACC1:acc#42.itm(2)} {ACC1:acc#42.itm(3)} {ACC1:acc#42.itm(4)} {ACC1:acc#42.itm(5)} {ACC1:acc#42.itm(6)} {ACC1:acc#42.itm(7)} {ACC1:acc#42.itm(8)} {ACC1:acc#42.itm(9)} {ACC1:acc#42.itm(10)} {ACC1:acc#42.itm(11)} -attr xrf 14180 -attr oid 53 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#39.itm(0)} -attr vt d
-load net {ACC1:acc#39.itm(1)} -attr vt d
-load net {ACC1:acc#39.itm(2)} -attr vt d
-load net {ACC1:acc#39.itm(3)} -attr vt d
-load net {ACC1:acc#39.itm(4)} -attr vt d
-load net {ACC1:acc#39.itm(5)} -attr vt d
-load net {ACC1:acc#39.itm(6)} -attr vt d
-load net {ACC1:acc#39.itm(7)} -attr vt d
-load net {ACC1:acc#39.itm(8)} -attr vt d
-load net {ACC1:acc#39.itm(9)} -attr vt d
-load net {ACC1:acc#39.itm(10)} -attr vt d
-load netBundle {ACC1:acc#39.itm} 11 {ACC1:acc#39.itm(0)} {ACC1:acc#39.itm(1)} {ACC1:acc#39.itm(2)} {ACC1:acc#39.itm(3)} {ACC1:acc#39.itm(4)} {ACC1:acc#39.itm(5)} {ACC1:acc#39.itm(6)} {ACC1:acc#39.itm(7)} {ACC1:acc#39.itm(8)} {ACC1:acc#39.itm(9)} {ACC1:acc#39.itm(10)} -attr xrf 14181 -attr oid 54 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {slc(regs.regs(0).sva#3).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#3).itm} 10 {slc(regs.regs(0).sva#3).itm(0)} {slc(regs.regs(0).sva#3).itm(1)} {slc(regs.regs(0).sva#3).itm(2)} {slc(regs.regs(0).sva#3).itm(3)} {slc(regs.regs(0).sva#3).itm(4)} {slc(regs.regs(0).sva#3).itm(5)} {slc(regs.regs(0).sva#3).itm(6)} {slc(regs.regs(0).sva#3).itm(7)} {slc(regs.regs(0).sva#3).itm(8)} {slc(regs.regs(0).sva#3).itm(9)} -attr xrf 14182 -attr oid 55 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {slc(regs.regs(0).sva#4).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#4).itm} 10 {slc(regs.regs(0).sva#4).itm(0)} {slc(regs.regs(0).sva#4).itm(1)} {slc(regs.regs(0).sva#4).itm(2)} {slc(regs.regs(0).sva#4).itm(3)} {slc(regs.regs(0).sva#4).itm(4)} {slc(regs.regs(0).sva#4).itm(5)} {slc(regs.regs(0).sva#4).itm(6)} {slc(regs.regs(0).sva#4).itm(7)} {slc(regs.regs(0).sva#4).itm(8)} {slc(regs.regs(0).sva#4).itm(9)} -attr xrf 14183 -attr oid 56 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {ACC1:acc#38.itm(0)} -attr vt d
-load net {ACC1:acc#38.itm(1)} -attr vt d
-load net {ACC1:acc#38.itm(2)} -attr vt d
-load net {ACC1:acc#38.itm(3)} -attr vt d
-load net {ACC1:acc#38.itm(4)} -attr vt d
-load net {ACC1:acc#38.itm(5)} -attr vt d
-load net {ACC1:acc#38.itm(6)} -attr vt d
-load net {ACC1:acc#38.itm(7)} -attr vt d
-load net {ACC1:acc#38.itm(8)} -attr vt d
-load net {ACC1:acc#38.itm(9)} -attr vt d
-load net {ACC1:acc#38.itm(10)} -attr vt d
-load netBundle {ACC1:acc#38.itm} 11 {ACC1:acc#38.itm(0)} {ACC1:acc#38.itm(1)} {ACC1:acc#38.itm(2)} {ACC1:acc#38.itm(3)} {ACC1:acc#38.itm(4)} {ACC1:acc#38.itm(5)} {ACC1:acc#38.itm(6)} {ACC1:acc#38.itm(7)} {ACC1:acc#38.itm(8)} {ACC1:acc#38.itm(9)} {ACC1:acc#38.itm(10)} -attr xrf 14184 -attr oid 57 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {slc(regs.regs(0).sva#5).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#5).itm} 10 {slc(regs.regs(0).sva#5).itm(0)} {slc(regs.regs(0).sva#5).itm(1)} {slc(regs.regs(0).sva#5).itm(2)} {slc(regs.regs(0).sva#5).itm(3)} {slc(regs.regs(0).sva#5).itm(4)} {slc(regs.regs(0).sva#5).itm(5)} {slc(regs.regs(0).sva#5).itm(6)} {slc(regs.regs(0).sva#5).itm(7)} {slc(regs.regs(0).sva#5).itm(8)} {slc(regs.regs(0).sva#5).itm(9)} -attr xrf 14185 -attr oid 58 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {slc(regs.regs(0).sva#6).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#6).itm} 10 {slc(regs.regs(0).sva#6).itm(0)} {slc(regs.regs(0).sva#6).itm(1)} {slc(regs.regs(0).sva#6).itm(2)} {slc(regs.regs(0).sva#6).itm(3)} {slc(regs.regs(0).sva#6).itm(4)} {slc(regs.regs(0).sva#6).itm(5)} {slc(regs.regs(0).sva#6).itm(6)} {slc(regs.regs(0).sva#6).itm(7)} {slc(regs.regs(0).sva#6).itm(8)} {slc(regs.regs(0).sva#6).itm(9)} -attr xrf 14186 -attr oid 59 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {FRAME:mul#3.itm(0)} -attr vt d
-load net {FRAME:mul#3.itm(1)} -attr vt d
-load net {FRAME:mul#3.itm(2)} -attr vt d
-load net {FRAME:mul#3.itm(3)} -attr vt d
-load net {FRAME:mul#3.itm(4)} -attr vt d
-load net {FRAME:mul#3.itm(5)} -attr vt d
-load net {FRAME:mul#3.itm(6)} -attr vt d
-load net {FRAME:mul#3.itm(7)} -attr vt d
-load net {FRAME:mul#3.itm(8)} -attr vt d
-load netBundle {FRAME:mul#3.itm} 9 {FRAME:mul#3.itm(0)} {FRAME:mul#3.itm(1)} {FRAME:mul#3.itm(2)} {FRAME:mul#3.itm(3)} {FRAME:mul#3.itm(4)} {FRAME:mul#3.itm(5)} {FRAME:mul#3.itm(6)} {FRAME:mul#3.itm(7)} {FRAME:mul#3.itm(8)} -attr xrf 14187 -attr oid 60 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {slc(ACC1:slc.psp.sva)#1.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#1.itm(1)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#1.itm(2)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#1.itm(3)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#1.itm} 4 {slc(ACC1:slc.psp.sva)#1.itm(0)} {slc(ACC1:slc.psp.sva)#1.itm(1)} {slc(ACC1:slc.psp.sva)#1.itm(2)} {slc(ACC1:slc.psp.sva)#1.itm(3)} -attr xrf 14188 -attr oid 61 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
-load net {FRAME:acc#21.itm(0)} -attr vt d
-load net {FRAME:acc#21.itm(1)} -attr vt d
-load net {FRAME:acc#21.itm(2)} -attr vt d
-load net {FRAME:acc#21.itm(3)} -attr vt d
-load net {FRAME:acc#21.itm(4)} -attr vt d
-load net {FRAME:acc#21.itm(5)} -attr vt d
-load netBundle {FRAME:acc#21.itm} 6 {FRAME:acc#21.itm(0)} {FRAME:acc#21.itm(1)} {FRAME:acc#21.itm(2)} {FRAME:acc#21.itm(3)} {FRAME:acc#21.itm(4)} {FRAME:acc#21.itm(5)} -attr xrf 14189 -attr oid 62 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#20.itm(0)} -attr vt d
-load net {FRAME:acc#20.itm(1)} -attr vt d
-load net {FRAME:acc#20.itm(2)} -attr vt d
-load net {FRAME:acc#20.itm(3)} -attr vt d
-load netBundle {FRAME:acc#20.itm} 4 {FRAME:acc#20.itm(0)} {FRAME:acc#20.itm(1)} {FRAME:acc#20.itm(2)} {FRAME:acc#20.itm(3)} -attr xrf 14190 -attr oid 63 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#19.itm(0)} -attr vt d
-load net {FRAME:acc#19.itm(1)} -attr vt d
-load net {FRAME:acc#19.itm(2)} -attr vt d
-load netBundle {FRAME:acc#19.itm} 3 {FRAME:acc#19.itm(0)} {FRAME:acc#19.itm(1)} {FRAME:acc#19.itm(2)} -attr xrf 14191 -attr oid 64 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#17.itm(0)} -attr vt d
-load net {FRAME:acc#17.itm(1)} -attr vt d
-load netBundle {FRAME:acc#17.itm} 2 {FRAME:acc#17.itm(0)} {FRAME:acc#17.itm(1)} -attr xrf 14192 -attr oid 65 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {slc(ACC1:slc.psp.sva)#20.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#20.itm(1)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#20.itm} 2 {slc(ACC1:slc.psp.sva)#20.itm(0)} {slc(ACC1:slc.psp.sva)#20.itm(1)} -attr xrf 14193 -attr oid 66 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#20.itm}
-load net {FRAME:acc#18.itm(0)} -attr vt d
-load net {FRAME:acc#18.itm(1)} -attr vt d
-load net {FRAME:acc#18.itm(2)} -attr vt d
-load netBundle {FRAME:acc#18.itm} 3 {FRAME:acc#18.itm(0)} {FRAME:acc#18.itm(1)} {FRAME:acc#18.itm(2)} -attr xrf 14194 -attr oid 67 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {slc(acc.imod.sva)#6.itm(0)} -attr vt d
-load net {slc(acc.imod.sva)#6.itm(1)} -attr vt d
-load netBundle {slc(acc.imod.sva)#6.itm} 2 {slc(acc.imod.sva)#6.itm(0)} {slc(acc.imod.sva)#6.itm(1)} -attr xrf 14195 -attr oid 68 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#6.itm}
-load net {FRAME:acc#16.itm(0)} -attr vt d
-load net {FRAME:acc#16.itm(1)} -attr vt d
-load net {FRAME:acc#16.itm(2)} -attr vt d
-load net {FRAME:acc#16.itm(3)} -attr vt d
-load net {FRAME:acc#16.itm(4)} -attr vt d
-load netBundle {FRAME:acc#16.itm} 5 {FRAME:acc#16.itm(0)} {FRAME:acc#16.itm(1)} {FRAME:acc#16.itm(2)} {FRAME:acc#16.itm(3)} {FRAME:acc#16.itm(4)} -attr xrf 14196 -attr oid 69 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {conc#55.itm(0)} -attr vt d
-load net {conc#55.itm(1)} -attr vt d
-load net {conc#55.itm(2)} -attr vt d
-load net {conc#55.itm(3)} -attr vt d
-load net {conc#55.itm(4)} -attr vt d
-load netBundle {conc#55.itm} 5 {conc#55.itm(0)} {conc#55.itm(1)} {conc#55.itm(2)} {conc#55.itm(3)} {conc#55.itm(4)} -attr xrf 14197 -attr oid 70 -attr vt d -attr @path {/sobel/sobel:core/conc#55.itm}
-load net {slc(acc.imod.sva)#4.itm(0)} -attr vt d
-load net {slc(acc.imod.sva)#4.itm(1)} -attr vt d
-load net {slc(acc.imod.sva)#4.itm(2)} -attr vt d
-load net {slc(acc.imod.sva)#4.itm(3)} -attr vt d
-load netBundle {slc(acc.imod.sva)#4.itm} 4 {slc(acc.imod.sva)#4.itm(0)} {slc(acc.imod.sva)#4.itm(1)} {slc(acc.imod.sva)#4.itm(2)} {slc(acc.imod.sva)#4.itm(3)} -attr xrf 14198 -attr oid 71 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#4.itm}
-load net {FRAME:conc#30.itm(0)} -attr vt d
-load net {FRAME:conc#30.itm(1)} -attr vt d
-load net {FRAME:conc#30.itm(2)} -attr vt d
-load net {FRAME:conc#30.itm(3)} -attr vt d
-load net {FRAME:conc#30.itm(4)} -attr vt d
-load netBundle {FRAME:conc#30.itm} 5 {FRAME:conc#30.itm(0)} {FRAME:conc#30.itm(1)} {FRAME:conc#30.itm(2)} {FRAME:conc#30.itm(3)} {FRAME:conc#30.itm(4)} -attr xrf 14199 -attr oid 72 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#30.itm}
-load net {FRAME:acc#32.itm(0)} -attr vt d
-load net {FRAME:acc#32.itm(1)} -attr vt d
-load net {FRAME:acc#32.itm(2)} -attr vt d
-load netBundle {FRAME:acc#32.itm} 3 {FRAME:acc#32.itm(0)} {FRAME:acc#32.itm(1)} {FRAME:acc#32.itm(2)} -attr xrf 14200 -attr oid 73 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
-load net {slc(acc.imod.sva)#7.itm(0)} -attr vt d
-load net {slc(acc.imod.sva)#7.itm(1)} -attr vt d
-load netBundle {slc(acc.imod.sva)#7.itm} 2 {slc(acc.imod.sva)#7.itm(0)} {slc(acc.imod.sva)#7.itm(1)} -attr xrf 14201 -attr oid 74 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#7.itm}
-load net {slc(acc.imod.sva)#3.itm(0)} -attr vt d
-load net {slc(acc.imod.sva)#3.itm(1)} -attr vt d
-load netBundle {slc(acc.imod.sva)#3.itm} 2 {slc(acc.imod.sva)#3.itm(0)} {slc(acc.imod.sva)#3.itm(1)} -attr xrf 14202 -attr oid 75 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#3.itm}
-load net {slc(ACC1:slc.psp.sva)#4.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#4.itm(1)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#4.itm(2)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#4.itm(3)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#4.itm} 4 {slc(ACC1:slc.psp.sva)#4.itm(0)} {slc(ACC1:slc.psp.sva)#4.itm(1)} {slc(ACC1:slc.psp.sva)#4.itm(2)} {slc(ACC1:slc.psp.sva)#4.itm(3)} -attr xrf 14203 -attr oid 76 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#4.itm}
-load net {FRAME:acc#14.itm(0)} -attr vt d
-load net {FRAME:acc#14.itm(1)} -attr vt d
-load net {FRAME:acc#14.itm(2)} -attr vt d
-load net {FRAME:acc#14.itm(3)} -attr vt d
-load net {FRAME:acc#14.itm(4)} -attr vt d
-load net {FRAME:acc#14.itm(5)} -attr vt d
-load net {FRAME:acc#14.itm(6)} -attr vt d
-load netBundle {FRAME:acc#14.itm} 7 {FRAME:acc#14.itm(0)} {FRAME:acc#14.itm(1)} {FRAME:acc#14.itm(2)} {FRAME:acc#14.itm(3)} {FRAME:acc#14.itm(4)} {FRAME:acc#14.itm(5)} {FRAME:acc#14.itm(6)} -attr xrf 14204 -attr oid 77 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#13.itm(0)} -attr vt d
-load net {FRAME:acc#13.itm(1)} -attr vt d
-load net {FRAME:acc#13.itm(2)} -attr vt d
-load net {FRAME:acc#13.itm(3)} -attr vt d
-load net {FRAME:acc#13.itm(4)} -attr vt d
-load net {FRAME:acc#13.itm(5)} -attr vt d
-load netBundle {FRAME:acc#13.itm} 6 {FRAME:acc#13.itm(0)} {FRAME:acc#13.itm(1)} {FRAME:acc#13.itm(2)} {FRAME:acc#13.itm(3)} {FRAME:acc#13.itm(4)} {FRAME:acc#13.itm(5)} -attr xrf 14205 -attr oid 78 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#11.itm(0)} -attr vt d
-load net {FRAME:acc#11.itm(1)} -attr vt d
-load net {FRAME:acc#11.itm(2)} -attr vt d
-load net {FRAME:acc#11.itm(3)} -attr vt d
-load net {FRAME:acc#11.itm(4)} -attr vt d
-load netBundle {FRAME:acc#11.itm} 5 {FRAME:acc#11.itm(0)} {FRAME:acc#11.itm(1)} {FRAME:acc#11.itm(2)} {FRAME:acc#11.itm(3)} {FRAME:acc#11.itm(4)} -attr xrf 14206 -attr oid 79 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:conc#27.itm(0)} -attr vt d
-load net {FRAME:conc#27.itm(1)} -attr vt d
-load net {FRAME:conc#27.itm(2)} -attr vt d
-load net {FRAME:conc#27.itm(3)} -attr vt d
-load netBundle {FRAME:conc#27.itm} 4 {FRAME:conc#27.itm(0)} {FRAME:conc#27.itm(1)} {FRAME:conc#27.itm(2)} {FRAME:conc#27.itm(3)} -attr xrf 14207 -attr oid 80 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#27.itm}
-load net {slc(ACC1:slc.psp.sva)#16.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#16.itm(1)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#16.itm} 2 {slc(ACC1:slc.psp.sva)#16.itm(0)} {slc(ACC1:slc.psp.sva)#16.itm(1)} -attr xrf 14208 -attr oid 81 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#16.itm}
-load net {slc(ACC1:slc.psp.sva)#5.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#5.itm(1)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#5.itm} 2 {slc(ACC1:slc.psp.sva)#5.itm(0)} {slc(ACC1:slc.psp.sva)#5.itm(1)} -attr xrf 14209 -attr oid 82 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#5.itm}
-load net {FRAME:conc#29.itm(0)} -attr vt d
-load net {FRAME:conc#29.itm(1)} -attr vt d
-load net {FRAME:conc#29.itm(2)} -attr vt d
-load netBundle {FRAME:conc#29.itm} 3 {FRAME:conc#29.itm(0)} {FRAME:conc#29.itm(1)} {FRAME:conc#29.itm(2)} -attr xrf 14210 -attr oid 83 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
-load net {FRAME:acc#31.itm(0)} -attr vt d
-load net {FRAME:acc#31.itm(1)} -attr vt d
-load netBundle {FRAME:acc#31.itm} 2 {FRAME:acc#31.itm(0)} {FRAME:acc#31.itm(1)} -attr xrf 14211 -attr oid 84 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
-load net {conc#56.itm(0)} -attr vt d
-load net {conc#56.itm(1)} -attr vt d
-load net {conc#56.itm(2)} -attr vt d
-load net {conc#56.itm(3)} -attr vt d
-load net {conc#56.itm(4)} -attr vt d
-load netBundle {conc#56.itm} 5 {conc#56.itm(0)} {conc#56.itm(1)} {conc#56.itm(2)} {conc#56.itm(3)} {conc#56.itm(4)} -attr xrf 14212 -attr oid 85 -attr vt d -attr @path {/sobel/sobel:core/conc#56.itm}
-load net {FRAME:acc#12.itm(0)} -attr vt d
-load net {FRAME:acc#12.itm(1)} -attr vt d
-load net {FRAME:acc#12.itm(2)} -attr vt d
-load net {FRAME:acc#12.itm(3)} -attr vt d
-load net {FRAME:acc#12.itm(4)} -attr vt d
-load net {FRAME:acc#12.itm(5)} -attr vt d
-load netBundle {FRAME:acc#12.itm} 6 {FRAME:acc#12.itm(0)} {FRAME:acc#12.itm(1)} {FRAME:acc#12.itm(2)} {FRAME:acc#12.itm(3)} {FRAME:acc#12.itm(4)} {FRAME:acc#12.itm(5)} -attr xrf 14213 -attr oid 86 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:conc#28.itm(0)} -attr vt d
-load net {FRAME:conc#28.itm(1)} -attr vt d
-load net {FRAME:conc#28.itm(2)} -attr vt d
-load net {FRAME:conc#28.itm(3)} -attr vt d
-load net {FRAME:conc#28.itm(4)} -attr vt d
-load netBundle {FRAME:conc#28.itm} 5 {FRAME:conc#28.itm(0)} {FRAME:conc#28.itm(1)} {FRAME:conc#28.itm(2)} {FRAME:conc#28.itm(3)} {FRAME:conc#28.itm(4)} -attr xrf 14214 -attr oid 87 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#28.itm}
-load net {FRAME:not#20.itm(0)} -attr vt d
-load net {FRAME:not#20.itm(1)} -attr vt d
-load netBundle {FRAME:not#20.itm} 2 {FRAME:not#20.itm(0)} {FRAME:not#20.itm(1)} -attr xrf 14215 -attr oid 88 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#20.itm}
-load net {slc(ACC1:slc.psp.sva)#3.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#3.itm(1)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#3.itm} 2 {slc(ACC1:slc.psp.sva)#3.itm(0)} {slc(ACC1:slc.psp.sva)#3.itm(1)} -attr xrf 14216 -attr oid 89 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
-load net {FRAME:not#21.itm(0)} -attr vt d
-load net {FRAME:not#21.itm(1)} -attr vt d
-load net {FRAME:not#21.itm(2)} -attr vt d
-load netBundle {FRAME:not#21.itm} 3 {FRAME:not#21.itm(0)} {FRAME:not#21.itm(1)} {FRAME:not#21.itm(2)} -attr xrf 14217 -attr oid 90 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
-load net {slc(ACC1:slc.psp.sva)#8.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#8.itm(1)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#8.itm(2)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#8.itm} 3 {slc(ACC1:slc.psp.sva)#8.itm(0)} {slc(ACC1:slc.psp.sva)#8.itm(1)} {slc(ACC1:slc.psp.sva)#8.itm(2)} -attr xrf 14218 -attr oid 91 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
-load net {slc(ACC1:slc.psp.sva)#9.itm(0)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#9.itm(1)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#9.itm(2)} -attr vt d
-load net {slc(ACC1:slc.psp.sva)#9.itm(3)} -attr vt d
-load netBundle {slc(ACC1:slc.psp.sva)#9.itm} 4 {slc(ACC1:slc.psp.sva)#9.itm(0)} {slc(ACC1:slc.psp.sva)#9.itm(1)} {slc(ACC1:slc.psp.sva)#9.itm(2)} {slc(ACC1:slc.psp.sva)#9.itm(3)} -attr xrf 14219 -attr oid 92 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#9.itm}
-load net {FRAME:acc#33.itm(0)} -attr vt d
-load net {FRAME:acc#33.itm(1)} -attr vt d
-load net {FRAME:acc#33.itm(2)} -attr vt d
-load net {FRAME:acc#33.itm(3)} -attr vt d
-load net {FRAME:acc#33.itm(4)} -attr vt d
-load net {FRAME:acc#33.itm(5)} -attr vt d
-load net {FRAME:acc#33.itm(6)} -attr vt d
-load net {FRAME:acc#33.itm(7)} -attr vt d
-load netBundle {FRAME:acc#33.itm} 8 {FRAME:acc#33.itm(0)} {FRAME:acc#33.itm(1)} {FRAME:acc#33.itm(2)} {FRAME:acc#33.itm(3)} {FRAME:acc#33.itm(4)} {FRAME:acc#33.itm(5)} {FRAME:acc#33.itm(6)} {FRAME:acc#33.itm(7)} -attr xrf 14220 -attr oid 93 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {conc#57.itm(0)} -attr vt d
-load net {conc#57.itm(1)} -attr vt d
-load net {conc#57.itm(2)} -attr vt d
-load net {conc#57.itm(3)} -attr vt d
-load net {conc#57.itm(4)} -attr vt d
-load net {conc#57.itm(5)} -attr vt d
-load net {conc#57.itm(6)} -attr vt d
-load netBundle {conc#57.itm} 7 {conc#57.itm(0)} {conc#57.itm(1)} {conc#57.itm(2)} {conc#57.itm(3)} {conc#57.itm(4)} {conc#57.itm(5)} {conc#57.itm(6)} -attr xrf 14221 -attr oid 94 -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {FRAME:exs#4.itm(0)} -attr vt d
-load net {FRAME:exs#4.itm(1)} -attr vt d
-load net {FRAME:exs#4.itm(2)} -attr vt d
-load net {FRAME:exs#4.itm(3)} -attr vt d
-load net {FRAME:exs#4.itm(4)} -attr vt d
-load netBundle {FRAME:exs#4.itm} 5 {FRAME:exs#4.itm(0)} {FRAME:exs#4.itm(1)} {FRAME:exs#4.itm(2)} {FRAME:exs#4.itm(3)} {FRAME:exs#4.itm(4)} -attr xrf 14222 -attr oid 95 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#4.itm}
-load net {conc#58.itm(0)} -attr vt d
-load net {conc#58.itm(1)} -attr vt d
-load net {conc#58.itm(2)} -attr vt d
-load net {conc#58.itm(3)} -attr vt d
-load net {conc#58.itm(4)} -attr vt d
-load net {conc#58.itm(5)} -attr vt d
-load netBundle {conc#58.itm} 6 {conc#58.itm(0)} {conc#58.itm(1)} {conc#58.itm(2)} {conc#58.itm(3)} {conc#58.itm(4)} {conc#58.itm(5)} -attr xrf 14223 -attr oid 96 -attr vt d -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {FRAME:exs#5.itm(0)} -attr vt d
-load net {FRAME:exs#5.itm(1)} -attr vt d
-load net {FRAME:exs#5.itm(2)} -attr vt d
-load net {FRAME:exs#5.itm(3)} -attr vt d
-load netBundle {FRAME:exs#5.itm} 4 {FRAME:exs#5.itm(0)} {FRAME:exs#5.itm(1)} {FRAME:exs#5.itm(2)} {FRAME:exs#5.itm(3)} -attr xrf 14224 -attr oid 97 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#5.itm}
-load net {FRAME:or.itm(0)} -attr vt d
-load net {FRAME:or.itm(1)} -attr vt d
-load net {FRAME:or.itm(2)} -attr vt d
-load net {FRAME:or.itm(3)} -attr vt d
-load net {FRAME:or.itm(4)} -attr vt d
-load net {FRAME:or.itm(5)} -attr vt d
-load net {FRAME:or.itm(6)} -attr vt d
-load net {FRAME:or.itm(7)} -attr vt d
-load net {FRAME:or.itm(8)} -attr vt d
-load net {FRAME:or.itm(9)} -attr vt d
-load netBundle {FRAME:or.itm} 10 {FRAME:or.itm(0)} {FRAME:or.itm(1)} {FRAME:or.itm(2)} {FRAME:or.itm(3)} {FRAME:or.itm(4)} {FRAME:or.itm(5)} {FRAME:or.itm(6)} {FRAME:or.itm(7)} {FRAME:or.itm(8)} {FRAME:or.itm(9)} -attr xrf 14225 -attr oid 98 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:acc#3.itm(0)} -attr vt d
-load net {FRAME:acc#3.itm(1)} -attr vt d
-load net {FRAME:acc#3.itm(2)} -attr vt d
-load net {FRAME:acc#3.itm(3)} -attr vt d
-load net {FRAME:acc#3.itm(4)} -attr vt d
-load net {FRAME:acc#3.itm(5)} -attr vt d
-load net {FRAME:acc#3.itm(6)} -attr vt d
-load net {FRAME:acc#3.itm(7)} -attr vt d
-load net {FRAME:acc#3.itm(8)} -attr vt d
-load net {FRAME:acc#3.itm(9)} -attr vt d
-load netBundle {FRAME:acc#3.itm} 10 {FRAME:acc#3.itm(0)} {FRAME:acc#3.itm(1)} {FRAME:acc#3.itm(2)} {FRAME:acc#3.itm(3)} {FRAME:acc#3.itm(4)} {FRAME:acc#3.itm(5)} {FRAME:acc#3.itm(6)} {FRAME:acc#3.itm(7)} {FRAME:acc#3.itm(8)} {FRAME:acc#3.itm(9)} -attr xrf 14226 -attr oid 99 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {conc#59.itm(0)} -attr vt d
-load net {conc#59.itm(1)} -attr vt d
-load net {conc#59.itm(2)} -attr vt d
-load net {conc#59.itm(3)} -attr vt d
-load net {conc#59.itm(4)} -attr vt d
-load net {conc#59.itm(5)} -attr vt d
-load net {conc#59.itm(6)} -attr vt d
-load net {conc#59.itm(7)} -attr vt d
-load net {conc#59.itm(8)} -attr vt d
-load net {conc#59.itm(9)} -attr vt d
-load netBundle {conc#59.itm} 10 {conc#59.itm(0)} {conc#59.itm(1)} {conc#59.itm(2)} {conc#59.itm(3)} {conc#59.itm(4)} {conc#59.itm(5)} {conc#59.itm(6)} {conc#59.itm(7)} {conc#59.itm(8)} {conc#59.itm(9)} -attr xrf 14227 -attr oid 100 -attr vt d -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {FRAME:or#3.itm(0)} -attr vt d
-load net {FRAME:or#3.itm(1)} -attr vt d
-load net {FRAME:or#3.itm(2)} -attr vt d
-load net {FRAME:or#3.itm(3)} -attr vt d
-load net {FRAME:or#3.itm(4)} -attr vt d
-load net {FRAME:or#3.itm(5)} -attr vt d
-load netBundle {FRAME:or#3.itm} 6 {FRAME:or#3.itm(0)} {FRAME:or#3.itm(1)} {FRAME:or#3.itm(2)} {FRAME:or#3.itm(3)} {FRAME:or#3.itm(4)} {FRAME:or#3.itm(5)} -attr xrf 14228 -attr oid 101 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(1)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(2)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(3)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(4)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(5)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#2.itm} 6 {slc(FRAME:acc#5.psp.sva)#2.itm(0)} {slc(FRAME:acc#5.psp.sva)#2.itm(1)} {slc(FRAME:acc#5.psp.sva)#2.itm(2)} {slc(FRAME:acc#5.psp.sva)#2.itm(3)} {slc(FRAME:acc#5.psp.sva)#2.itm(4)} {slc(FRAME:acc#5.psp.sva)#2.itm(5)} -attr xrf 14229 -attr oid 102 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {conc#60.itm(0)} -attr vt d
-load net {conc#60.itm(1)} -attr vt d
-load net {conc#60.itm(2)} -attr vt d
-load net {conc#60.itm(3)} -attr vt d
-load net {conc#60.itm(4)} -attr vt d
-load net {conc#60.itm(5)} -attr vt d
-load netBundle {conc#60.itm} 6 {conc#60.itm(0)} {conc#60.itm(1)} {conc#60.itm(2)} {conc#60.itm(3)} {conc#60.itm(4)} {conc#60.itm(5)} -attr xrf 14230 -attr oid 103 -attr vt d -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(1)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(2)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(3)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(4)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(5)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(6)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(7)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(8)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(9)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#3.itm} 10 {slc(FRAME:acc#5.psp.sva)#3.itm(0)} {slc(FRAME:acc#5.psp.sva)#3.itm(1)} {slc(FRAME:acc#5.psp.sva)#3.itm(2)} {slc(FRAME:acc#5.psp.sva)#3.itm(3)} {slc(FRAME:acc#5.psp.sva)#3.itm(4)} {slc(FRAME:acc#5.psp.sva)#3.itm(5)} {slc(FRAME:acc#5.psp.sva)#3.itm(6)} {slc(FRAME:acc#5.psp.sva)#3.itm(7)} {slc(FRAME:acc#5.psp.sva)#3.itm(8)} {slc(FRAME:acc#5.psp.sva)#3.itm(9)} -attr xrf 14231 -attr oid 104 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {clk} -attr xrf 14232 -attr oid 105
-load net {clk} -port {clk} -attr xrf 14233 -attr oid 106
-load net {en} -attr xrf 14234 -attr oid 107
-load net {en} -port {en} -attr xrf 14235 -attr oid 108
-load net {arst_n} -attr xrf 14236 -attr oid 109
-load net {arst_n} -port {arst_n} -attr xrf 14237 -attr oid 110
-load net {vin:rsc:mgc_in_wire.d(0)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(1)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(2)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(3)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(4)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(5)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(6)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(7)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(8)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(9)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(10)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(11)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(12)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(13)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(14)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(15)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(16)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(17)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(18)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(19)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(20)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(21)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(22)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(23)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(24)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(25)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(26)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(27)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(28)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(29)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(30)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(31)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(32)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(33)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(34)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(35)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(36)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(37)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(38)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(39)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(40)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(41)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(42)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(43)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(44)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(45)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(46)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(47)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(48)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(49)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(50)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(51)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(52)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(53)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(54)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(55)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(56)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(57)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(58)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(59)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(60)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(61)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(62)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(63)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(64)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(65)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(66)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(67)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(68)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(69)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(70)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(71)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(72)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(73)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(74)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(75)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(76)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(77)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(78)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(79)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(80)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(81)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(82)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(83)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(84)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(85)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(86)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(87)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(88)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(89)} -attr vt d
-load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 14238 -attr oid 111 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d(0)} -port {vin:rsc:mgc_in_wire.d(0)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(1)} -port {vin:rsc:mgc_in_wire.d(1)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(2)} -port {vin:rsc:mgc_in_wire.d(2)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(3)} -port {vin:rsc:mgc_in_wire.d(3)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(4)} -port {vin:rsc:mgc_in_wire.d(4)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(5)} -port {vin:rsc:mgc_in_wire.d(5)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(6)} -port {vin:rsc:mgc_in_wire.d(6)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(7)} -port {vin:rsc:mgc_in_wire.d(7)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(8)} -port {vin:rsc:mgc_in_wire.d(8)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(9)} -port {vin:rsc:mgc_in_wire.d(9)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(10)} -port {vin:rsc:mgc_in_wire.d(10)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(11)} -port {vin:rsc:mgc_in_wire.d(11)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(12)} -port {vin:rsc:mgc_in_wire.d(12)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(13)} -port {vin:rsc:mgc_in_wire.d(13)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(14)} -port {vin:rsc:mgc_in_wire.d(14)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(15)} -port {vin:rsc:mgc_in_wire.d(15)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(16)} -port {vin:rsc:mgc_in_wire.d(16)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(17)} -port {vin:rsc:mgc_in_wire.d(17)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(18)} -port {vin:rsc:mgc_in_wire.d(18)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(19)} -port {vin:rsc:mgc_in_wire.d(19)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(20)} -port {vin:rsc:mgc_in_wire.d(20)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(21)} -port {vin:rsc:mgc_in_wire.d(21)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(22)} -port {vin:rsc:mgc_in_wire.d(22)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(23)} -port {vin:rsc:mgc_in_wire.d(23)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(24)} -port {vin:rsc:mgc_in_wire.d(24)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(25)} -port {vin:rsc:mgc_in_wire.d(25)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(26)} -port {vin:rsc:mgc_in_wire.d(26)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(27)} -port {vin:rsc:mgc_in_wire.d(27)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(28)} -port {vin:rsc:mgc_in_wire.d(28)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(29)} -port {vin:rsc:mgc_in_wire.d(29)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(30)} -port {vin:rsc:mgc_in_wire.d(30)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(31)} -port {vin:rsc:mgc_in_wire.d(31)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(32)} -port {vin:rsc:mgc_in_wire.d(32)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(33)} -port {vin:rsc:mgc_in_wire.d(33)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(34)} -port {vin:rsc:mgc_in_wire.d(34)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(35)} -port {vin:rsc:mgc_in_wire.d(35)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(36)} -port {vin:rsc:mgc_in_wire.d(36)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(37)} -port {vin:rsc:mgc_in_wire.d(37)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(38)} -port {vin:rsc:mgc_in_wire.d(38)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(39)} -port {vin:rsc:mgc_in_wire.d(39)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(40)} -port {vin:rsc:mgc_in_wire.d(40)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(41)} -port {vin:rsc:mgc_in_wire.d(41)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(42)} -port {vin:rsc:mgc_in_wire.d(42)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(43)} -port {vin:rsc:mgc_in_wire.d(43)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(44)} -port {vin:rsc:mgc_in_wire.d(44)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(45)} -port {vin:rsc:mgc_in_wire.d(45)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(46)} -port {vin:rsc:mgc_in_wire.d(46)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(47)} -port {vin:rsc:mgc_in_wire.d(47)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(48)} -port {vin:rsc:mgc_in_wire.d(48)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(49)} -port {vin:rsc:mgc_in_wire.d(49)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(50)} -port {vin:rsc:mgc_in_wire.d(50)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(51)} -port {vin:rsc:mgc_in_wire.d(51)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(52)} -port {vin:rsc:mgc_in_wire.d(52)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(53)} -port {vin:rsc:mgc_in_wire.d(53)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(54)} -port {vin:rsc:mgc_in_wire.d(54)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(55)} -port {vin:rsc:mgc_in_wire.d(55)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(56)} -port {vin:rsc:mgc_in_wire.d(56)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(57)} -port {vin:rsc:mgc_in_wire.d(57)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(58)} -port {vin:rsc:mgc_in_wire.d(58)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(59)} -port {vin:rsc:mgc_in_wire.d(59)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(60)} -port {vin:rsc:mgc_in_wire.d(60)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(61)} -port {vin:rsc:mgc_in_wire.d(61)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(62)} -port {vin:rsc:mgc_in_wire.d(62)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(63)} -port {vin:rsc:mgc_in_wire.d(63)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(64)} -port {vin:rsc:mgc_in_wire.d(64)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(65)} -port {vin:rsc:mgc_in_wire.d(65)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(66)} -port {vin:rsc:mgc_in_wire.d(66)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(67)} -port {vin:rsc:mgc_in_wire.d(67)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(68)} -port {vin:rsc:mgc_in_wire.d(68)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(69)} -port {vin:rsc:mgc_in_wire.d(69)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(70)} -port {vin:rsc:mgc_in_wire.d(70)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(71)} -port {vin:rsc:mgc_in_wire.d(71)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(72)} -port {vin:rsc:mgc_in_wire.d(72)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(73)} -port {vin:rsc:mgc_in_wire.d(73)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(74)} -port {vin:rsc:mgc_in_wire.d(74)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(75)} -port {vin:rsc:mgc_in_wire.d(75)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(76)} -port {vin:rsc:mgc_in_wire.d(76)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(77)} -port {vin:rsc:mgc_in_wire.d(77)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(78)} -port {vin:rsc:mgc_in_wire.d(78)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(79)} -port {vin:rsc:mgc_in_wire.d(79)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(80)} -port {vin:rsc:mgc_in_wire.d(80)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(81)} -port {vin:rsc:mgc_in_wire.d(81)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(82)} -port {vin:rsc:mgc_in_wire.d(82)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(83)} -port {vin:rsc:mgc_in_wire.d(83)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(84)} -port {vin:rsc:mgc_in_wire.d(84)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(85)} -port {vin:rsc:mgc_in_wire.d(85)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(86)} -port {vin:rsc:mgc_in_wire.d(86)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(87)} -port {vin:rsc:mgc_in_wire.d(87)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(88)} -port {vin:rsc:mgc_in_wire.d(88)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(89)} -port {vin:rsc:mgc_in_wire.d(89)} -attr vt d
-load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 14239 -attr oid 112 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
-load net {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d
-load netBundle {vout:rsc:mgc_out_stdreg.d} 30 {vout:rsc:mgc_out_stdreg.d(0)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(29)} -attr xrf 14240 -attr oid 113 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(0)} -port {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(1)} -port {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(2)} -port {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(3)} -port {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(4)} -port {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(5)} -port {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(6)} -port {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(7)} -port {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(8)} -port {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(9)} -port {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(0)} -port {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(1)} -port {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(2)} -port {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(3)} -port {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(4)} -port {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(5)} -port {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(6)} -port {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(7)} -port {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(8)} -port {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(9)} -port {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(0)} -port {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(1)} -port {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(2)} -port {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(3)} -port {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(4)} -port {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(5)} -port {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(6)} -port {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(7)} -port {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(8)} -port {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(9)} -port {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load inst "reg(ACC1:slc(regs.regs(2).sg2).itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14241 -attr oid 114 -attr vt dc -attr @path {/sobel/sobel:core/reg(ACC1:slc(regs.regs(2).sg2).itm)}
-load net {regs.regs(1).sg2.sva(0)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(1)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(2)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(3)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(4)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(5)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(6)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(7)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(8)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(9)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {clk} -attr xrf 14242 -attr oid 115 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(regs.regs(2).sg2).itm(0)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(1)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(2)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(3)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(4)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(5)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(6)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(7)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(8)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(9)} -pin "reg(ACC1:slc(regs.regs(2).sg2).itm)" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2).itm}
-load inst "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14243 -attr oid 116 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:slc(regs.regs(2).sg2)#1.itm)}
-load net {regs.regs(1).sg2.sva(10)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(11)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(12)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(13)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(14)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(15)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(16)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(17)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(18)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(19)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {clk} -attr xrf 14244 -attr oid 117 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(0)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(1)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(2)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(3)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(4)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(5)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(6)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(7)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(8)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(9)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#1.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#1.itm}
-load inst "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14245 -attr oid 118 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:slc(regs.regs(2).sg2)#2.itm)}
-load net {regs.regs(1).sg2.sva(20)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(21)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(22)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(23)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(24)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(25)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(26)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(27)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(28)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(29)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {clk} -attr xrf 14246 -attr oid 119 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(0)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(1)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(2)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(3)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(4)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(5)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(6)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(7)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(8)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(9)} -pin "reg(ACC1:slc(regs.regs(2).sg2)#2.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2).sg2)#2.itm}
-load inst "reg(ACC1:slc(regs.regs(2)#1).itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14247 -attr oid 120 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:slc(regs.regs(2)#1).itm)}
-load net {regs.regs(1)#1.sva(0)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(1)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(2)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(3)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(4)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(5)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(6)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(7)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(8)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(9)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {clk} -attr xrf 14248 -attr oid 121 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(regs.regs(2)#1).itm(0)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(1)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(2)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(3)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(4)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(5)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(6)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(7)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(8)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(9)} -pin "reg(ACC1:slc(regs.regs(2)#1).itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load inst "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14249 -attr oid 122 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:slc(regs.regs(2)#1)#1.itm)}
-load net {regs.regs(1)#1.sva(10)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(11)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(12)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(13)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(14)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(15)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(16)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(17)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(18)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(19)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {clk} -attr xrf 14250 -attr oid 123 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(0)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(1)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(2)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(3)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(4)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(5)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(6)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(7)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(8)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(9)} -pin "reg(ACC1:slc(regs.regs(2)#1)#1.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load inst "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14251 -attr oid 124 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:slc(regs.regs(2)#1)#2.itm)}
-load net {regs.regs(1)#1.sva(20)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(21)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(22)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(23)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(24)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(25)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(26)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(27)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(28)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(29)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {clk} -attr xrf 14252 -attr oid 125 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(0)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(1)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(2)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(3)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(4)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(5)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(6)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(7)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(8)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(9)} -pin "reg(ACC1:slc(regs.regs(2)#1)#2.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load inst "reg(regs.regs(1)#1.sva)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 14253 -attr oid 126 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(1)#1.sva)}
-load net {vin:rsc:mgc_in_wire.d(0)} -pin "reg(regs.regs(1)#1.sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(1)} -pin "reg(regs.regs(1)#1.sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(2)} -pin "reg(regs.regs(1)#1.sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(3)} -pin "reg(regs.regs(1)#1.sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(4)} -pin "reg(regs.regs(1)#1.sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(5)} -pin "reg(regs.regs(1)#1.sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(6)} -pin "reg(regs.regs(1)#1.sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(7)} -pin "reg(regs.regs(1)#1.sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(8)} -pin "reg(regs.regs(1)#1.sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "reg(regs.regs(1)#1.sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(10)} -pin "reg(regs.regs(1)#1.sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(11)} -pin "reg(regs.regs(1)#1.sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(12)} -pin "reg(regs.regs(1)#1.sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(13)} -pin "reg(regs.regs(1)#1.sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(14)} -pin "reg(regs.regs(1)#1.sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(15)} -pin "reg(regs.regs(1)#1.sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(16)} -pin "reg(regs.regs(1)#1.sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(17)} -pin "reg(regs.regs(1)#1.sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(18)} -pin "reg(regs.regs(1)#1.sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "reg(regs.regs(1)#1.sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(20)} -pin "reg(regs.regs(1)#1.sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(21)} -pin "reg(regs.regs(1)#1.sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(22)} -pin "reg(regs.regs(1)#1.sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(23)} -pin "reg(regs.regs(1)#1.sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(24)} -pin "reg(regs.regs(1)#1.sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(25)} -pin "reg(regs.regs(1)#1.sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(26)} -pin "reg(regs.regs(1)#1.sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(27)} -pin "reg(regs.regs(1)#1.sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(28)} -pin "reg(regs.regs(1)#1.sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "reg(regs.regs(1)#1.sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
-load net {clk} -pin "reg(regs.regs(1)#1.sva)" {clk} -attr xrf 14254 -attr oid 127 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs(1)#1.sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs(1)#1.sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs(1)#1.sva(0)} -pin "reg(regs.regs(1)#1.sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(1)} -pin "reg(regs.regs(1)#1.sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(2)} -pin "reg(regs.regs(1)#1.sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(3)} -pin "reg(regs.regs(1)#1.sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(4)} -pin "reg(regs.regs(1)#1.sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(5)} -pin "reg(regs.regs(1)#1.sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(6)} -pin "reg(regs.regs(1)#1.sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(7)} -pin "reg(regs.regs(1)#1.sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(8)} -pin "reg(regs.regs(1)#1.sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(9)} -pin "reg(regs.regs(1)#1.sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(10)} -pin "reg(regs.regs(1)#1.sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(11)} -pin "reg(regs.regs(1)#1.sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(12)} -pin "reg(regs.regs(1)#1.sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(13)} -pin "reg(regs.regs(1)#1.sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(14)} -pin "reg(regs.regs(1)#1.sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(15)} -pin "reg(regs.regs(1)#1.sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(16)} -pin "reg(regs.regs(1)#1.sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(17)} -pin "reg(regs.regs(1)#1.sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(18)} -pin "reg(regs.regs(1)#1.sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(19)} -pin "reg(regs.regs(1)#1.sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(20)} -pin "reg(regs.regs(1)#1.sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(21)} -pin "reg(regs.regs(1)#1.sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(22)} -pin "reg(regs.regs(1)#1.sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(23)} -pin "reg(regs.regs(1)#1.sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(24)} -pin "reg(regs.regs(1)#1.sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(25)} -pin "reg(regs.regs(1)#1.sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(26)} -pin "reg(regs.regs(1)#1.sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(27)} -pin "reg(regs.regs(1)#1.sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(28)} -pin "reg(regs.regs(1)#1.sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(29)} -pin "reg(regs.regs(1)#1.sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load inst "reg(regs.regs(1).sg2.sva)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 14255 -attr oid 128 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(1).sg2.sva)}
-load net {vin:rsc:mgc_in_wire.d(60)} -pin "reg(regs.regs(1).sg2.sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(61)} -pin "reg(regs.regs(1).sg2.sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(62)} -pin "reg(regs.regs(1).sg2.sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(63)} -pin "reg(regs.regs(1).sg2.sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(64)} -pin "reg(regs.regs(1).sg2.sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(65)} -pin "reg(regs.regs(1).sg2.sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(66)} -pin "reg(regs.regs(1).sg2.sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(67)} -pin "reg(regs.regs(1).sg2.sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(68)} -pin "reg(regs.regs(1).sg2.sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "reg(regs.regs(1).sg2.sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(70)} -pin "reg(regs.regs(1).sg2.sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(71)} -pin "reg(regs.regs(1).sg2.sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(72)} -pin "reg(regs.regs(1).sg2.sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(73)} -pin "reg(regs.regs(1).sg2.sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(74)} -pin "reg(regs.regs(1).sg2.sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(75)} -pin "reg(regs.regs(1).sg2.sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(76)} -pin "reg(regs.regs(1).sg2.sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(77)} -pin "reg(regs.regs(1).sg2.sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(78)} -pin "reg(regs.regs(1).sg2.sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "reg(regs.regs(1).sg2.sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(80)} -pin "reg(regs.regs(1).sg2.sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(81)} -pin "reg(regs.regs(1).sg2.sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(82)} -pin "reg(regs.regs(1).sg2.sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(83)} -pin "reg(regs.regs(1).sg2.sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(84)} -pin "reg(regs.regs(1).sg2.sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(85)} -pin "reg(regs.regs(1).sg2.sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(86)} -pin "reg(regs.regs(1).sg2.sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(87)} -pin "reg(regs.regs(1).sg2.sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(88)} -pin "reg(regs.regs(1).sg2.sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "reg(regs.regs(1).sg2.sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
-load net {clk} -pin "reg(regs.regs(1).sg2.sva)" {clk} -attr xrf 14256 -attr oid 129 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs(1).sg2.sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs(1).sg2.sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs(1).sg2.sva(0)} -pin "reg(regs.regs(1).sg2.sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(1)} -pin "reg(regs.regs(1).sg2.sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(2)} -pin "reg(regs.regs(1).sg2.sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(3)} -pin "reg(regs.regs(1).sg2.sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(4)} -pin "reg(regs.regs(1).sg2.sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(5)} -pin "reg(regs.regs(1).sg2.sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(6)} -pin "reg(regs.regs(1).sg2.sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(7)} -pin "reg(regs.regs(1).sg2.sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(8)} -pin "reg(regs.regs(1).sg2.sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(9)} -pin "reg(regs.regs(1).sg2.sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(10)} -pin "reg(regs.regs(1).sg2.sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(11)} -pin "reg(regs.regs(1).sg2.sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(12)} -pin "reg(regs.regs(1).sg2.sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(13)} -pin "reg(regs.regs(1).sg2.sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(14)} -pin "reg(regs.regs(1).sg2.sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(15)} -pin "reg(regs.regs(1).sg2.sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(16)} -pin "reg(regs.regs(1).sg2.sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(17)} -pin "reg(regs.regs(1).sg2.sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(18)} -pin "reg(regs.regs(1).sg2.sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(19)} -pin "reg(regs.regs(1).sg2.sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(20)} -pin "reg(regs.regs(1).sg2.sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(21)} -pin "reg(regs.regs(1).sg2.sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(22)} -pin "reg(regs.regs(1).sg2.sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(23)} -pin "reg(regs.regs(1).sg2.sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(24)} -pin "reg(regs.regs(1).sg2.sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(25)} -pin "reg(regs.regs(1).sg2.sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(26)} -pin "reg(regs.regs(1).sg2.sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(27)} -pin "reg(regs.regs(1).sg2.sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(28)} -pin "reg(regs.regs(1).sg2.sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(29)} -pin "reg(regs.regs(1).sg2.sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load inst "ACC1:acc#37" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 14257 -attr oid 130 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {vin:rsc:mgc_in_wire.d(70)} -pin "ACC1:acc#37" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(71)} -pin "ACC1:acc#37" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(72)} -pin "ACC1:acc#37" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(73)} -pin "ACC1:acc#37" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(74)} -pin "ACC1:acc#37" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(75)} -pin "ACC1:acc#37" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(76)} -pin "ACC1:acc#37" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(77)} -pin "ACC1:acc#37" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(78)} -pin "ACC1:acc#37" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#37" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {vin:rsc:mgc_in_wire.d(80)} -pin "ACC1:acc#37" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(81)} -pin "ACC1:acc#37" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(82)} -pin "ACC1:acc#37" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(83)} -pin "ACC1:acc#37" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(84)} -pin "ACC1:acc#37" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(85)} -pin "ACC1:acc#37" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(86)} -pin "ACC1:acc#37" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(87)} -pin "ACC1:acc#37" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(88)} -pin "ACC1:acc#37" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1:acc#37" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {ACC1:acc#37.itm(0)} -pin "ACC1:acc#37" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(1)} -pin "ACC1:acc#37" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(2)} -pin "ACC1:acc#37" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(3)} -pin "ACC1:acc#37" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(4)} -pin "ACC1:acc#37" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(5)} -pin "ACC1:acc#37" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(6)} -pin "ACC1:acc#37" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(7)} -pin "ACC1:acc#37" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(8)} -pin "ACC1:acc#37" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(9)} -pin "ACC1:acc#37" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(10)} -pin "ACC1:acc#37" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load inst "ACC1:acc#36" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 14258 -attr oid 131 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {PWR} -pin "ACC1:acc#36" {A(0)} -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(0)} -pin "ACC1:acc#36" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(1)} -pin "ACC1:acc#36" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(2)} -pin "ACC1:acc#36" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(3)} -pin "ACC1:acc#36" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(4)} -pin "ACC1:acc#36" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(5)} -pin "ACC1:acc#36" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(6)} -pin "ACC1:acc#36" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(7)} -pin "ACC1:acc#36" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(8)} -pin "ACC1:acc#36" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {ACC1:slc(regs.regs(2).sg2).itm(9)} -pin "ACC1:acc#36" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/conc.itm}
-load net {PWR} -pin "ACC1:acc#36" {B(0)} -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(0)} -pin "ACC1:acc#36" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(1)} -pin "ACC1:acc#36" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(2)} -pin "ACC1:acc#36" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(3)} -pin "ACC1:acc#36" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(4)} -pin "ACC1:acc#36" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(5)} -pin "ACC1:acc#36" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(6)} -pin "ACC1:acc#36" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(7)} -pin "ACC1:acc#36" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(8)} -pin "ACC1:acc#36" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#1.itm(9)} -pin "ACC1:acc#36" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#50.itm}
-load net {ACC1:acc#36.itm(0)} -pin "ACC1:acc#36" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(1)} -pin "ACC1:acc#36" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(2)} -pin "ACC1:acc#36" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(3)} -pin "ACC1:acc#36" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(4)} -pin "ACC1:acc#36" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(5)} -pin "ACC1:acc#36" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(6)} -pin "ACC1:acc#36" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(7)} -pin "ACC1:acc#36" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(8)} -pin "ACC1:acc#36" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(9)} -pin "ACC1:acc#36" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(10)} -pin "ACC1:acc#36" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load net {ACC1:acc#36.itm(11)} -pin "ACC1:acc#36" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#36.itm}
-load inst "ACC1:acc#41" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 14259 -attr oid 132 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {ACC1:acc#37.itm(0)} -pin "ACC1:acc#41" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(1)} -pin "ACC1:acc#41" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(2)} -pin "ACC1:acc#41" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(3)} -pin "ACC1:acc#41" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(4)} -pin "ACC1:acc#41" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(5)} -pin "ACC1:acc#41" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(6)} -pin "ACC1:acc#41" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(7)} -pin "ACC1:acc#41" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(8)} -pin "ACC1:acc#41" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(9)} -pin "ACC1:acc#41" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#37.itm(10)} -pin "ACC1:acc#41" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#37.itm}
-load net {ACC1:acc#36.itm(1)} -pin "ACC1:acc#41" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(2)} -pin "ACC1:acc#41" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(3)} -pin "ACC1:acc#41" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(4)} -pin "ACC1:acc#41" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(5)} -pin "ACC1:acc#41" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(6)} -pin "ACC1:acc#41" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(7)} -pin "ACC1:acc#41" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(8)} -pin "ACC1:acc#41" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(9)} -pin "ACC1:acc#41" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(10)} -pin "ACC1:acc#41" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#36.itm(11)} -pin "ACC1:acc#41" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:slc#3.itm}
-load net {ACC1:acc#41.itm(0)} -pin "ACC1:acc#41" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(1)} -pin "ACC1:acc#41" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(2)} -pin "ACC1:acc#41" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(3)} -pin "ACC1:acc#41" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(4)} -pin "ACC1:acc#41" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(5)} -pin "ACC1:acc#41" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(6)} -pin "ACC1:acc#41" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(7)} -pin "ACC1:acc#41" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(8)} -pin "ACC1:acc#41" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(9)} -pin "ACC1:acc#41" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(10)} -pin "ACC1:acc#41" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(11)} -pin "ACC1:acc#41" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load inst "ACC1:not" "not(10)" "INTERFACE" -attr xrf 14260 -attr oid 133 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
-load net {ACC1:slc(regs.regs(2)#1).itm(0)} -pin "ACC1:not" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(1)} -pin "ACC1:not" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(2)} -pin "ACC1:not" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(3)} -pin "ACC1:not" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(4)} -pin "ACC1:not" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(5)} -pin "ACC1:not" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(6)} -pin "ACC1:not" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(7)} -pin "ACC1:not" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(8)} -pin "ACC1:not" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:slc(regs.regs(2)#1).itm(9)} -pin "ACC1:not" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1).itm}
-load net {ACC1:not.itm(0)} -pin "ACC1:not" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(1)} -pin "ACC1:not" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(2)} -pin "ACC1:not" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(3)} -pin "ACC1:not" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(4)} -pin "ACC1:not" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(5)} -pin "ACC1:not" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(6)} -pin "ACC1:not" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(7)} -pin "ACC1:not" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(8)} -pin "ACC1:not" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:not.itm(9)} -pin "ACC1:not" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load inst "ACC1:acc#35" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 14261 -attr oid 134 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {PWR} -pin "ACC1:acc#35" {A(0)} -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(0)} -pin "ACC1:acc#35" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(1)} -pin "ACC1:acc#35" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(2)} -pin "ACC1:acc#35" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(3)} -pin "ACC1:acc#35" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(4)} -pin "ACC1:acc#35" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(5)} -pin "ACC1:acc#35" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(6)} -pin "ACC1:acc#35" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(7)} -pin "ACC1:acc#35" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(8)} -pin "ACC1:acc#35" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {ACC1:slc(regs.regs(2).sg2)#2.itm(9)} -pin "ACC1:acc#35" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#51.itm}
-load net {PWR} -pin "ACC1:acc#35" {B(0)} -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(0)} -pin "ACC1:acc#35" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(1)} -pin "ACC1:acc#35" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(2)} -pin "ACC1:acc#35" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(3)} -pin "ACC1:acc#35" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(4)} -pin "ACC1:acc#35" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(5)} -pin "ACC1:acc#35" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(6)} -pin "ACC1:acc#35" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(7)} -pin "ACC1:acc#35" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(8)} -pin "ACC1:acc#35" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:not.itm(9)} -pin "ACC1:acc#35" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#52.itm}
-load net {ACC1:acc#35.itm(0)} -pin "ACC1:acc#35" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(1)} -pin "ACC1:acc#35" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(2)} -pin "ACC1:acc#35" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(3)} -pin "ACC1:acc#35" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(4)} -pin "ACC1:acc#35" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(5)} -pin "ACC1:acc#35" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(6)} -pin "ACC1:acc#35" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(7)} -pin "ACC1:acc#35" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(8)} -pin "ACC1:acc#35" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(9)} -pin "ACC1:acc#35" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(10)} -pin "ACC1:acc#35" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load net {ACC1:acc#35.itm(11)} -pin "ACC1:acc#35" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#35.itm}
-load inst "ACC1:not#9" "not(10)" "INTERFACE" -attr xrf 14262 -attr oid 135 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(0)} -pin "ACC1:not#9" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(1)} -pin "ACC1:not#9" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(2)} -pin "ACC1:not#9" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(3)} -pin "ACC1:not#9" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(4)} -pin "ACC1:not#9" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(5)} -pin "ACC1:not#9" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(6)} -pin "ACC1:not#9" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(7)} -pin "ACC1:not#9" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(8)} -pin "ACC1:not#9" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:slc(regs.regs(2)#1)#1.itm(9)} -pin "ACC1:not#9" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#1.itm}
-load net {ACC1:not#9.itm(0)} -pin "ACC1:not#9" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(1)} -pin "ACC1:not#9" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(2)} -pin "ACC1:not#9" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(3)} -pin "ACC1:not#9" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(4)} -pin "ACC1:not#9" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(5)} -pin "ACC1:not#9" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(6)} -pin "ACC1:not#9" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(7)} -pin "ACC1:not#9" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(8)} -pin "ACC1:not#9" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load net {ACC1:not#9.itm(9)} -pin "ACC1:not#9" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#9.itm}
-load inst "ACC1:not#10" "not(10)" "INTERFACE" -attr xrf 14263 -attr oid 136 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(0)} -pin "ACC1:not#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(1)} -pin "ACC1:not#10" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(2)} -pin "ACC1:not#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(3)} -pin "ACC1:not#10" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(4)} -pin "ACC1:not#10" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(5)} -pin "ACC1:not#10" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(6)} -pin "ACC1:not#10" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(7)} -pin "ACC1:not#10" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(8)} -pin "ACC1:not#10" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:slc(regs.regs(2)#1)#2.itm(9)} -pin "ACC1:not#10" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc(regs.regs(2)#1)#2.itm}
-load net {ACC1:not#10.itm(0)} -pin "ACC1:not#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(1)} -pin "ACC1:not#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(2)} -pin "ACC1:not#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(3)} -pin "ACC1:not#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(4)} -pin "ACC1:not#10" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(5)} -pin "ACC1:not#10" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(6)} -pin "ACC1:not#10" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(7)} -pin "ACC1:not#10" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(8)} -pin "ACC1:not#10" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load net {ACC1:not#10.itm(9)} -pin "ACC1:not#10" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#10.itm}
-load inst "ACC1:acc#34" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 14264 -attr oid 137 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {PWR} -pin "ACC1:acc#34" {A(0)} -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(0)} -pin "ACC1:acc#34" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(1)} -pin "ACC1:acc#34" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(2)} -pin "ACC1:acc#34" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(3)} -pin "ACC1:acc#34" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(4)} -pin "ACC1:acc#34" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(5)} -pin "ACC1:acc#34" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(6)} -pin "ACC1:acc#34" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(7)} -pin "ACC1:acc#34" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(8)} -pin "ACC1:acc#34" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {ACC1:not#9.itm(9)} -pin "ACC1:acc#34" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#53.itm}
-load net {PWR} -pin "ACC1:acc#34" {B(0)} -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(0)} -pin "ACC1:acc#34" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(1)} -pin "ACC1:acc#34" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(2)} -pin "ACC1:acc#34" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(3)} -pin "ACC1:acc#34" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(4)} -pin "ACC1:acc#34" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(5)} -pin "ACC1:acc#34" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(6)} -pin "ACC1:acc#34" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(7)} -pin "ACC1:acc#34" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(8)} -pin "ACC1:acc#34" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:not#10.itm(9)} -pin "ACC1:acc#34" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#54.itm}
-load net {ACC1:acc#34.itm(0)} -pin "ACC1:acc#34" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(1)} -pin "ACC1:acc#34" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(2)} -pin "ACC1:acc#34" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(3)} -pin "ACC1:acc#34" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(4)} -pin "ACC1:acc#34" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(5)} -pin "ACC1:acc#34" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(6)} -pin "ACC1:acc#34" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(7)} -pin "ACC1:acc#34" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(8)} -pin "ACC1:acc#34" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(9)} -pin "ACC1:acc#34" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(10)} -pin "ACC1:acc#34" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load net {ACC1:acc#34.itm(11)} -pin "ACC1:acc#34" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#34.itm}
-load inst "ACC1:acc#40" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 14265 -attr oid 138 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {ACC1:acc#35.itm(1)} -pin "ACC1:acc#40" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(2)} -pin "ACC1:acc#40" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(3)} -pin "ACC1:acc#40" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(4)} -pin "ACC1:acc#40" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(5)} -pin "ACC1:acc#40" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(6)} -pin "ACC1:acc#40" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(7)} -pin "ACC1:acc#40" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(8)} -pin "ACC1:acc#40" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(9)} -pin "ACC1:acc#40" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(10)} -pin "ACC1:acc#40" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#35.itm(11)} -pin "ACC1:acc#40" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#2.itm}
-load net {ACC1:acc#34.itm(1)} -pin "ACC1:acc#40" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(2)} -pin "ACC1:acc#40" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(3)} -pin "ACC1:acc#40" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(4)} -pin "ACC1:acc#40" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(5)} -pin "ACC1:acc#40" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(6)} -pin "ACC1:acc#40" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(7)} -pin "ACC1:acc#40" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(8)} -pin "ACC1:acc#40" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(9)} -pin "ACC1:acc#40" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(10)} -pin "ACC1:acc#40" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#34.itm(11)} -pin "ACC1:acc#40" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#1.itm}
-load net {ACC1:acc#40.itm(0)} -pin "ACC1:acc#40" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(1)} -pin "ACC1:acc#40" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(2)} -pin "ACC1:acc#40" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(3)} -pin "ACC1:acc#40" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(4)} -pin "ACC1:acc#40" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(5)} -pin "ACC1:acc#40" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(6)} -pin "ACC1:acc#40" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(7)} -pin "ACC1:acc#40" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(8)} -pin "ACC1:acc#40" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(9)} -pin "ACC1:acc#40" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(10)} -pin "ACC1:acc#40" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(11)} -pin "ACC1:acc#40" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load inst "ACC1:acc#43" "add(12,1,12,1,13)" "INTERFACE" -attr xrf 14266 -attr oid 139 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,1,12,1,13)"
-load net {ACC1:acc#41.itm(0)} -pin "ACC1:acc#43" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(1)} -pin "ACC1:acc#43" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(2)} -pin "ACC1:acc#43" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(3)} -pin "ACC1:acc#43" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(4)} -pin "ACC1:acc#43" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(5)} -pin "ACC1:acc#43" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(6)} -pin "ACC1:acc#43" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(7)} -pin "ACC1:acc#43" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(8)} -pin "ACC1:acc#43" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(9)} -pin "ACC1:acc#43" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(10)} -pin "ACC1:acc#43" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#41.itm(11)} -pin "ACC1:acc#43" {A(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#41.itm}
-load net {ACC1:acc#40.itm(0)} -pin "ACC1:acc#43" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(1)} -pin "ACC1:acc#43" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(2)} -pin "ACC1:acc#43" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(3)} -pin "ACC1:acc#43" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(4)} -pin "ACC1:acc#43" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(5)} -pin "ACC1:acc#43" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(6)} -pin "ACC1:acc#43" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(7)} -pin "ACC1:acc#43" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(8)} -pin "ACC1:acc#43" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(9)} -pin "ACC1:acc#43" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(10)} -pin "ACC1:acc#43" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#40.itm(11)} -pin "ACC1:acc#43" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#40.itm}
-load net {ACC1:acc#43.itm(0)} -pin "ACC1:acc#43" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(1)} -pin "ACC1:acc#43" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(2)} -pin "ACC1:acc#43" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(3)} -pin "ACC1:acc#43" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(4)} -pin "ACC1:acc#43" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(5)} -pin "ACC1:acc#43" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(6)} -pin "ACC1:acc#43" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(7)} -pin "ACC1:acc#43" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(8)} -pin "ACC1:acc#43" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(9)} -pin "ACC1:acc#43" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(10)} -pin "ACC1:acc#43" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(11)} -pin "ACC1:acc#43" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(12)} -pin "ACC1:acc#43" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load inst "ACC1:acc#39" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 14267 -attr oid 140 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {vin:rsc:mgc_in_wire.d(0)} -pin "ACC1:acc#39" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(1)} -pin "ACC1:acc#39" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(2)} -pin "ACC1:acc#39" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(3)} -pin "ACC1:acc#39" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(4)} -pin "ACC1:acc#39" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(5)} -pin "ACC1:acc#39" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(6)} -pin "ACC1:acc#39" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(7)} -pin "ACC1:acc#39" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(8)} -pin "ACC1:acc#39" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#39" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {vin:rsc:mgc_in_wire.d(10)} -pin "ACC1:acc#39" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(11)} -pin "ACC1:acc#39" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(12)} -pin "ACC1:acc#39" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(13)} -pin "ACC1:acc#39" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(14)} -pin "ACC1:acc#39" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(15)} -pin "ACC1:acc#39" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(16)} -pin "ACC1:acc#39" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(17)} -pin "ACC1:acc#39" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(18)} -pin "ACC1:acc#39" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#39" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {ACC1:acc#39.itm(0)} -pin "ACC1:acc#39" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(1)} -pin "ACC1:acc#39" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(2)} -pin "ACC1:acc#39" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(3)} -pin "ACC1:acc#39" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(4)} -pin "ACC1:acc#39" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(5)} -pin "ACC1:acc#39" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(6)} -pin "ACC1:acc#39" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(7)} -pin "ACC1:acc#39" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(8)} -pin "ACC1:acc#39" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(9)} -pin "ACC1:acc#39" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(10)} -pin "ACC1:acc#39" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load inst "ACC1:acc#38" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 14268 -attr oid 141 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {vin:rsc:mgc_in_wire.d(20)} -pin "ACC1:acc#38" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(21)} -pin "ACC1:acc#38" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(22)} -pin "ACC1:acc#38" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(23)} -pin "ACC1:acc#38" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(24)} -pin "ACC1:acc#38" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(25)} -pin "ACC1:acc#38" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(26)} -pin "ACC1:acc#38" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(27)} -pin "ACC1:acc#38" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(28)} -pin "ACC1:acc#38" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1:acc#38" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {vin:rsc:mgc_in_wire.d(60)} -pin "ACC1:acc#38" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(61)} -pin "ACC1:acc#38" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(62)} -pin "ACC1:acc#38" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(63)} -pin "ACC1:acc#38" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(64)} -pin "ACC1:acc#38" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(65)} -pin "ACC1:acc#38" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(66)} -pin "ACC1:acc#38" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(67)} -pin "ACC1:acc#38" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(68)} -pin "ACC1:acc#38" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#38" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {ACC1:acc#38.itm(0)} -pin "ACC1:acc#38" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(1)} -pin "ACC1:acc#38" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(2)} -pin "ACC1:acc#38" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(3)} -pin "ACC1:acc#38" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(4)} -pin "ACC1:acc#38" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(5)} -pin "ACC1:acc#38" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(6)} -pin "ACC1:acc#38" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(7)} -pin "ACC1:acc#38" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(8)} -pin "ACC1:acc#38" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(9)} -pin "ACC1:acc#38" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(10)} -pin "ACC1:acc#38" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load inst "ACC1:acc#42" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 14269 -attr oid 142 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {ACC1:acc#39.itm(0)} -pin "ACC1:acc#42" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(1)} -pin "ACC1:acc#42" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(2)} -pin "ACC1:acc#42" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(3)} -pin "ACC1:acc#42" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(4)} -pin "ACC1:acc#42" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(5)} -pin "ACC1:acc#42" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(6)} -pin "ACC1:acc#42" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(7)} -pin "ACC1:acc#42" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(8)} -pin "ACC1:acc#42" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(9)} -pin "ACC1:acc#42" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#39.itm(10)} -pin "ACC1:acc#42" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#39.itm}
-load net {ACC1:acc#38.itm(0)} -pin "ACC1:acc#42" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(1)} -pin "ACC1:acc#42" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(2)} -pin "ACC1:acc#42" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(3)} -pin "ACC1:acc#42" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(4)} -pin "ACC1:acc#42" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(5)} -pin "ACC1:acc#42" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(6)} -pin "ACC1:acc#42" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(7)} -pin "ACC1:acc#42" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(8)} -pin "ACC1:acc#42" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(9)} -pin "ACC1:acc#42" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#38.itm(10)} -pin "ACC1:acc#42" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#38.itm}
-load net {ACC1:acc#42.itm(0)} -pin "ACC1:acc#42" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(1)} -pin "ACC1:acc#42" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(2)} -pin "ACC1:acc#42" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(3)} -pin "ACC1:acc#42" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(4)} -pin "ACC1:acc#42" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(5)} -pin "ACC1:acc#42" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(6)} -pin "ACC1:acc#42" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(7)} -pin "ACC1:acc#42" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(8)} -pin "ACC1:acc#42" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(9)} -pin "ACC1:acc#42" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(10)} -pin "ACC1:acc#42" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(11)} -pin "ACC1:acc#42" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load inst "ACC1:acc" "add(13,1,12,1,14)" "INTERFACE" -attr xrf 14270 -attr oid 143 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc} -attr area 14.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,1,12,1,14)"
-load net {ACC1:acc#43.itm(0)} -pin "ACC1:acc" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(1)} -pin "ACC1:acc" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(2)} -pin "ACC1:acc" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(3)} -pin "ACC1:acc" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(4)} -pin "ACC1:acc" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(5)} -pin "ACC1:acc" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(6)} -pin "ACC1:acc" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(7)} -pin "ACC1:acc" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(8)} -pin "ACC1:acc" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(9)} -pin "ACC1:acc" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(10)} -pin "ACC1:acc" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(11)} -pin "ACC1:acc" {A(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#43.itm(12)} -pin "ACC1:acc" {A(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#43.itm}
-load net {ACC1:acc#42.itm(0)} -pin "ACC1:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(1)} -pin "ACC1:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(2)} -pin "ACC1:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(3)} -pin "ACC1:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(4)} -pin "ACC1:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(5)} -pin "ACC1:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(6)} -pin "ACC1:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(7)} -pin "ACC1:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(8)} -pin "ACC1:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(9)} -pin "ACC1:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(10)} -pin "ACC1:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc#42.itm(11)} -pin "ACC1:acc" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#42.itm}
-load net {ACC1:acc.itm(0)} -pin "ACC1:acc" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(1)} -pin "ACC1:acc" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(2)} -pin "ACC1:acc" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(3)} -pin "ACC1:acc" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(4)} -pin "ACC1:acc" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(5)} -pin "ACC1:acc" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(6)} -pin "ACC1:acc" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(7)} -pin "ACC1:acc" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(8)} -pin "ACC1:acc" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(9)} -pin "ACC1:acc" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(10)} -pin "ACC1:acc" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(11)} -pin "ACC1:acc" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(12)} -pin "ACC1:acc" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(13)} -pin "ACC1:acc" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load inst "FRAME:mul#3" "mul(4,0,5,0,9)" "INTERFACE" -attr xrf 14271 -attr oid 144 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(4,0,5,0,9)"
-load net {ACC1:acc.itm(10)} -pin "FRAME:mul#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
-load net {ACC1:acc.itm(11)} -pin "FRAME:mul#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
-load net {ACC1:acc.itm(12)} -pin "FRAME:mul#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:mul#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#1.itm}
-load net {PWR} -pin "FRAME:mul#3" {B(0)} -attr @path {/sobel/sobel:core/C19_5}
-load net {PWR} -pin "FRAME:mul#3" {B(1)} -attr @path {/sobel/sobel:core/C19_5}
-load net {GND} -pin "FRAME:mul#3" {B(2)} -attr @path {/sobel/sobel:core/C19_5}
-load net {GND} -pin "FRAME:mul#3" {B(3)} -attr @path {/sobel/sobel:core/C19_5}
-load net {PWR} -pin "FRAME:mul#3" {B(4)} -attr @path {/sobel/sobel:core/C19_5}
-load net {FRAME:mul#3.itm(0)} -pin "FRAME:mul#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(1)} -pin "FRAME:mul#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(2)} -pin "FRAME:mul#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(3)} -pin "FRAME:mul#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(4)} -pin "FRAME:mul#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(5)} -pin "FRAME:mul#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(6)} -pin "FRAME:mul#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(7)} -pin "FRAME:mul#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(8)} -pin "FRAME:mul#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load inst "FRAME:acc#17" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 14272 -attr oid 145 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {ACC1:acc.itm(5)} -pin "FRAME:acc#17" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#17.itm}
-load net {acc.imod.sva(4)} -pin "FRAME:acc#17" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#8.itm}
-load net {FRAME:acc#17.itm(0)} -pin "FRAME:acc#17" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(1)} -pin "FRAME:acc#17" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load inst "FRAME:acc#19" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 14273 -attr oid 146 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {FRAME:acc#17.itm(0)} -pin "FRAME:acc#19" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(1)} -pin "FRAME:acc#19" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {ACC1:acc.itm(8)} -pin "FRAME:acc#19" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#20.itm}
-load net {ACC1:acc.itm(9)} -pin "FRAME:acc#19" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#20.itm}
-load net {FRAME:acc#19.itm(0)} -pin "FRAME:acc#19" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(1)} -pin "FRAME:acc#19" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(2)} -pin "FRAME:acc#19" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load inst "FRAME:acc#16" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 14274 -attr oid 147 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,6)"
-load net {acc.imod.sva(4)} -pin "FRAME:acc#16" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/conc#55.itm}
-load net {GND} -pin "FRAME:acc#16" {A(1)} -attr @path {/sobel/sobel:core/conc#55.itm}
-load net {acc.imod.sva(4)} -pin "FRAME:acc#16" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/conc#55.itm}
-load net {GND} -pin "FRAME:acc#16" {A(3)} -attr @path {/sobel/sobel:core/conc#55.itm}
-load net {acc.imod.sva(4)} -pin "FRAME:acc#16" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/conc#55.itm}
-load net {acc.imod.sva(0)} -pin "FRAME:acc#16" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#4.itm}
-load net {acc.imod.sva(1)} -pin "FRAME:acc#16" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#4.itm}
-load net {acc.imod.sva(2)} -pin "FRAME:acc#16" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#4.itm}
-load net {acc.imod.sva(3)} -pin "FRAME:acc#16" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#4.itm}
-load net {FRAME:acc#16.itm(0)} -pin "FRAME:acc#16" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(1)} -pin "FRAME:acc#16" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(2)} -pin "FRAME:acc#16" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(3)} -pin "FRAME:acc#16" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(4)} -pin "FRAME:acc#16" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load inst "FRAME:acc#32" "add(2,1,1,1,3)" "INTERFACE" -attr xrf 14275 -attr oid 148 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32} -attr area 3.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,1,1,1,3)"
-load net {acc.imod.sva(5)} -pin "FRAME:acc#32" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#7.itm}
-load net {acc.imod.sva(6)} -pin "FRAME:acc#32" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#7.itm}
-load net {acc.imod.sva(6)} -pin "FRAME:acc#32" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#5.itm}
-load net {FRAME:acc#32.itm(0)} -pin "FRAME:acc#32" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
-load net {FRAME:acc#32.itm(1)} -pin "FRAME:acc#32" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
-load net {FRAME:acc#32.itm(2)} -pin "FRAME:acc#32" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#32.itm}
-load inst "acc#3" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 14276 -attr oid 149 -attr vt dc -attr @path {/sobel/sobel:core/acc#3} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,6)"
-load net {FRAME:acc#16.itm(0)} -pin "acc#3" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(1)} -pin "acc#3" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(2)} -pin "acc#3" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(3)} -pin "acc#3" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(4)} -pin "acc#3" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {acc.imod.sva(5)} -pin "acc#3" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#30.itm}
-load net {acc.imod.sva(6)} -pin "acc#3" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#30.itm}
-load net {FRAME:acc#32.itm(0)} -pin "acc#3" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#30.itm}
-load net {FRAME:acc#32.itm(1)} -pin "acc#3" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#30.itm}
-load net {FRAME:acc#32.itm(2)} -pin "acc#3" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#30.itm}
-load net {acc#3.itm(0)} -pin "acc#3" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/acc#3.itm}
-load net {acc#3.itm(1)} -pin "acc#3" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/acc#3.itm}
-load net {acc#3.itm(2)} -pin "acc#3" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/acc#3.itm}
-load net {acc#3.itm(3)} -pin "acc#3" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/acc#3.itm}
-load net {acc#3.itm(4)} -pin "acc#3" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/acc#3.itm}
-load inst "FRAME:acc#18" "add(2,1,1,1,3)" "INTERFACE" -attr xrf 14277 -attr oid 150 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18} -attr area 3.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,1,1,1,3)"
-load net {acc.imod.sva(5)} -pin "FRAME:acc#18" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#6.itm}
-load net {acc.imod.sva(6)} -pin "FRAME:acc#18" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#6.itm}
-load net {acc#3.itm(4)} -pin "FRAME:acc#18" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:slc#5.itm}
-load net {FRAME:acc#18.itm(0)} -pin "FRAME:acc#18" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(1)} -pin "FRAME:acc#18" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(2)} -pin "FRAME:acc#18" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load inst "FRAME:acc#20" "add(3,0,3,1,4)" "INTERFACE" -attr xrf 14278 -attr oid 151 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20} -attr area 4.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,1,4)"
-load net {FRAME:acc#19.itm(0)} -pin "FRAME:acc#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(1)} -pin "FRAME:acc#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(2)} -pin "FRAME:acc#20" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#18.itm(0)} -pin "FRAME:acc#20" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(1)} -pin "FRAME:acc#20" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(2)} -pin "FRAME:acc#20" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#20.itm(0)} -pin "FRAME:acc#20" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#20.itm(1)} -pin "FRAME:acc#20" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#20.itm(2)} -pin "FRAME:acc#20" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#20.itm(3)} -pin "FRAME:acc#20" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load inst "FRAME:acc#21" "add(4,1,4,0,6)" "INTERFACE" -attr xrf 14279 -attr oid 152 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
-load net {FRAME:acc#20.itm(0)} -pin "FRAME:acc#21" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#20.itm(1)} -pin "FRAME:acc#21" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#20.itm(2)} -pin "FRAME:acc#21" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {FRAME:acc#20.itm(3)} -pin "FRAME:acc#21" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#20.itm}
-load net {ACC1:acc.itm(6)} -pin "FRAME:acc#21" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#4.itm}
-load net {ACC1:acc.itm(7)} -pin "FRAME:acc#21" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#4.itm}
-load net {ACC1:acc.itm(8)} -pin "FRAME:acc#21" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#4.itm}
-load net {ACC1:acc.itm(9)} -pin "FRAME:acc#21" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#4.itm}
-load net {FRAME:acc#21.itm(0)} -pin "FRAME:acc#21" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(1)} -pin "FRAME:acc#21" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(2)} -pin "FRAME:acc#21" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(3)} -pin "FRAME:acc#21" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(4)} -pin "FRAME:acc#21" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(5)} -pin "FRAME:acc#21" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load inst "FRAME:acc#22" "add(9,0,6,1,10)" "INTERFACE" -attr xrf 14280 -attr oid 153 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,6,1,10)"
-load net {FRAME:mul#3.itm(0)} -pin "FRAME:acc#22" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(1)} -pin "FRAME:acc#22" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(2)} -pin "FRAME:acc#22" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(3)} -pin "FRAME:acc#22" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(4)} -pin "FRAME:acc#22" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(5)} -pin "FRAME:acc#22" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(6)} -pin "FRAME:acc#22" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(7)} -pin "FRAME:acc#22" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:mul#3.itm(8)} -pin "FRAME:acc#22" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#3.itm}
-load net {FRAME:acc#21.itm(0)} -pin "FRAME:acc#22" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(1)} -pin "FRAME:acc#22" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(2)} -pin "FRAME:acc#22" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(3)} -pin "FRAME:acc#22" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(4)} -pin "FRAME:acc#22" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#21.itm(5)} -pin "FRAME:acc#22" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#21.itm}
-load net {FRAME:acc#22.cse(0)} -pin "FRAME:acc#22" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(1)} -pin "FRAME:acc#22" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(2)} -pin "FRAME:acc#22" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(3)} -pin "FRAME:acc#22" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(4)} -pin "FRAME:acc#22" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(5)} -pin "FRAME:acc#22" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(6)} -pin "FRAME:acc#22" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(7)} -pin "FRAME:acc#22" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(8)} -pin "FRAME:acc#22" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(9)} -pin "FRAME:acc#22" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load inst "FRAME:acc#5" "add(10,0,10,1,11)" "INTERFACE" -attr xrf 14281 -attr oid 154 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,1,11)"
-load net {FRAME:acc#33.itm(0)} -pin "FRAME:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(1)} -pin "FRAME:acc#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(2)} -pin "FRAME:acc#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(3)} -pin "FRAME:acc#5" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(4)} -pin "FRAME:acc#5" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(5)} -pin "FRAME:acc#5" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(6)} -pin "FRAME:acc#5" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(7)} -pin "FRAME:acc#5" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#5" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#5" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#22.cse(0)} -pin "FRAME:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(1)} -pin "FRAME:acc#5" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(2)} -pin "FRAME:acc#5" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(3)} -pin "FRAME:acc#5" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(4)} -pin "FRAME:acc#5" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(5)} -pin "FRAME:acc#5" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(6)} -pin "FRAME:acc#5" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(7)} -pin "FRAME:acc#5" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(8)} -pin "FRAME:acc#5" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(9)} -pin "FRAME:acc#5" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#5.psp.sva(0)} -pin "FRAME:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(1)} -pin "FRAME:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(2)} -pin "FRAME:acc#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(3)} -pin "FRAME:acc#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(4)} -pin "FRAME:acc#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(5)} -pin "FRAME:acc#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(6)} -pin "FRAME:acc#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(7)} -pin "FRAME:acc#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(8)} -pin "FRAME:acc#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(9)} -pin "FRAME:acc#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(10)} -pin "FRAME:acc#5" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load inst "FRAME:not#19" "not(1)" "INTERFACE" -attr xrf 14282 -attr oid 155 -attr @path {/sobel/sobel:core/FRAME:not#19} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc.itm(13)} -pin "FRAME:not#19" {A(0)} -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#15.itm}
-load net {FRAME:not#19.itm} -pin "FRAME:not#19" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#19.itm}
-load inst "FRAME:acc#31" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 14283 -attr oid 156 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#31} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {ACC1:acc.itm(9)} -pin "FRAME:acc#31" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#6.itm}
-load net {FRAME:not#19.itm} -pin "FRAME:acc#31" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#19.itm}
-load net {FRAME:acc#31.itm(0)} -pin "FRAME:acc#31" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
-load net {FRAME:acc#31.itm(1)} -pin "FRAME:acc#31" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#31.itm}
-load inst "FRAME:acc#11" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 14284 -attr oid 157 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11} -attr area 5.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,1,6)"
-load net {ACC1:acc.itm(6)} -pin "FRAME:acc#11" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#27.itm}
-load net {ACC1:acc.itm(7)} -pin "FRAME:acc#11" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#27.itm}
-load net {ACC1:acc.itm(6)} -pin "FRAME:acc#11" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#27.itm}
-load net {ACC1:acc.itm(7)} -pin "FRAME:acc#11" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#27.itm}
-load net {ACC1:acc.itm(8)} -pin "FRAME:acc#11" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
-load net {FRAME:acc#31.itm(0)} -pin "FRAME:acc#11" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
-load net {FRAME:acc#31.itm(1)} -pin "FRAME:acc#11" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:conc#29.itm}
-load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#11" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#11" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#11" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#11" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#11" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load inst "FRAME:acc#13" "add(5,0,5,1,6)" "INTERFACE" -attr xrf 14285 -attr oid 158 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,6)"
-load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#13" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#13" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#13" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#13" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#13" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {ACC1:acc.itm(5)} -pin "FRAME:acc#13" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#56.itm}
-load net {GND} -pin "FRAME:acc#13" {B(1)} -attr @path {/sobel/sobel:core/conc#56.itm}
-load net {ACC1:acc.itm(5)} -pin "FRAME:acc#13" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#56.itm}
-load net {GND} -pin "FRAME:acc#13" {B(3)} -attr @path {/sobel/sobel:core/conc#56.itm}
-load net {ACC1:acc.itm(5)} -pin "FRAME:acc#13" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#56.itm}
-load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#13" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#13" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#13" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#13" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(4)} -pin "FRAME:acc#13" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(5)} -pin "FRAME:acc#13" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load inst "FRAME:not#20" "not(2)" "INTERFACE" -attr xrf 14286 -attr oid 159 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#20} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(2)"
-load net {ACC1:acc.itm(8)} -pin "FRAME:not#20" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
-load net {ACC1:acc.itm(9)} -pin "FRAME:not#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#3.itm}
-load net {FRAME:not#20.itm(0)} -pin "FRAME:not#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#20.itm}
-load net {FRAME:not#20.itm(1)} -pin "FRAME:not#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#20.itm}
-load inst "FRAME:not#21" "not(3)" "INTERFACE" -attr xrf 14287 -attr oid 160 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
-load net {ACC1:acc.itm(10)} -pin "FRAME:not#21" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
-load net {ACC1:acc.itm(11)} -pin "FRAME:not#21" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
-load net {ACC1:acc.itm(12)} -pin "FRAME:not#21" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#8.itm}
-load net {FRAME:not#21.itm(0)} -pin "FRAME:not#21" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
-load net {FRAME:not#21.itm(1)} -pin "FRAME:not#21" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
-load net {FRAME:not#21.itm(2)} -pin "FRAME:not#21" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#21.itm}
-load inst "FRAME:acc#12" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 14288 -attr oid 161 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12} -attr area 6.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,1,6)"
-load net {FRAME:not#21.itm(0)} -pin "FRAME:acc#12" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#28.itm}
-load net {FRAME:not#21.itm(1)} -pin "FRAME:acc#12" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#28.itm}
-load net {FRAME:not#21.itm(2)} -pin "FRAME:acc#12" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#28.itm}
-load net {FRAME:not#20.itm(0)} -pin "FRAME:acc#12" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#28.itm}
-load net {FRAME:not#20.itm(1)} -pin "FRAME:acc#12" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#28.itm}
-load net {ACC1:acc.itm(1)} -pin "FRAME:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#9.itm}
-load net {ACC1:acc.itm(2)} -pin "FRAME:acc#12" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#9.itm}
-load net {ACC1:acc.itm(3)} -pin "FRAME:acc#12" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#9.itm}
-load net {ACC1:acc.itm(4)} -pin "FRAME:acc#12" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:slc.psp.sva)#9.itm}
-load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#12" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#12" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#12" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#12" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#12" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(5)} -pin "FRAME:acc#12" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load inst "FRAME:acc#14" "add(6,1,6,0,7)" "INTERFACE" -attr xrf 14289 -attr oid 162 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,1,7)"
-load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#14" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#14" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#14" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#14" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(4)} -pin "FRAME:acc#14" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(5)} -pin "FRAME:acc#14" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#14" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#14" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(5)} -pin "FRAME:acc#14" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#14" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#14" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#14" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#14" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#14" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(5)} -pin "FRAME:acc#14" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(6)} -pin "FRAME:acc#14" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load inst "acc" "add(7,-1,7,-1,7)" "INTERFACE" -attr xrf 14290 -attr oid 163 -attr vt dc -attr @path {/sobel/sobel:core/acc} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,7)"
-load net {FRAME:acc#14.itm(0)} -pin "acc" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(1)} -pin "acc" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(2)} -pin "acc" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(3)} -pin "acc" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(4)} -pin "acc" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(5)} -pin "acc" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(6)} -pin "acc" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {PWR} -pin "acc" {B(0)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {PWR} -pin "acc" {B(1)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {PWR} -pin "acc" {B(2)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {PWR} -pin "acc" {B(3)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {PWR} -pin "acc" {B(4)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {GND} -pin "acc" {B(5)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {PWR} -pin "acc" {B(6)} -attr @path {/sobel/sobel:core/Cn33_7}
-load net {acc.imod.sva(0)} -pin "acc" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {acc.imod.sva(1)} -pin "acc" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {acc.imod.sva(2)} -pin "acc" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {acc.imod.sva(3)} -pin "acc" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {acc.imod.sva(4)} -pin "acc" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {acc.imod.sva(5)} -pin "acc" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load net {acc.imod.sva(6)} -pin "acc" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/acc.imod.sva}
-load inst "FRAME:acc#33" "add(7,0,6,0,8)" "INTERFACE" -attr xrf 14291 -attr oid 164 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33} -attr area 8.272060 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,6,0,8)"
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {GND} -pin "FRAME:acc#33" {A(5)} -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#57.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {GND} -pin "FRAME:acc#33" {B(4)} -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#33" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#58.itm}
-load net {FRAME:acc#33.itm(0)} -pin "FRAME:acc#33" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(1)} -pin "FRAME:acc#33" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(2)} -pin "FRAME:acc#33" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(3)} -pin "FRAME:acc#33" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(4)} -pin "FRAME:acc#33" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(5)} -pin "FRAME:acc#33" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(6)} -pin "FRAME:acc#33" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load net {FRAME:acc#33.itm(7)} -pin "FRAME:acc#33" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#33.itm}
-load inst "FRAME:acc#3" "add(10,-1,10,-1,10)" "INTERFACE" -attr xrf 14292 -attr oid 165 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {FRAME:acc#33.itm(0)} -pin "FRAME:acc#3" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(1)} -pin "FRAME:acc#3" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(2)} -pin "FRAME:acc#3" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(3)} -pin "FRAME:acc#3" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(4)} -pin "FRAME:acc#3" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(5)} -pin "FRAME:acc#3" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(6)} -pin "FRAME:acc#3" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#33.itm(7)} -pin "FRAME:acc#3" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#3" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#3" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#34}
-load net {FRAME:acc#22.cse(0)} -pin "FRAME:acc#3" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(1)} -pin "FRAME:acc#3" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(2)} -pin "FRAME:acc#3" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(3)} -pin "FRAME:acc#3" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(4)} -pin "FRAME:acc#3" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(5)} -pin "FRAME:acc#3" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(6)} -pin "FRAME:acc#3" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(7)} -pin "FRAME:acc#3" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(8)} -pin "FRAME:acc#3" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#22.cse(9)} -pin "FRAME:acc#3" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#22.cse}
-load net {FRAME:acc#3.itm(0)} -pin "FRAME:acc#3" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(1)} -pin "FRAME:acc#3" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(2)} -pin "FRAME:acc#3" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(3)} -pin "FRAME:acc#3" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(4)} -pin "FRAME:acc#3" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(5)} -pin "FRAME:acc#3" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(6)} -pin "FRAME:acc#3" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(7)} -pin "FRAME:acc#3" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(8)} -pin "FRAME:acc#3" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(9)} -pin "FRAME:acc#3" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load inst "FRAME:or" "or(2,10)" "INTERFACE" -attr xrf 14293 -attr oid 166 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or} -attr area 7.298324 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(10,2)"
-load net {FRAME:acc#3.itm(0)} -pin "FRAME:or" {A0(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(1)} -pin "FRAME:or" {A0(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(2)} -pin "FRAME:or" {A0(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(3)} -pin "FRAME:or" {A0(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(4)} -pin "FRAME:or" {A0(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(5)} -pin "FRAME:or" {A0(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(6)} -pin "FRAME:or" {A0(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(7)} -pin "FRAME:or" {A0(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(8)} -pin "FRAME:or" {A0(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#3.itm(9)} -pin "FRAME:or" {A0(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#3.itm}
-load net {FRAME:acc#5.psp.sva(10)} -pin "FRAME:or" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(1)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(2)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(3)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(4)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(5)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(6)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(7)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(8)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {GND} -pin "FRAME:or" {A1(9)} -attr @path {/sobel/sobel:core/conc#59.itm}
-load net {FRAME:or.itm(0)} -pin "FRAME:or" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(1)} -pin "FRAME:or" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(2)} -pin "FRAME:or" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(3)} -pin "FRAME:or" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(4)} -pin "FRAME:or" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(5)} -pin "FRAME:or" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(6)} -pin "FRAME:or" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(7)} -pin "FRAME:or" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(8)} -pin "FRAME:or" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(9)} -pin "FRAME:or" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load inst "reg(vout:rsc:mgc_out_stdreg.d)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14294 -attr oid 167 -attr vt dc -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)}
-load net {FRAME:or.itm(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(6)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(7)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(8)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(9)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#8}
-load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {clk} -attr xrf 14295 -attr oid 168 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp}
-load inst "FRAME:or#3" "or(2,6)" "INTERFACE" -attr xrf 14296 -attr oid 169 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3} -attr area 4.378994 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(6,2)"
-load net {FRAME:acc#5.psp.sva(0)} -pin "FRAME:or#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:acc#5.psp.sva(1)} -pin "FRAME:or#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:acc#5.psp.sva(2)} -pin "FRAME:or#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:acc#5.psp.sva(3)} -pin "FRAME:or#3" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:acc#5.psp.sva(4)} -pin "FRAME:or#3" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:acc#5.psp.sva(5)} -pin "FRAME:or#3" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:acc#5.psp.sva(10)} -pin "FRAME:or#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {GND} -pin "FRAME:or#3" {A1(1)} -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {GND} -pin "FRAME:or#3" {A1(2)} -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {GND} -pin "FRAME:or#3" {A1(3)} -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {GND} -pin "FRAME:or#3" {A1(4)} -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {GND} -pin "FRAME:or#3" {A1(5)} -attr @path {/sobel/sobel:core/conc#60.itm}
-load net {FRAME:or#3.itm(0)} -pin "FRAME:or#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(1)} -pin "FRAME:or#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(2)} -pin "FRAME:or#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(3)} -pin "FRAME:or#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(4)} -pin "FRAME:or#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(5)} -pin "FRAME:or#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load inst "reg(vout:rsc:mgc_out_stdreg.d)#2" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 14297 -attr oid 170 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)#2}
-load net {FRAME:or#3.itm(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#10}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#10}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#10}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#10}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#10}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#10}
-load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {clk} -attr xrf 14298 -attr oid 171 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#2(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#2" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#2}
-load inst "reg(vout:rsc:mgc_out_stdreg.d)#3" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 14299 -attr oid 172 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)#3}
-load net {FRAME:acc#5.psp.sva(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#11}
-load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {clk} -attr xrf 14300 -attr oid 173 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-load net {reg(vout:rsc:mgc_out_stdreg.d).tmp#3(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)#3" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d).tmp#3}
-### END MODULE
-
-module new "sobel" "orig"
-load portBus {vin:rsc.z(89:0)} input 90 {vin:rsc.z(89)} {vin:rsc.z(88)} {vin:rsc.z(87)} {vin:rsc.z(86)} {vin:rsc.z(85)} {vin:rsc.z(84)} {vin:rsc.z(83)} {vin:rsc.z(82)} {vin:rsc.z(81)} {vin:rsc.z(80)} {vin:rsc.z(79)} {vin:rsc.z(78)} {vin:rsc.z(77)} {vin:rsc.z(76)} {vin:rsc.z(75)} {vin:rsc.z(74)} {vin:rsc.z(73)} {vin:rsc.z(72)} {vin:rsc.z(71)} {vin:rsc.z(70)} {vin:rsc.z(69)} {vin:rsc.z(68)} {vin:rsc.z(67)} {vin:rsc.z(66)} {vin:rsc.z(65)} {vin:rsc.z(64)} {vin:rsc.z(63)} {vin:rsc.z(62)} {vin:rsc.z(61)} {vin:rsc.z(60)} {vin:rsc.z(59)} {vin:rsc.z(58)} {vin:rsc.z(57)} {vin:rsc.z(56)} {vin:rsc.z(55)} {vin:rsc.z(54)} {vin:rsc.z(53)} {vin:rsc.z(52)} {vin:rsc.z(51)} {vin:rsc.z(50)} {vin:rsc.z(49)} {vin:rsc.z(48)} {vin:rsc.z(47)} {vin:rsc.z(46)} {vin:rsc.z(45)} {vin:rsc.z(44)} {vin:rsc.z(43)} {vin:rsc.z(42)} {vin:rsc.z(41)} {vin:rsc.z(40)} {vin:rsc.z(39)} {vin:rsc.z(38)} {vin:rsc.z(37)} {vin:rsc.z(36)} {vin:rsc.z(35)} {vin:rsc.z(34)} {vin:rsc.z(33)} {vin:rsc.z(32)} {vin:rsc.z(31)} {vin:rsc.z(30)} {vin:rsc.z(29)} {vin:rsc.z(28)} {vin:rsc.z(27)} {vin:rsc.z(26)} {vin:rsc.z(25)} {vin:rsc.z(24)} {vin:rsc.z(23)} {vin:rsc.z(22)} {vin:rsc.z(21)} {vin:rsc.z(20)} {vin:rsc.z(19)} {vin:rsc.z(18)} {vin:rsc.z(17)} {vin:rsc.z(16)} {vin:rsc.z(15)} {vin:rsc.z(14)} {vin:rsc.z(13)} {vin:rsc.z(12)} {vin:rsc.z(11)} {vin:rsc.z(10)} {vin:rsc.z(9)} {vin:rsc.z(8)} {vin:rsc.z(7)} {vin:rsc.z(6)} {vin:rsc.z(5)} {vin:rsc.z(4)} {vin:rsc.z(3)} {vin:rsc.z(2)} {vin:rsc.z(1)} {vin:rsc.z(0)} -attr xrf 14301 -attr oid 174 -attr vt d -attr @path {/sobel/vin:rsc.z}
-load portBus {vout:rsc.z(29:0)} output 30 {vout:rsc.z(29)} {vout:rsc.z(28)} {vout:rsc.z(27)} {vout:rsc.z(26)} {vout:rsc.z(25)} {vout:rsc.z(24)} {vout:rsc.z(23)} {vout:rsc.z(22)} {vout:rsc.z(21)} {vout:rsc.z(20)} {vout:rsc.z(19)} {vout:rsc.z(18)} {vout:rsc.z(17)} {vout:rsc.z(16)} {vout:rsc.z(15)} {vout:rsc.z(14)} {vout:rsc.z(13)} {vout:rsc.z(12)} {vout:rsc.z(11)} {vout:rsc.z(10)} {vout:rsc.z(9)} {vout:rsc.z(8)} {vout:rsc.z(7)} {vout:rsc.z(6)} {vout:rsc.z(5)} {vout:rsc.z(4)} {vout:rsc.z(3)} {vout:rsc.z(2)} {vout:rsc.z(1)} {vout:rsc.z(0)} -attr xrf 14302 -attr oid 175 -attr vt d -attr @path {/sobel/vout:rsc.z}
-load port {clk} input -attr xrf 14303 -attr oid 176 -attr vt d -attr @path {/sobel/clk}
-load port {en} input -attr xrf 14304 -attr oid 177 -attr vt d -attr @path {/sobel/en}
-load port {arst_n} input -attr xrf 14305 -attr oid 178 -attr vt d -attr @path {/sobel/arst_n}
-load symbol "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" GEN boxcolor 0 \
- portBus {d(89:0)} output 90 {d(89)} {d(88)} {d(87)} {d(86)} {d(85)} {d(84)} {d(83)} {d(82)} {d(81)} {d(80)} {d(79)} {d(78)} {d(77)} {d(76)} {d(75)} {d(74)} {d(73)} {d(72)} {d(71)} {d(70)} {d(69)} {d(68)} {d(67)} {d(66)} {d(65)} {d(64)} {d(63)} {d(62)} {d(61)} {d(60)} {d(59)} {d(58)} {d(57)} {d(56)} {d(55)} {d(54)} {d(53)} {d(52)} {d(51)} {d(50)} {d(49)} {d(48)} {d(47)} {d(46)} {d(45)} {d(44)} {d(43)} {d(42)} {d(41)} {d(40)} {d(39)} {d(38)} {d(37)} {d(36)} {d(35)} {d(34)} {d(33)} {d(32)} {d(31)} {d(30)} {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
- portBus {z(89:0)} input 90 {z(89)} {z(88)} {z(87)} {z(86)} {z(85)} {z(84)} {z(83)} {z(82)} {z(81)} {z(80)} {z(79)} {z(78)} {z(77)} {z(76)} {z(75)} {z(74)} {z(73)} {z(72)} {z(71)} {z(70)} {z(69)} {z(68)} {z(67)} {z(66)} {z(65)} {z(64)} {z(63)} {z(62)} {z(61)} {z(60)} {z(59)} {z(58)} {z(57)} {z(56)} {z(55)} {z(54)} {z(53)} {z(52)} {z(51)} {z(50)} {z(49)} {z(48)} {z(47)} {z(46)} {z(45)} {z(44)} {z(43)} {z(42)} {z(41)} {z(40)} {z(39)} {z(38)} {z(37)} {z(36)} {z(35)} {z(34)} {z(33)} {z(32)} {z(31)} {z(30)} {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
-
-load symbol "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" GEN boxcolor 0 \
- portBus {d(29:0)} input 30 {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
- portBus {z(29:0)} output 30 {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
-
-load symbol "sobel:core" "orig" GEN \
- port {clk#1} input \
- port {en#1} input \
- port {arst_n#1} input \
- portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} \
- portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} \
-
-load net {vin:rsc:mgc_in_wire.d#1(0)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(1)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(2)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(3)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(4)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(5)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(6)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(7)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(8)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(9)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(10)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(11)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(12)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(13)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(14)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(15)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(16)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(17)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(18)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(19)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(20)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(21)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(22)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(23)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(24)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(25)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(26)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(27)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(28)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(29)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(30)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(31)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(32)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(33)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(34)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(35)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(36)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(37)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(38)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(39)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(40)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(41)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(42)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(43)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(44)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(45)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(46)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(47)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(48)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(49)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(50)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(51)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(52)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(53)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(54)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(55)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(56)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(57)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(58)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(59)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(60)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(61)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(62)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(63)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(64)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(65)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(66)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(67)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(68)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(69)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(70)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(71)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(72)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(73)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(74)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(75)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(76)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(77)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(78)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(79)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(80)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(81)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(82)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(83)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(84)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(85)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(86)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(87)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(88)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(89)} -attr vt d
-load netBundle {vin:rsc:mgc_in_wire.d#1} 90 {vin:rsc:mgc_in_wire.d#1(0)} {vin:rsc:mgc_in_wire.d#1(1)} {vin:rsc:mgc_in_wire.d#1(2)} {vin:rsc:mgc_in_wire.d#1(3)} {vin:rsc:mgc_in_wire.d#1(4)} {vin:rsc:mgc_in_wire.d#1(5)} {vin:rsc:mgc_in_wire.d#1(6)} {vin:rsc:mgc_in_wire.d#1(7)} {vin:rsc:mgc_in_wire.d#1(8)} {vin:rsc:mgc_in_wire.d#1(9)} {vin:rsc:mgc_in_wire.d#1(10)} {vin:rsc:mgc_in_wire.d#1(11)} {vin:rsc:mgc_in_wire.d#1(12)} {vin:rsc:mgc_in_wire.d#1(13)} {vin:rsc:mgc_in_wire.d#1(14)} {vin:rsc:mgc_in_wire.d#1(15)} {vin:rsc:mgc_in_wire.d#1(16)} {vin:rsc:mgc_in_wire.d#1(17)} {vin:rsc:mgc_in_wire.d#1(18)} {vin:rsc:mgc_in_wire.d#1(19)} {vin:rsc:mgc_in_wire.d#1(20)} {vin:rsc:mgc_in_wire.d#1(21)} {vin:rsc:mgc_in_wire.d#1(22)} {vin:rsc:mgc_in_wire.d#1(23)} {vin:rsc:mgc_in_wire.d#1(24)} {vin:rsc:mgc_in_wire.d#1(25)} {vin:rsc:mgc_in_wire.d#1(26)} {vin:rsc:mgc_in_wire.d#1(27)} {vin:rsc:mgc_in_wire.d#1(28)} {vin:rsc:mgc_in_wire.d#1(29)} {vin:rsc:mgc_in_wire.d#1(30)} {vin:rsc:mgc_in_wire.d#1(31)} {vin:rsc:mgc_in_wire.d#1(32)} {vin:rsc:mgc_in_wire.d#1(33)} {vin:rsc:mgc_in_wire.d#1(34)} {vin:rsc:mgc_in_wire.d#1(35)} {vin:rsc:mgc_in_wire.d#1(36)} {vin:rsc:mgc_in_wire.d#1(37)} {vin:rsc:mgc_in_wire.d#1(38)} {vin:rsc:mgc_in_wire.d#1(39)} {vin:rsc:mgc_in_wire.d#1(40)} {vin:rsc:mgc_in_wire.d#1(41)} {vin:rsc:mgc_in_wire.d#1(42)} {vin:rsc:mgc_in_wire.d#1(43)} {vin:rsc:mgc_in_wire.d#1(44)} {vin:rsc:mgc_in_wire.d#1(45)} {vin:rsc:mgc_in_wire.d#1(46)} {vin:rsc:mgc_in_wire.d#1(47)} {vin:rsc:mgc_in_wire.d#1(48)} {vin:rsc:mgc_in_wire.d#1(49)} {vin:rsc:mgc_in_wire.d#1(50)} {vin:rsc:mgc_in_wire.d#1(51)} {vin:rsc:mgc_in_wire.d#1(52)} {vin:rsc:mgc_in_wire.d#1(53)} {vin:rsc:mgc_in_wire.d#1(54)} {vin:rsc:mgc_in_wire.d#1(55)} {vin:rsc:mgc_in_wire.d#1(56)} {vin:rsc:mgc_in_wire.d#1(57)} {vin:rsc:mgc_in_wire.d#1(58)} {vin:rsc:mgc_in_wire.d#1(59)} {vin:rsc:mgc_in_wire.d#1(60)} {vin:rsc:mgc_in_wire.d#1(61)} {vin:rsc:mgc_in_wire.d#1(62)} {vin:rsc:mgc_in_wire.d#1(63)} {vin:rsc:mgc_in_wire.d#1(64)} {vin:rsc:mgc_in_wire.d#1(65)} {vin:rsc:mgc_in_wire.d#1(66)} {vin:rsc:mgc_in_wire.d#1(67)} {vin:rsc:mgc_in_wire.d#1(68)} {vin:rsc:mgc_in_wire.d#1(69)} {vin:rsc:mgc_in_wire.d#1(70)} {vin:rsc:mgc_in_wire.d#1(71)} {vin:rsc:mgc_in_wire.d#1(72)} {vin:rsc:mgc_in_wire.d#1(73)} {vin:rsc:mgc_in_wire.d#1(74)} {vin:rsc:mgc_in_wire.d#1(75)} {vin:rsc:mgc_in_wire.d#1(76)} {vin:rsc:mgc_in_wire.d#1(77)} {vin:rsc:mgc_in_wire.d#1(78)} {vin:rsc:mgc_in_wire.d#1(79)} {vin:rsc:mgc_in_wire.d#1(80)} {vin:rsc:mgc_in_wire.d#1(81)} {vin:rsc:mgc_in_wire.d#1(82)} {vin:rsc:mgc_in_wire.d#1(83)} {vin:rsc:mgc_in_wire.d#1(84)} {vin:rsc:mgc_in_wire.d#1(85)} {vin:rsc:mgc_in_wire.d#1(86)} {vin:rsc:mgc_in_wire.d#1(87)} {vin:rsc:mgc_in_wire.d#1(88)} {vin:rsc:mgc_in_wire.d#1(89)} -attr xrf 14306 -attr oid 179 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(0)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(1)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(2)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(3)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(4)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(5)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(6)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(7)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(8)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(9)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(10)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(11)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(12)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(13)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(14)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(15)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(16)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(17)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(18)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(19)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(20)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(21)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(22)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(23)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(24)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(25)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(26)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(27)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(28)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(29)} -attr vt d
-load netBundle {vout:rsc:mgc_out_stdreg.d#1} 30 {vout:rsc:mgc_out_stdreg.d#1(0)} {vout:rsc:mgc_out_stdreg.d#1(1)} {vout:rsc:mgc_out_stdreg.d#1(2)} {vout:rsc:mgc_out_stdreg.d#1(3)} {vout:rsc:mgc_out_stdreg.d#1(4)} {vout:rsc:mgc_out_stdreg.d#1(5)} {vout:rsc:mgc_out_stdreg.d#1(6)} {vout:rsc:mgc_out_stdreg.d#1(7)} {vout:rsc:mgc_out_stdreg.d#1(8)} {vout:rsc:mgc_out_stdreg.d#1(9)} {vout:rsc:mgc_out_stdreg.d#1(10)} {vout:rsc:mgc_out_stdreg.d#1(11)} {vout:rsc:mgc_out_stdreg.d#1(12)} {vout:rsc:mgc_out_stdreg.d#1(13)} {vout:rsc:mgc_out_stdreg.d#1(14)} {vout:rsc:mgc_out_stdreg.d#1(15)} {vout:rsc:mgc_out_stdreg.d#1(16)} {vout:rsc:mgc_out_stdreg.d#1(17)} {vout:rsc:mgc_out_stdreg.d#1(18)} {vout:rsc:mgc_out_stdreg.d#1(19)} {vout:rsc:mgc_out_stdreg.d#1(20)} {vout:rsc:mgc_out_stdreg.d#1(21)} {vout:rsc:mgc_out_stdreg.d#1(22)} {vout:rsc:mgc_out_stdreg.d#1(23)} {vout:rsc:mgc_out_stdreg.d#1(24)} {vout:rsc:mgc_out_stdreg.d#1(25)} {vout:rsc:mgc_out_stdreg.d#1(26)} {vout:rsc:mgc_out_stdreg.d#1(27)} {vout:rsc:mgc_out_stdreg.d#1(28)} {vout:rsc:mgc_out_stdreg.d#1(29)} -attr xrf 14307 -attr oid 180 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vin:rsc.z(0)} -attr vt d
-load net {vin:rsc.z(1)} -attr vt d
-load net {vin:rsc.z(2)} -attr vt d
-load net {vin:rsc.z(3)} -attr vt d
-load net {vin:rsc.z(4)} -attr vt d
-load net {vin:rsc.z(5)} -attr vt d
-load net {vin:rsc.z(6)} -attr vt d
-load net {vin:rsc.z(7)} -attr vt d
-load net {vin:rsc.z(8)} -attr vt d
-load net {vin:rsc.z(9)} -attr vt d
-load net {vin:rsc.z(10)} -attr vt d
-load net {vin:rsc.z(11)} -attr vt d
-load net {vin:rsc.z(12)} -attr vt d
-load net {vin:rsc.z(13)} -attr vt d
-load net {vin:rsc.z(14)} -attr vt d
-load net {vin:rsc.z(15)} -attr vt d
-load net {vin:rsc.z(16)} -attr vt d
-load net {vin:rsc.z(17)} -attr vt d
-load net {vin:rsc.z(18)} -attr vt d
-load net {vin:rsc.z(19)} -attr vt d
-load net {vin:rsc.z(20)} -attr vt d
-load net {vin:rsc.z(21)} -attr vt d
-load net {vin:rsc.z(22)} -attr vt d
-load net {vin:rsc.z(23)} -attr vt d
-load net {vin:rsc.z(24)} -attr vt d
-load net {vin:rsc.z(25)} -attr vt d
-load net {vin:rsc.z(26)} -attr vt d
-load net {vin:rsc.z(27)} -attr vt d
-load net {vin:rsc.z(28)} -attr vt d
-load net {vin:rsc.z(29)} -attr vt d
-load net {vin:rsc.z(30)} -attr vt d
-load net {vin:rsc.z(31)} -attr vt d
-load net {vin:rsc.z(32)} -attr vt d
-load net {vin:rsc.z(33)} -attr vt d
-load net {vin:rsc.z(34)} -attr vt d
-load net {vin:rsc.z(35)} -attr vt d
-load net {vin:rsc.z(36)} -attr vt d
-load net {vin:rsc.z(37)} -attr vt d
-load net {vin:rsc.z(38)} -attr vt d
-load net {vin:rsc.z(39)} -attr vt d
-load net {vin:rsc.z(40)} -attr vt d
-load net {vin:rsc.z(41)} -attr vt d
-load net {vin:rsc.z(42)} -attr vt d
-load net {vin:rsc.z(43)} -attr vt d
-load net {vin:rsc.z(44)} -attr vt d
-load net {vin:rsc.z(45)} -attr vt d
-load net {vin:rsc.z(46)} -attr vt d
-load net {vin:rsc.z(47)} -attr vt d
-load net {vin:rsc.z(48)} -attr vt d
-load net {vin:rsc.z(49)} -attr vt d
-load net {vin:rsc.z(50)} -attr vt d
-load net {vin:rsc.z(51)} -attr vt d
-load net {vin:rsc.z(52)} -attr vt d
-load net {vin:rsc.z(53)} -attr vt d
-load net {vin:rsc.z(54)} -attr vt d
-load net {vin:rsc.z(55)} -attr vt d
-load net {vin:rsc.z(56)} -attr vt d
-load net {vin:rsc.z(57)} -attr vt d
-load net {vin:rsc.z(58)} -attr vt d
-load net {vin:rsc.z(59)} -attr vt d
-load net {vin:rsc.z(60)} -attr vt d
-load net {vin:rsc.z(61)} -attr vt d
-load net {vin:rsc.z(62)} -attr vt d
-load net {vin:rsc.z(63)} -attr vt d
-load net {vin:rsc.z(64)} -attr vt d
-load net {vin:rsc.z(65)} -attr vt d
-load net {vin:rsc.z(66)} -attr vt d
-load net {vin:rsc.z(67)} -attr vt d
-load net {vin:rsc.z(68)} -attr vt d
-load net {vin:rsc.z(69)} -attr vt d
-load net {vin:rsc.z(70)} -attr vt d
-load net {vin:rsc.z(71)} -attr vt d
-load net {vin:rsc.z(72)} -attr vt d
-load net {vin:rsc.z(73)} -attr vt d
-load net {vin:rsc.z(74)} -attr vt d
-load net {vin:rsc.z(75)} -attr vt d
-load net {vin:rsc.z(76)} -attr vt d
-load net {vin:rsc.z(77)} -attr vt d
-load net {vin:rsc.z(78)} -attr vt d
-load net {vin:rsc.z(79)} -attr vt d
-load net {vin:rsc.z(80)} -attr vt d
-load net {vin:rsc.z(81)} -attr vt d
-load net {vin:rsc.z(82)} -attr vt d
-load net {vin:rsc.z(83)} -attr vt d
-load net {vin:rsc.z(84)} -attr vt d
-load net {vin:rsc.z(85)} -attr vt d
-load net {vin:rsc.z(86)} -attr vt d
-load net {vin:rsc.z(87)} -attr vt d
-load net {vin:rsc.z(88)} -attr vt d
-load net {vin:rsc.z(89)} -attr vt d
-load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 14308 -attr oid 181 -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(0)} -port {vin:rsc.z(0)} -attr vt d
-load net {vin:rsc.z(1)} -port {vin:rsc.z(1)} -attr vt d
-load net {vin:rsc.z(2)} -port {vin:rsc.z(2)} -attr vt d
-load net {vin:rsc.z(3)} -port {vin:rsc.z(3)} -attr vt d
-load net {vin:rsc.z(4)} -port {vin:rsc.z(4)} -attr vt d
-load net {vin:rsc.z(5)} -port {vin:rsc.z(5)} -attr vt d
-load net {vin:rsc.z(6)} -port {vin:rsc.z(6)} -attr vt d
-load net {vin:rsc.z(7)} -port {vin:rsc.z(7)} -attr vt d
-load net {vin:rsc.z(8)} -port {vin:rsc.z(8)} -attr vt d
-load net {vin:rsc.z(9)} -port {vin:rsc.z(9)} -attr vt d
-load net {vin:rsc.z(10)} -port {vin:rsc.z(10)} -attr vt d
-load net {vin:rsc.z(11)} -port {vin:rsc.z(11)} -attr vt d
-load net {vin:rsc.z(12)} -port {vin:rsc.z(12)} -attr vt d
-load net {vin:rsc.z(13)} -port {vin:rsc.z(13)} -attr vt d
-load net {vin:rsc.z(14)} -port {vin:rsc.z(14)} -attr vt d
-load net {vin:rsc.z(15)} -port {vin:rsc.z(15)} -attr vt d
-load net {vin:rsc.z(16)} -port {vin:rsc.z(16)} -attr vt d
-load net {vin:rsc.z(17)} -port {vin:rsc.z(17)} -attr vt d
-load net {vin:rsc.z(18)} -port {vin:rsc.z(18)} -attr vt d
-load net {vin:rsc.z(19)} -port {vin:rsc.z(19)} -attr vt d
-load net {vin:rsc.z(20)} -port {vin:rsc.z(20)} -attr vt d
-load net {vin:rsc.z(21)} -port {vin:rsc.z(21)} -attr vt d
-load net {vin:rsc.z(22)} -port {vin:rsc.z(22)} -attr vt d
-load net {vin:rsc.z(23)} -port {vin:rsc.z(23)} -attr vt d
-load net {vin:rsc.z(24)} -port {vin:rsc.z(24)} -attr vt d
-load net {vin:rsc.z(25)} -port {vin:rsc.z(25)} -attr vt d
-load net {vin:rsc.z(26)} -port {vin:rsc.z(26)} -attr vt d
-load net {vin:rsc.z(27)} -port {vin:rsc.z(27)} -attr vt d
-load net {vin:rsc.z(28)} -port {vin:rsc.z(28)} -attr vt d
-load net {vin:rsc.z(29)} -port {vin:rsc.z(29)} -attr vt d
-load net {vin:rsc.z(30)} -port {vin:rsc.z(30)} -attr vt d
-load net {vin:rsc.z(31)} -port {vin:rsc.z(31)} -attr vt d
-load net {vin:rsc.z(32)} -port {vin:rsc.z(32)} -attr vt d
-load net {vin:rsc.z(33)} -port {vin:rsc.z(33)} -attr vt d
-load net {vin:rsc.z(34)} -port {vin:rsc.z(34)} -attr vt d
-load net {vin:rsc.z(35)} -port {vin:rsc.z(35)} -attr vt d
-load net {vin:rsc.z(36)} -port {vin:rsc.z(36)} -attr vt d
-load net {vin:rsc.z(37)} -port {vin:rsc.z(37)} -attr vt d
-load net {vin:rsc.z(38)} -port {vin:rsc.z(38)} -attr vt d
-load net {vin:rsc.z(39)} -port {vin:rsc.z(39)} -attr vt d
-load net {vin:rsc.z(40)} -port {vin:rsc.z(40)} -attr vt d
-load net {vin:rsc.z(41)} -port {vin:rsc.z(41)} -attr vt d
-load net {vin:rsc.z(42)} -port {vin:rsc.z(42)} -attr vt d
-load net {vin:rsc.z(43)} -port {vin:rsc.z(43)} -attr vt d
-load net {vin:rsc.z(44)} -port {vin:rsc.z(44)} -attr vt d
-load net {vin:rsc.z(45)} -port {vin:rsc.z(45)} -attr vt d
-load net {vin:rsc.z(46)} -port {vin:rsc.z(46)} -attr vt d
-load net {vin:rsc.z(47)} -port {vin:rsc.z(47)} -attr vt d
-load net {vin:rsc.z(48)} -port {vin:rsc.z(48)} -attr vt d
-load net {vin:rsc.z(49)} -port {vin:rsc.z(49)} -attr vt d
-load net {vin:rsc.z(50)} -port {vin:rsc.z(50)} -attr vt d
-load net {vin:rsc.z(51)} -port {vin:rsc.z(51)} -attr vt d
-load net {vin:rsc.z(52)} -port {vin:rsc.z(52)} -attr vt d
-load net {vin:rsc.z(53)} -port {vin:rsc.z(53)} -attr vt d
-load net {vin:rsc.z(54)} -port {vin:rsc.z(54)} -attr vt d
-load net {vin:rsc.z(55)} -port {vin:rsc.z(55)} -attr vt d
-load net {vin:rsc.z(56)} -port {vin:rsc.z(56)} -attr vt d
-load net {vin:rsc.z(57)} -port {vin:rsc.z(57)} -attr vt d
-load net {vin:rsc.z(58)} -port {vin:rsc.z(58)} -attr vt d
-load net {vin:rsc.z(59)} -port {vin:rsc.z(59)} -attr vt d
-load net {vin:rsc.z(60)} -port {vin:rsc.z(60)} -attr vt d
-load net {vin:rsc.z(61)} -port {vin:rsc.z(61)} -attr vt d
-load net {vin:rsc.z(62)} -port {vin:rsc.z(62)} -attr vt d
-load net {vin:rsc.z(63)} -port {vin:rsc.z(63)} -attr vt d
-load net {vin:rsc.z(64)} -port {vin:rsc.z(64)} -attr vt d
-load net {vin:rsc.z(65)} -port {vin:rsc.z(65)} -attr vt d
-load net {vin:rsc.z(66)} -port {vin:rsc.z(66)} -attr vt d
-load net {vin:rsc.z(67)} -port {vin:rsc.z(67)} -attr vt d
-load net {vin:rsc.z(68)} -port {vin:rsc.z(68)} -attr vt d
-load net {vin:rsc.z(69)} -port {vin:rsc.z(69)} -attr vt d
-load net {vin:rsc.z(70)} -port {vin:rsc.z(70)} -attr vt d
-load net {vin:rsc.z(71)} -port {vin:rsc.z(71)} -attr vt d
-load net {vin:rsc.z(72)} -port {vin:rsc.z(72)} -attr vt d
-load net {vin:rsc.z(73)} -port {vin:rsc.z(73)} -attr vt d
-load net {vin:rsc.z(74)} -port {vin:rsc.z(74)} -attr vt d
-load net {vin:rsc.z(75)} -port {vin:rsc.z(75)} -attr vt d
-load net {vin:rsc.z(76)} -port {vin:rsc.z(76)} -attr vt d
-load net {vin:rsc.z(77)} -port {vin:rsc.z(77)} -attr vt d
-load net {vin:rsc.z(78)} -port {vin:rsc.z(78)} -attr vt d
-load net {vin:rsc.z(79)} -port {vin:rsc.z(79)} -attr vt d
-load net {vin:rsc.z(80)} -port {vin:rsc.z(80)} -attr vt d
-load net {vin:rsc.z(81)} -port {vin:rsc.z(81)} -attr vt d
-load net {vin:rsc.z(82)} -port {vin:rsc.z(82)} -attr vt d
-load net {vin:rsc.z(83)} -port {vin:rsc.z(83)} -attr vt d
-load net {vin:rsc.z(84)} -port {vin:rsc.z(84)} -attr vt d
-load net {vin:rsc.z(85)} -port {vin:rsc.z(85)} -attr vt d
-load net {vin:rsc.z(86)} -port {vin:rsc.z(86)} -attr vt d
-load net {vin:rsc.z(87)} -port {vin:rsc.z(87)} -attr vt d
-load net {vin:rsc.z(88)} -port {vin:rsc.z(88)} -attr vt d
-load net {vin:rsc.z(89)} -port {vin:rsc.z(89)} -attr vt d
-load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 14309 -attr oid 182 -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vout:rsc.z(0)} -attr vt d
-load net {vout:rsc.z(1)} -attr vt d
-load net {vout:rsc.z(2)} -attr vt d
-load net {vout:rsc.z(3)} -attr vt d
-load net {vout:rsc.z(4)} -attr vt d
-load net {vout:rsc.z(5)} -attr vt d
-load net {vout:rsc.z(6)} -attr vt d
-load net {vout:rsc.z(7)} -attr vt d
-load net {vout:rsc.z(8)} -attr vt d
-load net {vout:rsc.z(9)} -attr vt d
-load net {vout:rsc.z(10)} -attr vt d
-load net {vout:rsc.z(11)} -attr vt d
-load net {vout:rsc.z(12)} -attr vt d
-load net {vout:rsc.z(13)} -attr vt d
-load net {vout:rsc.z(14)} -attr vt d
-load net {vout:rsc.z(15)} -attr vt d
-load net {vout:rsc.z(16)} -attr vt d
-load net {vout:rsc.z(17)} -attr vt d
-load net {vout:rsc.z(18)} -attr vt d
-load net {vout:rsc.z(19)} -attr vt d
-load net {vout:rsc.z(20)} -attr vt d
-load net {vout:rsc.z(21)} -attr vt d
-load net {vout:rsc.z(22)} -attr vt d
-load net {vout:rsc.z(23)} -attr vt d
-load net {vout:rsc.z(24)} -attr vt d
-load net {vout:rsc.z(25)} -attr vt d
-load net {vout:rsc.z(26)} -attr vt d
-load net {vout:rsc.z(27)} -attr vt d
-load net {vout:rsc.z(28)} -attr vt d
-load net {vout:rsc.z(29)} -attr vt d
-load netBundle {vout:rsc.z} 30 {vout:rsc.z(0)} {vout:rsc.z(1)} {vout:rsc.z(2)} {vout:rsc.z(3)} {vout:rsc.z(4)} {vout:rsc.z(5)} {vout:rsc.z(6)} {vout:rsc.z(7)} {vout:rsc.z(8)} {vout:rsc.z(9)} {vout:rsc.z(10)} {vout:rsc.z(11)} {vout:rsc.z(12)} {vout:rsc.z(13)} {vout:rsc.z(14)} {vout:rsc.z(15)} {vout:rsc.z(16)} {vout:rsc.z(17)} {vout:rsc.z(18)} {vout:rsc.z(19)} {vout:rsc.z(20)} {vout:rsc.z(21)} {vout:rsc.z(22)} {vout:rsc.z(23)} {vout:rsc.z(24)} {vout:rsc.z(25)} {vout:rsc.z(26)} {vout:rsc.z(27)} {vout:rsc.z(28)} {vout:rsc.z(29)} -attr xrf 14310 -attr oid 183 -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(0)} -port {vout:rsc.z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(1)} -port {vout:rsc.z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(2)} -port {vout:rsc.z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(3)} -port {vout:rsc.z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(4)} -port {vout:rsc.z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(5)} -port {vout:rsc.z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(6)} -port {vout:rsc.z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(7)} -port {vout:rsc.z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(8)} -port {vout:rsc.z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(9)} -port {vout:rsc.z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(10)} -port {vout:rsc.z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(11)} -port {vout:rsc.z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(12)} -port {vout:rsc.z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(13)} -port {vout:rsc.z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(14)} -port {vout:rsc.z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(15)} -port {vout:rsc.z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(16)} -port {vout:rsc.z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(17)} -port {vout:rsc.z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(18)} -port {vout:rsc.z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(19)} -port {vout:rsc.z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(20)} -port {vout:rsc.z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(21)} -port {vout:rsc.z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(22)} -port {vout:rsc.z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(23)} -port {vout:rsc.z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(24)} -port {vout:rsc.z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(25)} -port {vout:rsc.z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(26)} -port {vout:rsc.z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(27)} -port {vout:rsc.z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(28)} -port {vout:rsc.z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(29)} -port {vout:rsc.z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {clk} -attr xrf 14311 -attr oid 184
-load net {clk} -port {clk} -attr xrf 14312 -attr oid 185
-load net {en} -attr xrf 14313 -attr oid 186
-load net {en} -port {en} -attr xrf 14314 -attr oid 187
-load net {arst_n} -attr xrf 14315 -attr oid 188
-load net {arst_n} -port {arst_n} -attr xrf 14316 -attr oid 189
-load inst "sobel:core:inst" "sobel:core" "orig" -attr xrf 14317 -attr oid 190 -attr vt dc -attr @path {/sobel/sobel:core:inst} -attr area 581.424289 -attr delay 14.432003 -attr hier "/sobel/sobel:core" -pg 1 -lvl 3
-load net {clk} -pin "sobel:core:inst" {clk#1} -attr xrf 14318 -attr oid 191 -attr @path {/sobel/clk}
-load net {en} -pin "sobel:core:inst" {en#1} -attr xrf 14319 -attr oid 192 -attr @path {/sobel/en}
-load net {arst_n} -pin "sobel:core:inst" {arst_n#1} -attr xrf 14320 -attr oid 193 -attr @path {/sobel/arst_n}
-load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(0)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(1)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(2)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(3)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(4)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(5)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(6)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(7)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(8)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(9)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(10)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(11)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(12)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(13)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(14)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(15)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(16)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(17)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(18)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(19)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(20)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(21)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(22)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(23)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(24)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(25)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(26)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(27)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(28)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(29)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(30)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(31)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(32)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(33)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(34)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(35)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(36)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(37)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(38)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(39)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(40)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(41)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(42)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(43)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(44)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(45)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(46)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(47)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(48)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(49)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(50)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(51)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(52)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(53)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(54)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(55)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(56)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(57)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(58)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(59)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(60)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(61)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(62)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(63)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(64)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(65)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(66)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(67)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(68)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(69)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(70)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(71)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(72)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(73)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(74)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(75)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(76)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(77)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(78)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(79)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(80)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(81)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(82)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(83)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(84)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(85)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(86)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(87)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(88)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(89)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(0)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(1)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(2)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(3)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(4)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(5)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(6)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(7)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(8)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(9)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(10)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(11)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(12)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(13)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(14)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(15)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(16)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(17)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(18)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(19)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(20)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(21)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(22)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(23)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(24)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(25)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(26)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(27)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(28)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(29)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load inst "vin:rsc:mgc_in_wire" "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" -attr xrf 14321 -attr oid 194 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_in_wire(1,90)" -pg 1 -lvl 1
-load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "vin:rsc:mgc_in_wire" {d(0)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "vin:rsc:mgc_in_wire" {d(1)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "vin:rsc:mgc_in_wire" {d(2)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "vin:rsc:mgc_in_wire" {d(3)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "vin:rsc:mgc_in_wire" {d(4)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "vin:rsc:mgc_in_wire" {d(5)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "vin:rsc:mgc_in_wire" {d(6)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "vin:rsc:mgc_in_wire" {d(7)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "vin:rsc:mgc_in_wire" {d(8)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "vin:rsc:mgc_in_wire" {d(9)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "vin:rsc:mgc_in_wire" {d(10)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "vin:rsc:mgc_in_wire" {d(11)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "vin:rsc:mgc_in_wire" {d(12)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "vin:rsc:mgc_in_wire" {d(13)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "vin:rsc:mgc_in_wire" {d(14)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "vin:rsc:mgc_in_wire" {d(15)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "vin:rsc:mgc_in_wire" {d(16)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "vin:rsc:mgc_in_wire" {d(17)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "vin:rsc:mgc_in_wire" {d(18)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "vin:rsc:mgc_in_wire" {d(19)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "vin:rsc:mgc_in_wire" {d(20)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "vin:rsc:mgc_in_wire" {d(21)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "vin:rsc:mgc_in_wire" {d(22)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "vin:rsc:mgc_in_wire" {d(23)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "vin:rsc:mgc_in_wire" {d(24)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "vin:rsc:mgc_in_wire" {d(25)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "vin:rsc:mgc_in_wire" {d(26)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "vin:rsc:mgc_in_wire" {d(27)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "vin:rsc:mgc_in_wire" {d(28)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "vin:rsc:mgc_in_wire" {d(29)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "vin:rsc:mgc_in_wire" {d(30)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "vin:rsc:mgc_in_wire" {d(31)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "vin:rsc:mgc_in_wire" {d(32)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "vin:rsc:mgc_in_wire" {d(33)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "vin:rsc:mgc_in_wire" {d(34)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "vin:rsc:mgc_in_wire" {d(35)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "vin:rsc:mgc_in_wire" {d(36)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "vin:rsc:mgc_in_wire" {d(37)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "vin:rsc:mgc_in_wire" {d(38)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "vin:rsc:mgc_in_wire" {d(39)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "vin:rsc:mgc_in_wire" {d(40)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "vin:rsc:mgc_in_wire" {d(41)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "vin:rsc:mgc_in_wire" {d(42)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "vin:rsc:mgc_in_wire" {d(43)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "vin:rsc:mgc_in_wire" {d(44)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "vin:rsc:mgc_in_wire" {d(45)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "vin:rsc:mgc_in_wire" {d(46)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "vin:rsc:mgc_in_wire" {d(47)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "vin:rsc:mgc_in_wire" {d(48)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "vin:rsc:mgc_in_wire" {d(49)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "vin:rsc:mgc_in_wire" {d(50)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "vin:rsc:mgc_in_wire" {d(51)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "vin:rsc:mgc_in_wire" {d(52)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "vin:rsc:mgc_in_wire" {d(53)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "vin:rsc:mgc_in_wire" {d(54)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "vin:rsc:mgc_in_wire" {d(55)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "vin:rsc:mgc_in_wire" {d(56)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "vin:rsc:mgc_in_wire" {d(57)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "vin:rsc:mgc_in_wire" {d(58)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "vin:rsc:mgc_in_wire" {d(59)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "vin:rsc:mgc_in_wire" {d(60)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "vin:rsc:mgc_in_wire" {d(61)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "vin:rsc:mgc_in_wire" {d(62)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "vin:rsc:mgc_in_wire" {d(63)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "vin:rsc:mgc_in_wire" {d(64)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "vin:rsc:mgc_in_wire" {d(65)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "vin:rsc:mgc_in_wire" {d(66)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "vin:rsc:mgc_in_wire" {d(67)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "vin:rsc:mgc_in_wire" {d(68)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "vin:rsc:mgc_in_wire" {d(69)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "vin:rsc:mgc_in_wire" {d(70)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "vin:rsc:mgc_in_wire" {d(71)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "vin:rsc:mgc_in_wire" {d(72)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "vin:rsc:mgc_in_wire" {d(73)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "vin:rsc:mgc_in_wire" {d(74)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "vin:rsc:mgc_in_wire" {d(75)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "vin:rsc:mgc_in_wire" {d(76)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "vin:rsc:mgc_in_wire" {d(77)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "vin:rsc:mgc_in_wire" {d(78)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "vin:rsc:mgc_in_wire" {d(79)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "vin:rsc:mgc_in_wire" {d(80)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "vin:rsc:mgc_in_wire" {d(81)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "vin:rsc:mgc_in_wire" {d(82)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "vin:rsc:mgc_in_wire" {d(83)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "vin:rsc:mgc_in_wire" {d(84)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "vin:rsc:mgc_in_wire" {d(85)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "vin:rsc:mgc_in_wire" {d(86)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "vin:rsc:mgc_in_wire" {d(87)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "vin:rsc:mgc_in_wire" {d(88)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "vin:rsc:mgc_in_wire" {d(89)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc.z(0)} -pin "vin:rsc:mgc_in_wire" {z(0)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(1)} -pin "vin:rsc:mgc_in_wire" {z(1)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(2)} -pin "vin:rsc:mgc_in_wire" {z(2)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(3)} -pin "vin:rsc:mgc_in_wire" {z(3)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(4)} -pin "vin:rsc:mgc_in_wire" {z(4)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(5)} -pin "vin:rsc:mgc_in_wire" {z(5)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(6)} -pin "vin:rsc:mgc_in_wire" {z(6)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(7)} -pin "vin:rsc:mgc_in_wire" {z(7)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(8)} -pin "vin:rsc:mgc_in_wire" {z(8)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(9)} -pin "vin:rsc:mgc_in_wire" {z(9)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(10)} -pin "vin:rsc:mgc_in_wire" {z(10)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(11)} -pin "vin:rsc:mgc_in_wire" {z(11)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(12)} -pin "vin:rsc:mgc_in_wire" {z(12)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(13)} -pin "vin:rsc:mgc_in_wire" {z(13)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(14)} -pin "vin:rsc:mgc_in_wire" {z(14)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(15)} -pin "vin:rsc:mgc_in_wire" {z(15)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(16)} -pin "vin:rsc:mgc_in_wire" {z(16)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(17)} -pin "vin:rsc:mgc_in_wire" {z(17)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(18)} -pin "vin:rsc:mgc_in_wire" {z(18)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(19)} -pin "vin:rsc:mgc_in_wire" {z(19)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(20)} -pin "vin:rsc:mgc_in_wire" {z(20)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(21)} -pin "vin:rsc:mgc_in_wire" {z(21)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(22)} -pin "vin:rsc:mgc_in_wire" {z(22)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(23)} -pin "vin:rsc:mgc_in_wire" {z(23)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(24)} -pin "vin:rsc:mgc_in_wire" {z(24)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(25)} -pin "vin:rsc:mgc_in_wire" {z(25)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(26)} -pin "vin:rsc:mgc_in_wire" {z(26)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(27)} -pin "vin:rsc:mgc_in_wire" {z(27)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(28)} -pin "vin:rsc:mgc_in_wire" {z(28)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(29)} -pin "vin:rsc:mgc_in_wire" {z(29)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(30)} -pin "vin:rsc:mgc_in_wire" {z(30)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(31)} -pin "vin:rsc:mgc_in_wire" {z(31)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(32)} -pin "vin:rsc:mgc_in_wire" {z(32)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(33)} -pin "vin:rsc:mgc_in_wire" {z(33)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(34)} -pin "vin:rsc:mgc_in_wire" {z(34)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(35)} -pin "vin:rsc:mgc_in_wire" {z(35)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(36)} -pin "vin:rsc:mgc_in_wire" {z(36)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(37)} -pin "vin:rsc:mgc_in_wire" {z(37)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(38)} -pin "vin:rsc:mgc_in_wire" {z(38)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(39)} -pin "vin:rsc:mgc_in_wire" {z(39)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(40)} -pin "vin:rsc:mgc_in_wire" {z(40)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(41)} -pin "vin:rsc:mgc_in_wire" {z(41)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(42)} -pin "vin:rsc:mgc_in_wire" {z(42)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(43)} -pin "vin:rsc:mgc_in_wire" {z(43)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(44)} -pin "vin:rsc:mgc_in_wire" {z(44)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(45)} -pin "vin:rsc:mgc_in_wire" {z(45)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(46)} -pin "vin:rsc:mgc_in_wire" {z(46)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(47)} -pin "vin:rsc:mgc_in_wire" {z(47)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(48)} -pin "vin:rsc:mgc_in_wire" {z(48)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(49)} -pin "vin:rsc:mgc_in_wire" {z(49)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(50)} -pin "vin:rsc:mgc_in_wire" {z(50)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(51)} -pin "vin:rsc:mgc_in_wire" {z(51)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(52)} -pin "vin:rsc:mgc_in_wire" {z(52)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(53)} -pin "vin:rsc:mgc_in_wire" {z(53)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(54)} -pin "vin:rsc:mgc_in_wire" {z(54)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(55)} -pin "vin:rsc:mgc_in_wire" {z(55)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(56)} -pin "vin:rsc:mgc_in_wire" {z(56)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(57)} -pin "vin:rsc:mgc_in_wire" {z(57)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(58)} -pin "vin:rsc:mgc_in_wire" {z(58)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(59)} -pin "vin:rsc:mgc_in_wire" {z(59)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(60)} -pin "vin:rsc:mgc_in_wire" {z(60)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(61)} -pin "vin:rsc:mgc_in_wire" {z(61)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(62)} -pin "vin:rsc:mgc_in_wire" {z(62)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(63)} -pin "vin:rsc:mgc_in_wire" {z(63)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(64)} -pin "vin:rsc:mgc_in_wire" {z(64)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(65)} -pin "vin:rsc:mgc_in_wire" {z(65)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(66)} -pin "vin:rsc:mgc_in_wire" {z(66)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(67)} -pin "vin:rsc:mgc_in_wire" {z(67)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(68)} -pin "vin:rsc:mgc_in_wire" {z(68)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(69)} -pin "vin:rsc:mgc_in_wire" {z(69)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(70)} -pin "vin:rsc:mgc_in_wire" {z(70)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(71)} -pin "vin:rsc:mgc_in_wire" {z(71)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(72)} -pin "vin:rsc:mgc_in_wire" {z(72)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(73)} -pin "vin:rsc:mgc_in_wire" {z(73)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(74)} -pin "vin:rsc:mgc_in_wire" {z(74)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(75)} -pin "vin:rsc:mgc_in_wire" {z(75)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(76)} -pin "vin:rsc:mgc_in_wire" {z(76)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(77)} -pin "vin:rsc:mgc_in_wire" {z(77)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(78)} -pin "vin:rsc:mgc_in_wire" {z(78)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(79)} -pin "vin:rsc:mgc_in_wire" {z(79)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(80)} -pin "vin:rsc:mgc_in_wire" {z(80)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(81)} -pin "vin:rsc:mgc_in_wire" {z(81)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(82)} -pin "vin:rsc:mgc_in_wire" {z(82)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(83)} -pin "vin:rsc:mgc_in_wire" {z(83)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(84)} -pin "vin:rsc:mgc_in_wire" {z(84)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(85)} -pin "vin:rsc:mgc_in_wire" {z(85)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(86)} -pin "vin:rsc:mgc_in_wire" {z(86)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(87)} -pin "vin:rsc:mgc_in_wire" {z(87)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(88)} -pin "vin:rsc:mgc_in_wire" {z(88)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(89)} -pin "vin:rsc:mgc_in_wire" {z(89)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load inst "vout:rsc:mgc_out_stdreg" "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" -attr xrf 14322 -attr oid 195 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_out_stdreg(2,30)" -pg 1 -lvl 1002
-load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "vout:rsc:mgc_out_stdreg" {d(0)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "vout:rsc:mgc_out_stdreg" {d(1)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "vout:rsc:mgc_out_stdreg" {d(2)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "vout:rsc:mgc_out_stdreg" {d(3)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "vout:rsc:mgc_out_stdreg" {d(4)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "vout:rsc:mgc_out_stdreg" {d(5)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "vout:rsc:mgc_out_stdreg" {d(6)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "vout:rsc:mgc_out_stdreg" {d(7)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "vout:rsc:mgc_out_stdreg" {d(8)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "vout:rsc:mgc_out_stdreg" {d(9)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "vout:rsc:mgc_out_stdreg" {d(10)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "vout:rsc:mgc_out_stdreg" {d(11)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "vout:rsc:mgc_out_stdreg" {d(12)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "vout:rsc:mgc_out_stdreg" {d(13)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "vout:rsc:mgc_out_stdreg" {d(14)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "vout:rsc:mgc_out_stdreg" {d(15)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "vout:rsc:mgc_out_stdreg" {d(16)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "vout:rsc:mgc_out_stdreg" {d(17)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "vout:rsc:mgc_out_stdreg" {d(18)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "vout:rsc:mgc_out_stdreg" {d(19)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "vout:rsc:mgc_out_stdreg" {d(20)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "vout:rsc:mgc_out_stdreg" {d(21)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "vout:rsc:mgc_out_stdreg" {d(22)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "vout:rsc:mgc_out_stdreg" {d(23)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "vout:rsc:mgc_out_stdreg" {d(24)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "vout:rsc:mgc_out_stdreg" {d(25)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "vout:rsc:mgc_out_stdreg" {d(26)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "vout:rsc:mgc_out_stdreg" {d(27)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "vout:rsc:mgc_out_stdreg" {d(28)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "vout:rsc:mgc_out_stdreg" {d(29)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc.z(0)} -pin "vout:rsc:mgc_out_stdreg" {z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(1)} -pin "vout:rsc:mgc_out_stdreg" {z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(2)} -pin "vout:rsc:mgc_out_stdreg" {z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(3)} -pin "vout:rsc:mgc_out_stdreg" {z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(4)} -pin "vout:rsc:mgc_out_stdreg" {z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(5)} -pin "vout:rsc:mgc_out_stdreg" {z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(6)} -pin "vout:rsc:mgc_out_stdreg" {z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(7)} -pin "vout:rsc:mgc_out_stdreg" {z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(8)} -pin "vout:rsc:mgc_out_stdreg" {z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(9)} -pin "vout:rsc:mgc_out_stdreg" {z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(10)} -pin "vout:rsc:mgc_out_stdreg" {z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(11)} -pin "vout:rsc:mgc_out_stdreg" {z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(12)} -pin "vout:rsc:mgc_out_stdreg" {z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(13)} -pin "vout:rsc:mgc_out_stdreg" {z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(14)} -pin "vout:rsc:mgc_out_stdreg" {z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(15)} -pin "vout:rsc:mgc_out_stdreg" {z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(16)} -pin "vout:rsc:mgc_out_stdreg" {z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(17)} -pin "vout:rsc:mgc_out_stdreg" {z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(18)} -pin "vout:rsc:mgc_out_stdreg" {z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(19)} -pin "vout:rsc:mgc_out_stdreg" {z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(20)} -pin "vout:rsc:mgc_out_stdreg" {z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(21)} -pin "vout:rsc:mgc_out_stdreg" {z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(22)} -pin "vout:rsc:mgc_out_stdreg" {z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(23)} -pin "vout:rsc:mgc_out_stdreg" {z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(24)} -pin "vout:rsc:mgc_out_stdreg" {z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(25)} -pin "vout:rsc:mgc_out_stdreg" {z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(26)} -pin "vout:rsc:mgc_out_stdreg" {z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(27)} -pin "vout:rsc:mgc_out_stdreg" {z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(28)} -pin "vout:rsc:mgc_out_stdreg" {z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(29)} -pin "vout:rsc:mgc_out_stdreg" {z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-### END MODULE
-