aboutsummaryrefslogtreecommitdiffstats
path: root/sobel_filter/Sobel/sobel.v6/schematic.nlv
diff options
context:
space:
mode:
Diffstat (limited to 'sobel_filter/Sobel/sobel.v6/schematic.nlv')
-rw-r--r--sobel_filter/Sobel/sobel.v6/schematic.nlv10181
1 files changed, 0 insertions, 10181 deletions
diff --git a/sobel_filter/Sobel/sobel.v6/schematic.nlv b/sobel_filter/Sobel/sobel.v6/schematic.nlv
deleted file mode 100644
index b4bfc9d..0000000
--- a/sobel_filter/Sobel/sobel.v6/schematic.nlv
+++ /dev/null
@@ -1,10181 +0,0 @@
-
-# Program: Catapult University Version
-# Version: 2011a.126
-# File: Nlview netlist
-
-module new "sobel:core" "orig"
-load port {clk} input -attr xrf 17855 -attr oid 1 -attr vt d -attr @path {/sobel/sobel:core/clk}
-load port {en} input -attr xrf 17856 -attr oid 2 -attr vt d -attr @path {/sobel/sobel:core/en}
-load port {arst_n} input -attr xrf 17857 -attr oid 3 -attr vt d -attr @path {/sobel/sobel:core/arst_n}
-load portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} -attr xrf 17858 -attr oid 4 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
-load portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} -attr xrf 17859 -attr oid 5 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load symbol "or(2,10)" "INTERFACE" OR boxcolor 0 \
- portBus {A0(9:0)} input 10 {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
- portBus {A1(9:0)} input 10 {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "or(2,6)" "INTERFACE" OR boxcolor 0 \
- portBus {A0(5:0)} input 6 {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
- portBus {A1(5:0)} input 6 {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mux(2,30)" "INTERFACE" MUX boxcolor 0 \
- portBus {A0(29:0)} input 30 {A0(29)} {A0(28)} {A0(27)} {A0(26)} {A0(25)} {A0(24)} {A0(23)} {A0(22)} {A0(21)} {A0(20)} {A0(19)} {A0(18)} {A0(17)} {A0(16)} {A0(15)} {A0(14)} {A0(13)} {A0(12)} {A0(11)} {A0(10)} {A0(9)} {A0(8)} {A0(7)} {A0(6)} {A0(5)} {A0(4)} {A0(3)} {A0(2)} {A0(1)} {A0(0)} \
- portBus {A1(29:0)} input 30 {A1(29)} {A1(28)} {A1(27)} {A1(26)} {A1(25)} {A1(24)} {A1(23)} {A1(22)} {A1(21)} {A1(20)} {A1(19)} {A1(18)} {A1(17)} {A1(16)} {A1(15)} {A1(14)} {A1(13)} {A1(12)} {A1(11)} {A1(10)} {A1(9)} {A1(8)} {A1(7)} {A1(6)} {A1(5)} {A1(4)} {A1(3)} {A1(2)} {A1(1)} {A1(0)} \
- portBus {S(0:0)} input.top 1 {S(0)} \
- portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(30,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(29:0)} input 30 {D(29)} {D(28)} {D(27)} {D(26)} {D(25)} {D(24)} {D(23)} {D(22)} {D(21)} {D(20)} {D(19)} {D(18)} {D(17)} {D(16)} {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(29:0)} input 30 {DRa(29)} {DRa(28)} {DRa(27)} {DRa(26)} {DRa(25)} {DRa(24)} {DRa(23)} {DRa(22)} {DRa(21)} {DRa(20)} {DRa(19)} {DRa(18)} {DRa(17)} {DRa(16)} {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(29:0)} output 30 {Z(29)} {Z(28)} {Z(27)} {Z(26)} {Z(25)} {Z(24)} {Z(23)} {Z(22)} {Z(21)} {Z(20)} {Z(19)} {Z(18)} {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(2,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(1:0)} input 2 {D(1)} {D(0)} \
- portBus {DRa(1:0)} input 2 {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
-
-load symbol "reg(3,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(2:0)} input 3 {D(2)} {D(1)} {D(0)} \
- portBus {DRa(2:0)} input 3 {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(6,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(5:0)} input 6 {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(5:0)} input 6 {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(1,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(0:0)} input 1 {D(0)} \
- portBus {DRa(0:0)} input 1 {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(0:0)} output 1 {Z(0)} \
-
-load symbol "not(3)" "INTERFACE" INV boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(3,0,3,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
- portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "not(1)" "INTERFACE" INV boxcolor 0 \
- portBus {A(0:0)} input 1 {A(0)} \
- portBus {Z(0:0)} output 1 {Z(0)} \
-
-load symbol "add(3,0,2,0,4)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(1:0)} input 2 {B(1)} {B(0)} \
- portBus {Z(3:0)} output 4 {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(4,0,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,0,4,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(1,0,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(0:0)} input 1 {A(0)} \
- portBus {B(0:0)} input 1 {B(0)} \
- portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,11,0,12)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,9,0,10)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(13,0,13,0,14)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(12:0)} input 13 {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(15,0,14,0,16)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(14:0)} input 15 {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(13:0)} input 14 {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,15,-1,15)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(14:0)} input 15 {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(16,-1,16,-1,16)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(2,-1,1,0,2)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(0:0)} input 1 {B(0)} \
- portBus {Z(1:0)} output 2 {Z(1)} {Z(0)} \
-
-load symbol "add(2,0,1,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(0:0)} input 1 {B(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(3,0,11,0,12)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,15,0,16)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(14:0)} input 15 {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(16,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(15:0)} input 16 {D(15)} {D(14)} {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(15:0)} input 16 {DRa(15)} {DRa(14)} {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,13,-1,13)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(13,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(12:0)} input 13 {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(12:0)} input 13 {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,7,0,8)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(8,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(7:0)} input 8 {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(7:0)} input 8 {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,5,0,6)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(7,-1,7,-1,7)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(2,0,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(1:0)} input 2 {B(1)} {B(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,0,5,0,6)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(6,0,6,0,7)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(7,1,7,0,9)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(9,1,9,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(10,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(9:0)} input 10 {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(9:0)} input 10 {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(12,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(11:0)} input 12 {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(11:0)} input 12 {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,13,0,14)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(14,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(13:0)} input 14 {D(13)} {D(12)} {D(11)} {D(10)} {D(9)} {D(8)} {D(7)} {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(13:0)} input 14 {DRa(13)} {DRa(12)} {DRa(11)} {DRa(10)} {DRa(9)} {DRa(8)} {DRa(7)} {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(3,-1,2,0,3)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(1:0)} input 2 {B(1)} {B(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(3,0,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "reg(7,1,1,-1,0)" "INTERFACE" GEN boxcolor 1 \
- portBus {D(6:0)} input 7 {D(6)} {D(5)} {D(4)} {D(3)} {D(2)} {D(1)} {D(0)} \
- portBus {DRa(6:0)} input 7 {DRa(6)} {DRa(5)} {DRa(4)} {DRa(3)} {DRa(2)} {DRa(1)} {DRa(0)} \
- port {clk} input.clk \
- portBus {en(0:0)} input 1 {en(0)} \
- portBus {Ra(0:0)} input 1 {Ra(0)} \
- portBus {Z(6:0)} output 7 {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(8,0,8,0,9)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(7:0)} input 8 {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(10,0,9,0,11)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(11,0,11,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(2,0,9,0,11)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(1:0)} input 2 {A(1)} {A(0)} \
- portBus {B(8:0)} input 9 {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "mul(3,0,6,0,9)" "INTERFACE" RTL(*) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(8:0)} output 9 {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,-1,4,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(3:0)} input 4 {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(4,0,3,0,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(3:0)} input 4 {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(5,-1,5,-1,5)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(4:0)} input 5 {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(4:0)} output 5 {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(6,0,5,1,8)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(4:0)} input 5 {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(9,0,8,1,10)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(11,0,10,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(12,-1,11,0,12)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(6,-1,6,-1,6)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(5:0)} input 6 {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(5:0)} input 6 {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(5:0)} output 6 {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(12,1,12,0,14)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(13:0)} output 14 {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(14,1,14,0,15)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(13:0)} input 14 {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(13:0)} input 14 {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(16,-1,15,1,16)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(14:0)} input 15 {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(7,0,7,0,8)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(6:0)} input 7 {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(6:0)} input 7 {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(7:0)} output 8 {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(9,0,8,0,10)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(8:0)} input 9 {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(7:0)} input 8 {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(10,0,10,0,11)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(12,0,12,0,13)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(11:0)} input 12 {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(11:0)} input 12 {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(12:0)} output 13 {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(14,0,13,0,15)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(13:0)} input 14 {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(12:0)} input 13 {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(14:0)} output 15 {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(15,0,15,0,16)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(14:0)} input 15 {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(14:0)} input 15 {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(15:0)} output 16 {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(16,0,16,0,17)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(15:0)} input 16 {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(16:0)} output 17 {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(17,0,16,0,18)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(16:0)} input 17 {A(16)} {A(15)} {A(14)} {A(13)} {A(12)} {A(11)} {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(15:0)} input 16 {B(15)} {B(14)} {B(13)} {B(12)} {B(11)} {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(17:0)} output 18 {Z(17)} {Z(16)} {Z(15)} {Z(14)} {Z(13)} {Z(12)} {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "not(10)" "INTERFACE" INV boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {Z(9:0)} output 10 {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(11,1,11,1,12)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(10:0)} input 11 {A(10)} {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(10:0)} input 11 {B(10)} {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(11:0)} output 12 {Z(11)} {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(10,1,10,1,11)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(9:0)} input 10 {A(9)} {A(8)} {A(7)} {A(6)} {A(5)} {A(4)} {A(3)} {A(2)} {A(1)} {A(0)} \
- portBus {B(9:0)} input 10 {B(9)} {B(8)} {B(7)} {B(6)} {B(5)} {B(4)} {B(3)} {B(2)} {B(1)} {B(0)} \
- portBus {Z(10:0)} output 11 {Z(10)} {Z(9)} {Z(8)} {Z(7)} {Z(6)} {Z(5)} {Z(4)} {Z(3)} {Z(2)} {Z(1)} {Z(0)} \
-
-load symbol "add(3,-1,3,-1,3)" "INTERFACE" RTL(+) boxcolor 0 \
- portBus {A(2:0)} input 3 {A(2)} {A(1)} {A(0)} \
- portBus {B(2:0)} input 3 {B(2)} {B(1)} {B(0)} \
- portBus {Z(2:0)} output 3 {Z(2)} {Z(1)} {Z(0)} \
-
-load net {regs.regs(1)#1.sva(0)} -attr vt d
-load net {regs.regs(1)#1.sva(1)} -attr vt d
-load net {regs.regs(1)#1.sva(2)} -attr vt d
-load net {regs.regs(1)#1.sva(3)} -attr vt d
-load net {regs.regs(1)#1.sva(4)} -attr vt d
-load net {regs.regs(1)#1.sva(5)} -attr vt d
-load net {regs.regs(1)#1.sva(6)} -attr vt d
-load net {regs.regs(1)#1.sva(7)} -attr vt d
-load net {regs.regs(1)#1.sva(8)} -attr vt d
-load net {regs.regs(1)#1.sva(9)} -attr vt d
-load net {regs.regs(1)#1.sva(10)} -attr vt d
-load net {regs.regs(1)#1.sva(11)} -attr vt d
-load net {regs.regs(1)#1.sva(12)} -attr vt d
-load net {regs.regs(1)#1.sva(13)} -attr vt d
-load net {regs.regs(1)#1.sva(14)} -attr vt d
-load net {regs.regs(1)#1.sva(15)} -attr vt d
-load net {regs.regs(1)#1.sva(16)} -attr vt d
-load net {regs.regs(1)#1.sva(17)} -attr vt d
-load net {regs.regs(1)#1.sva(18)} -attr vt d
-load net {regs.regs(1)#1.sva(19)} -attr vt d
-load net {regs.regs(1)#1.sva(20)} -attr vt d
-load net {regs.regs(1)#1.sva(21)} -attr vt d
-load net {regs.regs(1)#1.sva(22)} -attr vt d
-load net {regs.regs(1)#1.sva(23)} -attr vt d
-load net {regs.regs(1)#1.sva(24)} -attr vt d
-load net {regs.regs(1)#1.sva(25)} -attr vt d
-load net {regs.regs(1)#1.sva(26)} -attr vt d
-load net {regs.regs(1)#1.sva(27)} -attr vt d
-load net {regs.regs(1)#1.sva(28)} -attr vt d
-load net {regs.regs(1)#1.sva(29)} -attr vt d
-load netBundle {regs.regs(1)#1.sva} 30 {regs.regs(1)#1.sva(0)} {regs.regs(1)#1.sva(1)} {regs.regs(1)#1.sva(2)} {regs.regs(1)#1.sva(3)} {regs.regs(1)#1.sva(4)} {regs.regs(1)#1.sva(5)} {regs.regs(1)#1.sva(6)} {regs.regs(1)#1.sva(7)} {regs.regs(1)#1.sva(8)} {regs.regs(1)#1.sva(9)} {regs.regs(1)#1.sva(10)} {regs.regs(1)#1.sva(11)} {regs.regs(1)#1.sva(12)} {regs.regs(1)#1.sva(13)} {regs.regs(1)#1.sva(14)} {regs.regs(1)#1.sva(15)} {regs.regs(1)#1.sva(16)} {regs.regs(1)#1.sva(17)} {regs.regs(1)#1.sva(18)} {regs.regs(1)#1.sva(19)} {regs.regs(1)#1.sva(20)} {regs.regs(1)#1.sva(21)} {regs.regs(1)#1.sva(22)} {regs.regs(1)#1.sva(23)} {regs.regs(1)#1.sva(24)} {regs.regs(1)#1.sva(25)} {regs.regs(1)#1.sva(26)} {regs.regs(1)#1.sva(27)} {regs.regs(1)#1.sva(28)} {regs.regs(1)#1.sva(29)} -attr xrf 17860 -attr oid 6 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1).sg2.sva(0)} -attr vt d
-load net {regs.regs(1).sg2.sva(1)} -attr vt d
-load net {regs.regs(1).sg2.sva(2)} -attr vt d
-load net {regs.regs(1).sg2.sva(3)} -attr vt d
-load net {regs.regs(1).sg2.sva(4)} -attr vt d
-load net {regs.regs(1).sg2.sva(5)} -attr vt d
-load net {regs.regs(1).sg2.sva(6)} -attr vt d
-load net {regs.regs(1).sg2.sva(7)} -attr vt d
-load net {regs.regs(1).sg2.sva(8)} -attr vt d
-load net {regs.regs(1).sg2.sva(9)} -attr vt d
-load net {regs.regs(1).sg2.sva(10)} -attr vt d
-load net {regs.regs(1).sg2.sva(11)} -attr vt d
-load net {regs.regs(1).sg2.sva(12)} -attr vt d
-load net {regs.regs(1).sg2.sva(13)} -attr vt d
-load net {regs.regs(1).sg2.sva(14)} -attr vt d
-load net {regs.regs(1).sg2.sva(15)} -attr vt d
-load net {regs.regs(1).sg2.sva(16)} -attr vt d
-load net {regs.regs(1).sg2.sva(17)} -attr vt d
-load net {regs.regs(1).sg2.sva(18)} -attr vt d
-load net {regs.regs(1).sg2.sva(19)} -attr vt d
-load net {regs.regs(1).sg2.sva(20)} -attr vt d
-load net {regs.regs(1).sg2.sva(21)} -attr vt d
-load net {regs.regs(1).sg2.sva(22)} -attr vt d
-load net {regs.regs(1).sg2.sva(23)} -attr vt d
-load net {regs.regs(1).sg2.sva(24)} -attr vt d
-load net {regs.regs(1).sg2.sva(25)} -attr vt d
-load net {regs.regs(1).sg2.sva(26)} -attr vt d
-load net {regs.regs(1).sg2.sva(27)} -attr vt d
-load net {regs.regs(1).sg2.sva(28)} -attr vt d
-load net {regs.regs(1).sg2.sva(29)} -attr vt d
-load netBundle {regs.regs(1).sg2.sva} 30 {regs.regs(1).sg2.sva(0)} {regs.regs(1).sg2.sva(1)} {regs.regs(1).sg2.sva(2)} {regs.regs(1).sg2.sva(3)} {regs.regs(1).sg2.sva(4)} {regs.regs(1).sg2.sva(5)} {regs.regs(1).sg2.sva(6)} {regs.regs(1).sg2.sva(7)} {regs.regs(1).sg2.sva(8)} {regs.regs(1).sg2.sva(9)} {regs.regs(1).sg2.sva(10)} {regs.regs(1).sg2.sva(11)} {regs.regs(1).sg2.sva(12)} {regs.regs(1).sg2.sva(13)} {regs.regs(1).sg2.sva(14)} {regs.regs(1).sg2.sva(15)} {regs.regs(1).sg2.sva(16)} {regs.regs(1).sg2.sva(17)} {regs.regs(1).sg2.sva(18)} {regs.regs(1).sg2.sva(19)} {regs.regs(1).sg2.sva(20)} {regs.regs(1).sg2.sva(21)} {regs.regs(1).sg2.sva(22)} {regs.regs(1).sg2.sva(23)} {regs.regs(1).sg2.sva(24)} {regs.regs(1).sg2.sva(25)} {regs.regs(1).sg2.sva(26)} {regs.regs(1).sg2.sva(27)} {regs.regs(1).sg2.sva(28)} {regs.regs(1).sg2.sva(29)} -attr xrf 17861 -attr oid 7 -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs:slc(regs.regs(2))#6.itm(0)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(1)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(2)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(3)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(4)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(5)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(6)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(7)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(8)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#6.itm(9)} -attr vt d
-load netBundle {regs.regs:slc(regs.regs(2))#6.itm} 10 {regs.regs:slc(regs.regs(2))#6.itm(0)} {regs.regs:slc(regs.regs(2))#6.itm(1)} {regs.regs:slc(regs.regs(2))#6.itm(2)} {regs.regs:slc(regs.regs(2))#6.itm(3)} {regs.regs:slc(regs.regs(2))#6.itm(4)} {regs.regs:slc(regs.regs(2))#6.itm(5)} {regs.regs:slc(regs.regs(2))#6.itm(6)} {regs.regs:slc(regs.regs(2))#6.itm(7)} {regs.regs:slc(regs.regs(2))#6.itm(8)} {regs.regs:slc(regs.regs(2))#6.itm(9)} -attr xrf 17862 -attr oid 8 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(0)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(1)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(2)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(3)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(4)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(5)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(6)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(7)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(8)} -attr vt d
-load net {regs.regs:slc(regs.regs(2))#7.itm(9)} -attr vt d
-load netBundle {regs.regs:slc(regs.regs(2))#7.itm} 10 {regs.regs:slc(regs.regs(2))#7.itm(0)} {regs.regs:slc(regs.regs(2))#7.itm(1)} {regs.regs:slc(regs.regs(2))#7.itm(2)} {regs.regs:slc(regs.regs(2))#7.itm(3)} {regs.regs:slc(regs.regs(2))#7.itm(4)} {regs.regs:slc(regs.regs(2))#7.itm(5)} {regs.regs:slc(regs.regs(2))#7.itm(6)} {regs.regs:slc(regs.regs(2))#7.itm(7)} {regs.regs:slc(regs.regs(2))#7.itm(8)} {regs.regs:slc(regs.regs(2))#7.itm(9)} -attr xrf 17863 -attr oid 9 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2)).itm(0)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(1)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(2)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(3)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(4)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(5)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(6)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(7)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(8)} -attr vt d
-load net {regs.regs:slc(regs.regs(2)).itm(9)} -attr vt d
-load netBundle {regs.regs:slc(regs.regs(2)).itm} 10 {regs.regs:slc(regs.regs(2)).itm(0)} {regs.regs:slc(regs.regs(2)).itm(1)} {regs.regs:slc(regs.regs(2)).itm(2)} {regs.regs:slc(regs.regs(2)).itm(3)} {regs.regs:slc(regs.regs(2)).itm(4)} {regs.regs:slc(regs.regs(2)).itm(5)} {regs.regs:slc(regs.regs(2)).itm(6)} {regs.regs:slc(regs.regs(2)).itm(7)} {regs.regs:slc(regs.regs(2)).itm(8)} {regs.regs:slc(regs.regs(2)).itm(9)} -attr xrf 17864 -attr oid 10 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(0)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(1)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(2)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(3)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(4)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(5)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(6)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(7)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(8)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(9)} -attr vt d
-load netBundle {regs.regs:slc(regs.regs(2).sg2)#1.itm} 10 {regs.regs:slc(regs.regs(2).sg2)#1.itm(0)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(1)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(2)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(3)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(4)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(5)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(6)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(7)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(8)} {regs.regs:slc(regs.regs(2).sg2)#1.itm(9)} -attr xrf 17865 -attr oid 11 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(0)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(1)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(2)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(3)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(4)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(5)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(6)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(7)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(8)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(9)} -attr vt d
-load netBundle {regs.regs:slc(regs.regs(2).sg2)#2.itm} 10 {regs.regs:slc(regs.regs(2).sg2)#2.itm(0)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(1)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(2)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(3)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(4)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(5)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(6)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(7)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(8)} {regs.regs:slc(regs.regs(2).sg2)#2.itm(9)} -attr xrf 17866 -attr oid 12 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(0)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(1)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(2)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(3)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(4)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(5)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(6)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(7)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(8)} -attr vt d
-load net {regs.regs:slc(regs.regs(2).sg2).itm(9)} -attr vt d
-load netBundle {regs.regs:slc(regs.regs(2).sg2).itm} 10 {regs.regs:slc(regs.regs(2).sg2).itm(0)} {regs.regs:slc(regs.regs(2).sg2).itm(1)} {regs.regs:slc(regs.regs(2).sg2).itm(2)} {regs.regs:slc(regs.regs(2).sg2).itm(3)} {regs.regs:slc(regs.regs(2).sg2).itm(4)} {regs.regs:slc(regs.regs(2).sg2).itm(5)} {regs.regs:slc(regs.regs(2).sg2).itm(6)} {regs.regs:slc(regs.regs(2).sg2).itm(7)} {regs.regs:slc(regs.regs(2).sg2).itm(8)} {regs.regs:slc(regs.regs(2).sg2).itm(9)} -attr xrf 17867 -attr oid 13 -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {ACC1:acc#281.itm#1(0)} -attr vt d
-load net {ACC1:acc#281.itm#1(1)} -attr vt d
-load net {ACC1:acc#281.itm#1(2)} -attr vt d
-load net {ACC1:acc#281.itm#1(3)} -attr vt d
-load net {ACC1:acc#281.itm#1(4)} -attr vt d
-load net {ACC1:acc#281.itm#1(5)} -attr vt d
-load net {ACC1:acc#281.itm#1(6)} -attr vt d
-load net {ACC1:acc#281.itm#1(7)} -attr vt d
-load net {ACC1:acc#281.itm#1(8)} -attr vt d
-load net {ACC1:acc#281.itm#1(9)} -attr vt d
-load net {ACC1:acc#281.itm#1(10)} -attr vt d
-load net {ACC1:acc#281.itm#1(11)} -attr vt d
-load net {ACC1:acc#281.itm#1(12)} -attr vt d
-load net {ACC1:acc#281.itm#1(13)} -attr vt d
-load net {ACC1:acc#281.itm#1(14)} -attr vt d
-load net {ACC1:acc#281.itm#1(15)} -attr vt d
-load netBundle {ACC1:acc#281.itm#1} 16 {ACC1:acc#281.itm#1(0)} {ACC1:acc#281.itm#1(1)} {ACC1:acc#281.itm#1(2)} {ACC1:acc#281.itm#1(3)} {ACC1:acc#281.itm#1(4)} {ACC1:acc#281.itm#1(5)} {ACC1:acc#281.itm#1(6)} {ACC1:acc#281.itm#1(7)} {ACC1:acc#281.itm#1(8)} {ACC1:acc#281.itm#1(9)} {ACC1:acc#281.itm#1(10)} {ACC1:acc#281.itm#1(11)} {ACC1:acc#281.itm#1(12)} {ACC1:acc#281.itm#1(13)} {ACC1:acc#281.itm#1(14)} {ACC1:acc#281.itm#1(15)} -attr xrf 17868 -attr oid 14 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {mul#1.itm#1(0)} -attr vt d
-load net {mul#1.itm#1(1)} -attr vt d
-load net {mul#1.itm#1(2)} -attr vt d
-load net {mul#1.itm#1(3)} -attr vt d
-load net {mul#1.itm#1(4)} -attr vt d
-load net {mul#1.itm#1(5)} -attr vt d
-load net {mul#1.itm#1(6)} -attr vt d
-load net {mul#1.itm#1(7)} -attr vt d
-load net {mul#1.itm#1(8)} -attr vt d
-load net {mul#1.itm#1(9)} -attr vt d
-load net {mul#1.itm#1(10)} -attr vt d
-load net {mul#1.itm#1(11)} -attr vt d
-load net {mul#1.itm#1(12)} -attr vt d
-load netBundle {mul#1.itm#1} 13 {mul#1.itm#1(0)} {mul#1.itm#1(1)} {mul#1.itm#1(2)} {mul#1.itm#1(3)} {mul#1.itm#1(4)} {mul#1.itm#1(5)} {mul#1.itm#1(6)} {mul#1.itm#1(7)} {mul#1.itm#1(8)} {mul#1.itm#1(9)} {mul#1.itm#1(10)} {mul#1.itm#1(11)} {mul#1.itm#1(12)} -attr xrf 17869 -attr oid 15 -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {ACC1:mul#99.itm#1(0)} -attr vt d
-load net {ACC1:mul#99.itm#1(1)} -attr vt d
-load net {ACC1:mul#99.itm#1(2)} -attr vt d
-load net {ACC1:mul#99.itm#1(3)} -attr vt d
-load net {ACC1:mul#99.itm#1(4)} -attr vt d
-load net {ACC1:mul#99.itm#1(5)} -attr vt d
-load net {ACC1:mul#99.itm#1(6)} -attr vt d
-load net {ACC1:mul#99.itm#1(7)} -attr vt d
-load netBundle {ACC1:mul#99.itm#1} 8 {ACC1:mul#99.itm#1(0)} {ACC1:mul#99.itm#1(1)} {ACC1:mul#99.itm#1(2)} {ACC1:mul#99.itm#1(3)} {ACC1:mul#99.itm#1(4)} {ACC1:mul#99.itm#1(5)} {ACC1:mul#99.itm#1(6)} {ACC1:mul#99.itm#1(7)} -attr xrf 17870 -attr oid 16 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:acc#264.itm#1(0)} -attr vt d
-load net {ACC1:acc#264.itm#1(1)} -attr vt d
-load net {ACC1:acc#264.itm#1(2)} -attr vt d
-load net {ACC1:acc#264.itm#1(3)} -attr vt d
-load net {ACC1:acc#264.itm#1(4)} -attr vt d
-load net {ACC1:acc#264.itm#1(5)} -attr vt d
-load net {ACC1:acc#264.itm#1(6)} -attr vt d
-load net {ACC1:acc#264.itm#1(7)} -attr vt d
-load net {ACC1:acc#264.itm#1(8)} -attr vt d
-load net {ACC1:acc#264.itm#1(9)} -attr vt d
-load netBundle {ACC1:acc#264.itm#1} 10 {ACC1:acc#264.itm#1(0)} {ACC1:acc#264.itm#1(1)} {ACC1:acc#264.itm#1(2)} {ACC1:acc#264.itm#1(3)} {ACC1:acc#264.itm#1(4)} {ACC1:acc#264.itm#1(5)} {ACC1:acc#264.itm#1(6)} {ACC1:acc#264.itm#1(7)} {ACC1:acc#264.itm#1(8)} {ACC1:acc#264.itm#1(9)} -attr xrf 17871 -attr oid 17 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:mul#90.itm#1(0)} -attr vt d
-load net {ACC1:mul#90.itm#1(1)} -attr vt d
-load net {ACC1:mul#90.itm#1(2)} -attr vt d
-load net {ACC1:mul#90.itm#1(3)} -attr vt d
-load net {ACC1:mul#90.itm#1(4)} -attr vt d
-load net {ACC1:mul#90.itm#1(5)} -attr vt d
-load net {ACC1:mul#90.itm#1(6)} -attr vt d
-load net {ACC1:mul#90.itm#1(7)} -attr vt d
-load net {ACC1:mul#90.itm#1(8)} -attr vt d
-load net {ACC1:mul#90.itm#1(9)} -attr vt d
-load net {ACC1:mul#90.itm#1(10)} -attr vt d
-load net {ACC1:mul#90.itm#1(11)} -attr vt d
-load netBundle {ACC1:mul#90.itm#1} 12 {ACC1:mul#90.itm#1(0)} {ACC1:mul#90.itm#1(1)} {ACC1:mul#90.itm#1(2)} {ACC1:mul#90.itm#1(3)} {ACC1:mul#90.itm#1(4)} {ACC1:mul#90.itm#1(5)} {ACC1:mul#90.itm#1(6)} {ACC1:mul#90.itm#1(7)} {ACC1:mul#90.itm#1(8)} {ACC1:mul#90.itm#1(9)} {ACC1:mul#90.itm#1(10)} {ACC1:mul#90.itm#1(11)} -attr xrf 17872 -attr oid 18 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#91.itm#1(0)} -attr vt d
-load net {ACC1:mul#91.itm#1(1)} -attr vt d
-load net {ACC1:mul#91.itm#1(2)} -attr vt d
-load net {ACC1:mul#91.itm#1(3)} -attr vt d
-load net {ACC1:mul#91.itm#1(4)} -attr vt d
-load net {ACC1:mul#91.itm#1(5)} -attr vt d
-load net {ACC1:mul#91.itm#1(6)} -attr vt d
-load net {ACC1:mul#91.itm#1(7)} -attr vt d
-load net {ACC1:mul#91.itm#1(8)} -attr vt d
-load net {ACC1:mul#91.itm#1(9)} -attr vt d
-load net {ACC1:mul#91.itm#1(10)} -attr vt d
-load net {ACC1:mul#91.itm#1(11)} -attr vt d
-load net {ACC1:mul#91.itm#1(12)} -attr vt d
-load net {ACC1:mul#91.itm#1(13)} -attr vt d
-load netBundle {ACC1:mul#91.itm#1} 14 {ACC1:mul#91.itm#1(0)} {ACC1:mul#91.itm#1(1)} {ACC1:mul#91.itm#1(2)} {ACC1:mul#91.itm#1(3)} {ACC1:mul#91.itm#1(4)} {ACC1:mul#91.itm#1(5)} {ACC1:mul#91.itm#1(6)} {ACC1:mul#91.itm#1(7)} {ACC1:mul#91.itm#1(8)} {ACC1:mul#91.itm#1(9)} {ACC1:mul#91.itm#1(10)} {ACC1:mul#91.itm#1(11)} {ACC1:mul#91.itm#1(12)} {ACC1:mul#91.itm#1(13)} -attr xrf 17873 -attr oid 19 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#104.itm#1(0)} -attr vt d
-load net {ACC1:mul#104.itm#1(1)} -attr vt d
-load net {ACC1:mul#104.itm#1(2)} -attr vt d
-load net {ACC1:mul#104.itm#1(3)} -attr vt d
-load net {ACC1:mul#104.itm#1(4)} -attr vt d
-load net {ACC1:mul#104.itm#1(5)} -attr vt d
-load net {ACC1:mul#104.itm#1(6)} -attr vt d
-load net {ACC1:mul#104.itm#1(7)} -attr vt d
-load net {ACC1:mul#104.itm#1(8)} -attr vt d
-load net {ACC1:mul#104.itm#1(9)} -attr vt d
-load netBundle {ACC1:mul#104.itm#1} 10 {ACC1:mul#104.itm#1(0)} {ACC1:mul#104.itm#1(1)} {ACC1:mul#104.itm#1(2)} {ACC1:mul#104.itm#1(3)} {ACC1:mul#104.itm#1(4)} {ACC1:mul#104.itm#1(5)} {ACC1:mul#104.itm#1(6)} {ACC1:mul#104.itm#1(7)} {ACC1:mul#104.itm#1(8)} {ACC1:mul#104.itm#1(9)} -attr xrf 17874 -attr oid 20 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#103.itm#1(0)} -attr vt d
-load net {ACC1:mul#103.itm#1(1)} -attr vt d
-load net {ACC1:mul#103.itm#1(2)} -attr vt d
-load net {ACC1:mul#103.itm#1(3)} -attr vt d
-load net {ACC1:mul#103.itm#1(4)} -attr vt d
-load net {ACC1:mul#103.itm#1(5)} -attr vt d
-load net {ACC1:mul#103.itm#1(6)} -attr vt d
-load net {ACC1:mul#103.itm#1(7)} -attr vt d
-load netBundle {ACC1:mul#103.itm#1} 8 {ACC1:mul#103.itm#1(0)} {ACC1:mul#103.itm#1(1)} {ACC1:mul#103.itm#1(2)} {ACC1:mul#103.itm#1(3)} {ACC1:mul#103.itm#1(4)} {ACC1:mul#103.itm#1(5)} {ACC1:mul#103.itm#1(6)} {ACC1:mul#103.itm#1(7)} -attr xrf 17875 -attr oid 21 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#98.itm#1(0)} -attr vt d
-load net {ACC1:mul#98.itm#1(1)} -attr vt d
-load net {ACC1:mul#98.itm#1(2)} -attr vt d
-load net {ACC1:mul#98.itm#1(3)} -attr vt d
-load net {ACC1:mul#98.itm#1(4)} -attr vt d
-load net {ACC1:mul#98.itm#1(5)} -attr vt d
-load netBundle {ACC1:mul#98.itm#1} 6 {ACC1:mul#98.itm#1(0)} {ACC1:mul#98.itm#1(1)} {ACC1:mul#98.itm#1(2)} {ACC1:mul#98.itm#1(3)} {ACC1:mul#98.itm#1(4)} {ACC1:mul#98.itm#1(5)} -attr xrf 17876 -attr oid 22 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load net {ACC1:acc#252.itm#1(0)} -attr vt d
-load net {ACC1:acc#252.itm#1(1)} -attr vt d
-load net {ACC1:acc#252.itm#1(2)} -attr vt d
-load net {ACC1:acc#252.itm#1(3)} -attr vt d
-load net {ACC1:acc#252.itm#1(4)} -attr vt d
-load net {ACC1:acc#252.itm#1(5)} -attr vt d
-load netBundle {ACC1:acc#252.itm#1} 6 {ACC1:acc#252.itm#1(0)} {ACC1:acc#252.itm#1(1)} {ACC1:acc#252.itm#1(2)} {ACC1:acc#252.itm#1(3)} {ACC1:acc#252.itm#1(4)} {ACC1:acc#252.itm#1(5)} -attr xrf 17877 -attr oid 23 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#251.itm#1(0)} -attr vt d
-load net {ACC1:acc#251.itm#1(1)} -attr vt d
-load net {ACC1:acc#251.itm#1(2)} -attr vt d
-load net {ACC1:acc#251.itm#1(3)} -attr vt d
-load net {ACC1:acc#251.itm#1(4)} -attr vt d
-load net {ACC1:acc#251.itm#1(5)} -attr vt d
-load netBundle {ACC1:acc#251.itm#1} 6 {ACC1:acc#251.itm#1(0)} {ACC1:acc#251.itm#1(1)} {ACC1:acc#251.itm#1(2)} {ACC1:acc#251.itm#1(3)} {ACC1:acc#251.itm#1(4)} {ACC1:acc#251.itm#1(5)} -attr xrf 17878 -attr oid 24 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#255.itm#1(0)} -attr vt d
-load net {ACC1:acc#255.itm#1(1)} -attr vt d
-load net {ACC1:acc#255.itm#1(2)} -attr vt d
-load net {ACC1:acc#255.itm#1(3)} -attr vt d
-load net {ACC1:acc#255.itm#1(4)} -attr vt d
-load net {ACC1:acc#255.itm#1(5)} -attr vt d
-load net {ACC1:acc#255.itm#1(6)} -attr vt d
-load netBundle {ACC1:acc#255.itm#1} 7 {ACC1:acc#255.itm#1(0)} {ACC1:acc#255.itm#1(1)} {ACC1:acc#255.itm#1(2)} {ACC1:acc#255.itm#1(3)} {ACC1:acc#255.itm#1(4)} {ACC1:acc#255.itm#1(5)} {ACC1:acc#255.itm#1(6)} -attr xrf 17879 -attr oid 25 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:mul#89.itm#1(0)} -attr vt d
-load net {ACC1:mul#89.itm#1(1)} -attr vt d
-load net {ACC1:mul#89.itm#1(2)} -attr vt d
-load net {ACC1:mul#89.itm#1(3)} -attr vt d
-load net {ACC1:mul#89.itm#1(4)} -attr vt d
-load net {ACC1:mul#89.itm#1(5)} -attr vt d
-load net {ACC1:mul#89.itm#1(6)} -attr vt d
-load net {ACC1:mul#89.itm#1(7)} -attr vt d
-load net {ACC1:mul#89.itm#1(8)} -attr vt d
-load net {ACC1:mul#89.itm#1(9)} -attr vt d
-load netBundle {ACC1:mul#89.itm#1} 10 {ACC1:mul#89.itm#1(0)} {ACC1:mul#89.itm#1(1)} {ACC1:mul#89.itm#1(2)} {ACC1:mul#89.itm#1(3)} {ACC1:mul#89.itm#1(4)} {ACC1:mul#89.itm#1(5)} {ACC1:mul#89.itm#1(6)} {ACC1:mul#89.itm#1(7)} {ACC1:mul#89.itm#1(8)} {ACC1:mul#89.itm#1(9)} -attr xrf 17880 -attr oid 26 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:acc#268.itm#1(0)} -attr vt d
-load net {ACC1:acc#268.itm#1(1)} -attr vt d
-load net {ACC1:acc#268.itm#1(2)} -attr vt d
-load net {ACC1:acc#268.itm#1(3)} -attr vt d
-load net {ACC1:acc#268.itm#1(4)} -attr vt d
-load net {ACC1:acc#268.itm#1(5)} -attr vt d
-load net {ACC1:acc#268.itm#1(6)} -attr vt d
-load net {ACC1:acc#268.itm#1(7)} -attr vt d
-load net {ACC1:acc#268.itm#1(8)} -attr vt d
-load net {ACC1:acc#268.itm#1(9)} -attr vt d
-load net {ACC1:acc#268.itm#1(10)} -attr vt d
-load net {ACC1:acc#268.itm#1(11)} -attr vt d
-load netBundle {ACC1:acc#268.itm#1} 12 {ACC1:acc#268.itm#1(0)} {ACC1:acc#268.itm#1(1)} {ACC1:acc#268.itm#1(2)} {ACC1:acc#268.itm#1(3)} {ACC1:acc#268.itm#1(4)} {ACC1:acc#268.itm#1(5)} {ACC1:acc#268.itm#1(6)} {ACC1:acc#268.itm#1(7)} {ACC1:acc#268.itm#1(8)} {ACC1:acc#268.itm#1(9)} {ACC1:acc#268.itm#1(10)} {ACC1:acc#268.itm#1(11)} -attr xrf 17881 -attr oid 27 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:mul#96.itm#1(0)} -attr vt d
-load net {ACC1:mul#96.itm#1(1)} -attr vt d
-load net {ACC1:mul#96.itm#1(2)} -attr vt d
-load net {ACC1:mul#96.itm#1(3)} -attr vt d
-load net {ACC1:mul#96.itm#1(4)} -attr vt d
-load net {ACC1:mul#96.itm#1(5)} -attr vt d
-load net {ACC1:mul#96.itm#1(6)} -attr vt d
-load net {ACC1:mul#96.itm#1(7)} -attr vt d
-load net {ACC1:mul#96.itm#1(8)} -attr vt d
-load net {ACC1:mul#96.itm#1(9)} -attr vt d
-load net {ACC1:mul#96.itm#1(10)} -attr vt d
-load net {ACC1:mul#96.itm#1(11)} -attr vt d
-load net {ACC1:mul#96.itm#1(12)} -attr vt d
-load net {ACC1:mul#96.itm#1(13)} -attr vt d
-load netBundle {ACC1:mul#96.itm#1} 14 {ACC1:mul#96.itm#1(0)} {ACC1:mul#96.itm#1(1)} {ACC1:mul#96.itm#1(2)} {ACC1:mul#96.itm#1(3)} {ACC1:mul#96.itm#1(4)} {ACC1:mul#96.itm#1(5)} {ACC1:mul#96.itm#1(6)} {ACC1:mul#96.itm#1(7)} {ACC1:mul#96.itm#1(8)} {ACC1:mul#96.itm#1(9)} {ACC1:mul#96.itm#1(10)} {ACC1:mul#96.itm#1(11)} {ACC1:mul#96.itm#1(12)} {ACC1:mul#96.itm#1(13)} -attr xrf 17882 -attr oid 28 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {FRAME:acc#12.itm#1(0)} -attr vt d
-load net {FRAME:acc#12.itm#1(1)} -attr vt d
-load net {FRAME:acc#12.itm#1(2)} -attr vt d
-load net {FRAME:acc#12.itm#1(3)} -attr vt d
-load net {FRAME:acc#12.itm#1(4)} -attr vt d
-load net {FRAME:acc#12.itm#1(5)} -attr vt d
-load netBundle {FRAME:acc#12.itm#1} 6 {FRAME:acc#12.itm#1(0)} {FRAME:acc#12.itm#1(1)} {FRAME:acc#12.itm#1(2)} {FRAME:acc#12.itm#1(3)} {FRAME:acc#12.itm#1(4)} {FRAME:acc#12.itm#1(5)} -attr xrf 17883 -attr oid 29 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {intensity:slc(intensity#2.sg1)#9.itm#1(0)} -attr vt d
-load net {intensity:slc(intensity#2.sg1)#9.itm#1(1)} -attr vt d
-load netBundle {intensity:slc(intensity#2.sg1)#9.itm#1} 2 {intensity:slc(intensity#2.sg1)#9.itm#1(0)} {intensity:slc(intensity#2.sg1)#9.itm#1(1)} -attr xrf 17884 -attr oid 30 -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#9.itm#1}
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(0)} -attr vt d
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(1)} -attr vt d
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(2)} -attr vt d
-load netBundle {intensity:slc(intensity#2.sg1)#11.itm#1} 3 {intensity:slc(intensity#2.sg1)#11.itm#1(0)} {intensity:slc(intensity#2.sg1)#11.itm#1(1)} {intensity:slc(intensity#2.sg1)#11.itm#1(2)} -attr xrf 17885 -attr oid 31 -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(0)} -attr vt d
-load net {intensity:slc(intensity#2.sg1).itm#1(1)} -attr vt d
-load net {intensity:slc(intensity#2.sg1).itm#1(2)} -attr vt d
-load net {intensity:slc(intensity#2.sg1).itm#1(3)} -attr vt d
-load net {intensity:slc(intensity#2.sg1).itm#1(4)} -attr vt d
-load net {intensity:slc(intensity#2.sg1).itm#1(5)} -attr vt d
-load netBundle {intensity:slc(intensity#2.sg1).itm#1} 6 {intensity:slc(intensity#2.sg1).itm#1(0)} {intensity:slc(intensity#2.sg1).itm#1(1)} {intensity:slc(intensity#2.sg1).itm#1(2)} {intensity:slc(intensity#2.sg1).itm#1(3)} {intensity:slc(intensity#2.sg1).itm#1(4)} {intensity:slc(intensity#2.sg1).itm#1(5)} -attr xrf 17886 -attr oid 32 -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {FRAME:acc#5.psp.sva(0)} -attr vt d
-load net {FRAME:acc#5.psp.sva(1)} -attr vt d
-load net {FRAME:acc#5.psp.sva(2)} -attr vt d
-load net {FRAME:acc#5.psp.sva(3)} -attr vt d
-load net {FRAME:acc#5.psp.sva(4)} -attr vt d
-load net {FRAME:acc#5.psp.sva(5)} -attr vt d
-load net {FRAME:acc#5.psp.sva(6)} -attr vt d
-load net {FRAME:acc#5.psp.sva(7)} -attr vt d
-load net {FRAME:acc#5.psp.sva(8)} -attr vt d
-load net {FRAME:acc#5.psp.sva(9)} -attr vt d
-load net {FRAME:acc#5.psp.sva(10)} -attr vt d
-load net {FRAME:acc#5.psp.sva(11)} -attr vt d
-load netBundle {FRAME:acc#5.psp.sva} 12 {FRAME:acc#5.psp.sva(0)} {FRAME:acc#5.psp.sva(1)} {FRAME:acc#5.psp.sva(2)} {FRAME:acc#5.psp.sva(3)} {FRAME:acc#5.psp.sva(4)} {FRAME:acc#5.psp.sva(5)} {FRAME:acc#5.psp.sva(6)} {FRAME:acc#5.psp.sva(7)} {FRAME:acc#5.psp.sva(8)} {FRAME:acc#5.psp.sva(9)} {FRAME:acc#5.psp.sva(10)} {FRAME:acc#5.psp.sva(11)} -attr xrf 17887 -attr oid 33 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {acc.imod#15.sva(0)} -attr vt d
-load net {acc.imod#15.sva(1)} -attr vt d
-load net {acc.imod#15.sva(2)} -attr vt d
-load net {acc.imod#15.sva(3)} -attr vt d
-load net {acc.imod#15.sva(4)} -attr vt d
-load net {acc.imod#15.sva(5)} -attr vt d
-load netBundle {acc.imod#15.sva} 6 {acc.imod#15.sva(0)} {acc.imod#15.sva(1)} {acc.imod#15.sva(2)} {acc.imod#15.sva(3)} {acc.imod#15.sva(4)} {acc.imod#15.sva(5)} -attr xrf 17888 -attr oid 34 -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load net {intensity#2.sg1.sva(0)} -attr vt d
-load net {intensity#2.sg1.sva(1)} -attr vt d
-load net {intensity#2.sg1.sva(2)} -attr vt d
-load net {intensity#2.sg1.sva(3)} -attr vt d
-load net {intensity#2.sg1.sva(4)} -attr vt d
-load net {intensity#2.sg1.sva(5)} -attr vt d
-load net {intensity#2.sg1.sva(6)} -attr vt d
-load net {intensity#2.sg1.sva(7)} -attr vt d
-load net {intensity#2.sg1.sva(8)} -attr vt d
-load net {intensity#2.sg1.sva(9)} -attr vt d
-load net {intensity#2.sg1.sva(10)} -attr vt d
-load net {intensity#2.sg1.sva(11)} -attr vt d
-load net {intensity#2.sg1.sva(12)} -attr vt d
-load net {intensity#2.sg1.sva(13)} -attr vt d
-load net {intensity#2.sg1.sva(14)} -attr vt d
-load netBundle {intensity#2.sg1.sva} 15 {intensity#2.sg1.sva(0)} {intensity#2.sg1.sva(1)} {intensity#2.sg1.sva(2)} {intensity#2.sg1.sva(3)} {intensity#2.sg1.sva(4)} {intensity#2.sg1.sva(5)} {intensity#2.sg1.sva(6)} {intensity#2.sg1.sva(7)} {intensity#2.sg1.sva(8)} {intensity#2.sg1.sva(9)} {intensity#2.sg1.sva(10)} {intensity#2.sg1.sva(11)} {intensity#2.sg1.sva(12)} {intensity#2.sg1.sva(13)} {intensity#2.sg1.sva(14)} -attr xrf 17889 -attr oid 35 -attr vt d -attr @path {/sobel/sobel:core/intensity#2.sg1.sva}
-load net {ACC1:acc#230.sdt(0)} -attr vt d
-load net {ACC1:acc#230.sdt(1)} -attr vt d
-load net {ACC1:acc#230.sdt(2)} -attr vt d
-load net {ACC1:acc#230.sdt(3)} -attr vt d
-load netBundle {ACC1:acc#230.sdt} 4 {ACC1:acc#230.sdt(0)} {ACC1:acc#230.sdt(1)} {ACC1:acc#230.sdt(2)} {ACC1:acc#230.sdt(3)} -attr xrf 17890 -attr oid 36 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.sdt}
-load net {mux.itm(0)} -attr vt d
-load net {mux.itm(1)} -attr vt d
-load net {mux.itm(2)} -attr vt d
-load net {mux.itm(3)} -attr vt d
-load net {mux.itm(4)} -attr vt d
-load net {mux.itm(5)} -attr vt d
-load net {mux.itm(6)} -attr vt d
-load net {mux.itm(7)} -attr vt d
-load net {mux.itm(8)} -attr vt d
-load net {mux.itm(9)} -attr vt d
-load net {mux.itm(10)} -attr vt d
-load net {mux.itm(11)} -attr vt d
-load net {mux.itm(12)} -attr vt d
-load net {mux.itm(13)} -attr vt d
-load net {mux.itm(14)} -attr vt d
-load net {mux.itm(15)} -attr vt d
-load net {mux.itm(16)} -attr vt d
-load net {mux.itm(17)} -attr vt d
-load net {mux.itm(18)} -attr vt d
-load net {mux.itm(19)} -attr vt d
-load net {mux.itm(20)} -attr vt d
-load net {mux.itm(21)} -attr vt d
-load net {mux.itm(22)} -attr vt d
-load net {mux.itm(23)} -attr vt d
-load net {mux.itm(24)} -attr vt d
-load net {mux.itm(25)} -attr vt d
-load net {mux.itm(26)} -attr vt d
-load net {mux.itm(27)} -attr vt d
-load net {mux.itm(28)} -attr vt d
-load net {mux.itm(29)} -attr vt d
-load netBundle {mux.itm} 30 {mux.itm(0)} {mux.itm(1)} {mux.itm(2)} {mux.itm(3)} {mux.itm(4)} {mux.itm(5)} {mux.itm(6)} {mux.itm(7)} {mux.itm(8)} {mux.itm(9)} {mux.itm(10)} {mux.itm(11)} {mux.itm(12)} {mux.itm(13)} {mux.itm(14)} {mux.itm(15)} {mux.itm(16)} {mux.itm(17)} {mux.itm(18)} {mux.itm(19)} {mux.itm(20)} {mux.itm(21)} {mux.itm(22)} {mux.itm(23)} {mux.itm(24)} {mux.itm(25)} {mux.itm(26)} {mux.itm(27)} {mux.itm(28)} {mux.itm(29)} -attr xrf 17891 -attr oid 37 -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {FRAME:conc#22.itm(0)} -attr vt d
-load net {FRAME:conc#22.itm(1)} -attr vt d
-load net {FRAME:conc#22.itm(2)} -attr vt d
-load net {FRAME:conc#22.itm(3)} -attr vt d
-load net {FRAME:conc#22.itm(4)} -attr vt d
-load net {FRAME:conc#22.itm(5)} -attr vt d
-load net {FRAME:conc#22.itm(6)} -attr vt d
-load net {FRAME:conc#22.itm(7)} -attr vt d
-load net {FRAME:conc#22.itm(8)} -attr vt d
-load net {FRAME:conc#22.itm(9)} -attr vt d
-load net {FRAME:conc#22.itm(10)} -attr vt d
-load net {FRAME:conc#22.itm(11)} -attr vt d
-load net {FRAME:conc#22.itm(12)} -attr vt d
-load net {FRAME:conc#22.itm(13)} -attr vt d
-load net {FRAME:conc#22.itm(14)} -attr vt d
-load net {FRAME:conc#22.itm(15)} -attr vt d
-load net {FRAME:conc#22.itm(16)} -attr vt d
-load net {FRAME:conc#22.itm(17)} -attr vt d
-load net {FRAME:conc#22.itm(18)} -attr vt d
-load net {FRAME:conc#22.itm(19)} -attr vt d
-load net {FRAME:conc#22.itm(20)} -attr vt d
-load net {FRAME:conc#22.itm(21)} -attr vt d
-load net {FRAME:conc#22.itm(22)} -attr vt d
-load net {FRAME:conc#22.itm(23)} -attr vt d
-load net {FRAME:conc#22.itm(24)} -attr vt d
-load net {FRAME:conc#22.itm(25)} -attr vt d
-load net {FRAME:conc#22.itm(26)} -attr vt d
-load net {FRAME:conc#22.itm(27)} -attr vt d
-load net {FRAME:conc#22.itm(28)} -attr vt d
-load net {FRAME:conc#22.itm(29)} -attr vt d
-load netBundle {FRAME:conc#22.itm} 30 {FRAME:conc#22.itm(0)} {FRAME:conc#22.itm(1)} {FRAME:conc#22.itm(2)} {FRAME:conc#22.itm(3)} {FRAME:conc#22.itm(4)} {FRAME:conc#22.itm(5)} {FRAME:conc#22.itm(6)} {FRAME:conc#22.itm(7)} {FRAME:conc#22.itm(8)} {FRAME:conc#22.itm(9)} {FRAME:conc#22.itm(10)} {FRAME:conc#22.itm(11)} {FRAME:conc#22.itm(12)} {FRAME:conc#22.itm(13)} {FRAME:conc#22.itm(14)} {FRAME:conc#22.itm(15)} {FRAME:conc#22.itm(16)} {FRAME:conc#22.itm(17)} {FRAME:conc#22.itm(18)} {FRAME:conc#22.itm(19)} {FRAME:conc#22.itm(20)} {FRAME:conc#22.itm(21)} {FRAME:conc#22.itm(22)} {FRAME:conc#22.itm(23)} {FRAME:conc#22.itm(24)} {FRAME:conc#22.itm(25)} {FRAME:conc#22.itm(26)} {FRAME:conc#22.itm(27)} {FRAME:conc#22.itm(28)} {FRAME:conc#22.itm(29)} -attr xrf 17892 -attr oid 38 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(0)} -attr vt d
-load net {FRAME:or.itm(1)} -attr vt d
-load net {FRAME:or.itm(2)} -attr vt d
-load net {FRAME:or.itm(3)} -attr vt d
-load net {FRAME:or.itm(4)} -attr vt d
-load net {FRAME:or.itm(5)} -attr vt d
-load net {FRAME:or.itm(6)} -attr vt d
-load net {FRAME:or.itm(7)} -attr vt d
-load net {FRAME:or.itm(8)} -attr vt d
-load net {FRAME:or.itm(9)} -attr vt d
-load netBundle {FRAME:or.itm} 10 {FRAME:or.itm(0)} {FRAME:or.itm(1)} {FRAME:or.itm(2)} {FRAME:or.itm(3)} {FRAME:or.itm(4)} {FRAME:or.itm(5)} {FRAME:or.itm(6)} {FRAME:or.itm(7)} {FRAME:or.itm(8)} {FRAME:or.itm(9)} -attr xrf 17893 -attr oid 39 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(1)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(2)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(3)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(4)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(5)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(6)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(7)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(8)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#4.itm(9)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#4.itm} 10 {slc(FRAME:acc#5.psp.sva)#4.itm(0)} {slc(FRAME:acc#5.psp.sva)#4.itm(1)} {slc(FRAME:acc#5.psp.sva)#4.itm(2)} {slc(FRAME:acc#5.psp.sva)#4.itm(3)} {slc(FRAME:acc#5.psp.sva)#4.itm(4)} {slc(FRAME:acc#5.psp.sva)#4.itm(5)} {slc(FRAME:acc#5.psp.sva)#4.itm(6)} {slc(FRAME:acc#5.psp.sva)#4.itm(7)} {slc(FRAME:acc#5.psp.sva)#4.itm(8)} {slc(FRAME:acc#5.psp.sva)#4.itm(9)} -attr xrf 17894 -attr oid 40 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {conc#309.itm(0)} -attr vt d
-load net {conc#309.itm(1)} -attr vt d
-load net {conc#309.itm(2)} -attr vt d
-load net {conc#309.itm(3)} -attr vt d
-load net {conc#309.itm(4)} -attr vt d
-load net {conc#309.itm(5)} -attr vt d
-load net {conc#309.itm(6)} -attr vt d
-load net {conc#309.itm(7)} -attr vt d
-load net {conc#309.itm(8)} -attr vt d
-load net {conc#309.itm(9)} -attr vt d
-load netBundle {conc#309.itm} 10 {conc#309.itm(0)} {conc#309.itm(1)} {conc#309.itm(2)} {conc#309.itm(3)} {conc#309.itm(4)} {conc#309.itm(5)} {conc#309.itm(6)} {conc#309.itm(7)} {conc#309.itm(8)} {conc#309.itm(9)} -attr xrf 17895 -attr oid 41 -attr vt d -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {slc(FRAME:acc#5.psp.sva)#5.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#5.itm(1)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#5.itm} 2 {slc(FRAME:acc#5.psp.sva)#5.itm(0)} {slc(FRAME:acc#5.psp.sva)#5.itm(1)} -attr xrf 17896 -attr oid 42 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#5.itm}
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(1)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(2)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#2.itm(3)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#2.itm} 4 {slc(FRAME:acc#5.psp.sva)#2.itm(0)} {slc(FRAME:acc#5.psp.sva)#2.itm(1)} {slc(FRAME:acc#5.psp.sva)#2.itm(2)} {slc(FRAME:acc#5.psp.sva)#2.itm(3)} -attr xrf 17897 -attr oid 43 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#2.itm}
-load net {FRAME:or#3.itm(0)} -attr vt d
-load net {FRAME:or#3.itm(1)} -attr vt d
-load net {FRAME:or#3.itm(2)} -attr vt d
-load net {FRAME:or#3.itm(3)} -attr vt d
-load net {FRAME:or#3.itm(4)} -attr vt d
-load net {FRAME:or#3.itm(5)} -attr vt d
-load netBundle {FRAME:or#3.itm} 6 {FRAME:or#3.itm(0)} {FRAME:or#3.itm(1)} {FRAME:or#3.itm(2)} {FRAME:or#3.itm(3)} {FRAME:or#3.itm(4)} {FRAME:or#3.itm(5)} -attr xrf 17898 -attr oid 44 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(1)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(2)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(3)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(4)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#3.itm(5)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#3.itm} 6 {slc(FRAME:acc#5.psp.sva)#3.itm(0)} {slc(FRAME:acc#5.psp.sva)#3.itm(1)} {slc(FRAME:acc#5.psp.sva)#3.itm(2)} {slc(FRAME:acc#5.psp.sva)#3.itm(3)} {slc(FRAME:acc#5.psp.sva)#3.itm(4)} {slc(FRAME:acc#5.psp.sva)#3.itm(5)} -attr xrf 17899 -attr oid 45 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {conc#310.itm(0)} -attr vt d
-load net {conc#310.itm(1)} -attr vt d
-load net {conc#310.itm(2)} -attr vt d
-load net {conc#310.itm(3)} -attr vt d
-load net {conc#310.itm(4)} -attr vt d
-load net {conc#310.itm(5)} -attr vt d
-load netBundle {conc#310.itm} 6 {conc#310.itm(0)} {conc#310.itm(1)} {conc#310.itm(2)} {conc#310.itm(3)} {conc#310.itm(4)} {conc#310.itm(5)} -attr xrf 17900 -attr oid 46 -attr vt d -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {slc(FRAME:acc#5.psp.sva)#1.itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva)#1.itm(1)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva)#1.itm} 2 {slc(FRAME:acc#5.psp.sva)#1.itm(0)} {slc(FRAME:acc#5.psp.sva)#1.itm(1)} -attr xrf 17901 -attr oid 47 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#1.itm}
-load net {slc(FRAME:acc#5.psp.sva).itm(0)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(1)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(2)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(3)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(4)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(5)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(6)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(7)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(8)} -attr vt d
-load net {slc(FRAME:acc#5.psp.sva).itm(9)} -attr vt d
-load netBundle {slc(FRAME:acc#5.psp.sva).itm} 10 {slc(FRAME:acc#5.psp.sva).itm(0)} {slc(FRAME:acc#5.psp.sva).itm(1)} {slc(FRAME:acc#5.psp.sva).itm(2)} {slc(FRAME:acc#5.psp.sva).itm(3)} {slc(FRAME:acc#5.psp.sva).itm(4)} {slc(FRAME:acc#5.psp.sva).itm(5)} {slc(FRAME:acc#5.psp.sva).itm(6)} {slc(FRAME:acc#5.psp.sva).itm(7)} {slc(FRAME:acc#5.psp.sva).itm(8)} {slc(FRAME:acc#5.psp.sva).itm(9)} -attr xrf 17902 -attr oid 48 -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva).itm}
-load net {slc(intensity#2.sg1.sva)#4.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#4.itm(1)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#4.itm} 2 {slc(intensity#2.sg1.sva)#4.itm(0)} {slc(intensity#2.sg1.sva)#4.itm(1)} -attr xrf 17903 -attr oid 49 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#4.itm}
-load net {slc(intensity#2.sg1.sva)#3.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#3.itm(1)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#3.itm(2)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#3.itm} 3 {slc(intensity#2.sg1.sva)#3.itm(0)} {slc(intensity#2.sg1.sva)#3.itm(1)} {slc(intensity#2.sg1.sva)#3.itm(2)} -attr xrf 17904 -attr oid 50 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
-load net {slc(intensity#2.sg1.sva)#2.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#2.itm(1)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#2.itm(2)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#2.itm(3)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#2.itm(4)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#2.itm(5)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#2.itm} 6 {slc(intensity#2.sg1.sva)#2.itm(0)} {slc(intensity#2.sg1.sva)#2.itm(1)} {slc(intensity#2.sg1.sva)#2.itm(2)} {slc(intensity#2.sg1.sva)#2.itm(3)} {slc(intensity#2.sg1.sva)#2.itm(4)} {slc(intensity#2.sg1.sva)#2.itm(5)} -attr xrf 17905 -attr oid 51 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {FRAME:acc#12.itm(0)} -attr vt d
-load net {FRAME:acc#12.itm(1)} -attr vt d
-load net {FRAME:acc#12.itm(2)} -attr vt d
-load net {FRAME:acc#12.itm(3)} -attr vt d
-load net {FRAME:acc#12.itm(4)} -attr vt d
-load net {FRAME:acc#12.itm(5)} -attr vt d
-load netBundle {FRAME:acc#12.itm} 6 {FRAME:acc#12.itm(0)} {FRAME:acc#12.itm(1)} {FRAME:acc#12.itm(2)} {FRAME:acc#12.itm(3)} {FRAME:acc#12.itm(4)} {FRAME:acc#12.itm(5)} -attr xrf 17906 -attr oid 52 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#11.itm(0)} -attr vt d
-load net {FRAME:acc#11.itm(1)} -attr vt d
-load net {FRAME:acc#11.itm(2)} -attr vt d
-load net {FRAME:acc#11.itm(3)} -attr vt d
-load net {FRAME:acc#11.itm(4)} -attr vt d
-load netBundle {FRAME:acc#11.itm} 5 {FRAME:acc#11.itm(0)} {FRAME:acc#11.itm(1)} {FRAME:acc#11.itm(2)} {FRAME:acc#11.itm(3)} {FRAME:acc#11.itm(4)} -attr xrf 17907 -attr oid 53 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#9.itm(0)} -attr vt d
-load net {FRAME:acc#9.itm(1)} -attr vt d
-load net {FRAME:acc#9.itm(2)} -attr vt d
-load net {FRAME:acc#9.itm(3)} -attr vt d
-load netBundle {FRAME:acc#9.itm} 4 {FRAME:acc#9.itm(0)} {FRAME:acc#9.itm(1)} {FRAME:acc#9.itm(2)} {FRAME:acc#9.itm(3)} -attr xrf 17908 -attr oid 54 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {slc(intensity#2.sg1.sva)#8.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#8.itm(1)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#8.itm(2)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#8.itm} 3 {slc(intensity#2.sg1.sva)#8.itm(0)} {slc(intensity#2.sg1.sva)#8.itm(1)} {slc(intensity#2.sg1.sva)#8.itm(2)} -attr xrf 17909 -attr oid 55 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#8.itm}
-load net {FRAME:not#26.itm(0)} -attr vt d
-load net {FRAME:not#26.itm(1)} -attr vt d
-load net {FRAME:not#26.itm(2)} -attr vt d
-load netBundle {FRAME:not#26.itm} 3 {FRAME:not#26.itm(0)} {FRAME:not#26.itm(1)} {FRAME:not#26.itm(2)} -attr xrf 17910 -attr oid 56 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load net {slc(intensity#2.sg1.sva)#9.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#9.itm(1)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#9.itm(2)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#9.itm} 3 {slc(intensity#2.sg1.sva)#9.itm(0)} {slc(intensity#2.sg1.sva)#9.itm(1)} {slc(intensity#2.sg1.sva)#9.itm(2)} -attr xrf 17911 -attr oid 57 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#9.itm}
-load net {FRAME:acc#8.itm(0)} -attr vt d
-load net {FRAME:acc#8.itm(1)} -attr vt d
-load net {FRAME:acc#8.itm(2)} -attr vt d
-load net {FRAME:acc#8.itm(3)} -attr vt d
-load netBundle {FRAME:acc#8.itm} 4 {FRAME:acc#8.itm(0)} {FRAME:acc#8.itm(1)} {FRAME:acc#8.itm(2)} {FRAME:acc#8.itm(3)} -attr xrf 17912 -attr oid 58 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {conc#311.itm(0)} -attr vt d
-load net {conc#311.itm(1)} -attr vt d
-load net {conc#311.itm(2)} -attr vt d
-load netBundle {conc#311.itm} 3 {conc#311.itm(0)} {conc#311.itm(1)} {conc#311.itm(2)} -attr xrf 17913 -attr oid 59 -attr vt d -attr @path {/sobel/sobel:core/conc#311.itm}
-load net {slc(intensity#2.sg1.sva)#10.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#10.itm(1)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#10.itm} 2 {slc(intensity#2.sg1.sva)#10.itm(0)} {slc(intensity#2.sg1.sva)#10.itm(1)} -attr xrf 17914 -attr oid 60 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#10.itm}
-load net {FRAME:acc#10.itm(0)} -attr vt d
-load net {FRAME:acc#10.itm(1)} -attr vt d
-load net {FRAME:acc#10.itm(2)} -attr vt d
-load net {FRAME:acc#10.itm(3)} -attr vt d
-load netBundle {FRAME:acc#10.itm} 4 {FRAME:acc#10.itm(0)} {FRAME:acc#10.itm(1)} {FRAME:acc#10.itm(2)} {FRAME:acc#10.itm(3)} -attr xrf 17915 -attr oid 61 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {slc(intensity#2.sg1.sva)#5.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#5.itm(1)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#5.itm(2)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#5.itm} 3 {slc(intensity#2.sg1.sva)#5.itm(0)} {slc(intensity#2.sg1.sva)#5.itm(1)} {slc(intensity#2.sg1.sva)#5.itm(2)} -attr xrf 17916 -attr oid 62 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
-load net {FRAME:not#25.itm(0)} -attr vt d
-load net {FRAME:not#25.itm(1)} -attr vt d
-load net {FRAME:not#25.itm(2)} -attr vt d
-load netBundle {FRAME:not#25.itm} 3 {FRAME:not#25.itm(0)} {FRAME:not#25.itm(1)} {FRAME:not#25.itm(2)} -attr xrf 17917 -attr oid 63 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load net {slc(intensity#2.sg1.sva)#6.itm(0)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#6.itm(1)} -attr vt d
-load net {slc(intensity#2.sg1.sva)#6.itm(2)} -attr vt d
-load netBundle {slc(intensity#2.sg1.sva)#6.itm} 3 {slc(intensity#2.sg1.sva)#6.itm(0)} {slc(intensity#2.sg1.sva)#6.itm(1)} {slc(intensity#2.sg1.sva)#6.itm(2)} -attr xrf 17918 -attr oid 64 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
-load net {ACC1:acc#281.itm(0)} -attr vt d
-load net {ACC1:acc#281.itm(1)} -attr vt d
-load net {ACC1:acc#281.itm(2)} -attr vt d
-load net {ACC1:acc#281.itm(3)} -attr vt d
-load net {ACC1:acc#281.itm(4)} -attr vt d
-load net {ACC1:acc#281.itm(5)} -attr vt d
-load net {ACC1:acc#281.itm(6)} -attr vt d
-load net {ACC1:acc#281.itm(7)} -attr vt d
-load net {ACC1:acc#281.itm(8)} -attr vt d
-load net {ACC1:acc#281.itm(9)} -attr vt d
-load net {ACC1:acc#281.itm(10)} -attr vt d
-load net {ACC1:acc#281.itm(11)} -attr vt d
-load net {ACC1:acc#281.itm(12)} -attr vt d
-load net {ACC1:acc#281.itm(13)} -attr vt d
-load net {ACC1:acc#281.itm(14)} -attr vt d
-load net {ACC1:acc#281.itm(15)} -attr vt d
-load netBundle {ACC1:acc#281.itm} 16 {ACC1:acc#281.itm(0)} {ACC1:acc#281.itm(1)} {ACC1:acc#281.itm(2)} {ACC1:acc#281.itm(3)} {ACC1:acc#281.itm(4)} {ACC1:acc#281.itm(5)} {ACC1:acc#281.itm(6)} {ACC1:acc#281.itm(7)} {ACC1:acc#281.itm(8)} {ACC1:acc#281.itm(9)} {ACC1:acc#281.itm(10)} {ACC1:acc#281.itm(11)} {ACC1:acc#281.itm(12)} {ACC1:acc#281.itm(13)} {ACC1:acc#281.itm(14)} {ACC1:acc#281.itm(15)} -attr xrf 17919 -attr oid 65 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#279.itm(0)} -attr vt d
-load net {ACC1:acc#279.itm(1)} -attr vt d
-load net {ACC1:acc#279.itm(2)} -attr vt d
-load net {ACC1:acc#279.itm(3)} -attr vt d
-load net {ACC1:acc#279.itm(4)} -attr vt d
-load net {ACC1:acc#279.itm(5)} -attr vt d
-load net {ACC1:acc#279.itm(6)} -attr vt d
-load net {ACC1:acc#279.itm(7)} -attr vt d
-load net {ACC1:acc#279.itm(8)} -attr vt d
-load net {ACC1:acc#279.itm(9)} -attr vt d
-load net {ACC1:acc#279.itm(10)} -attr vt d
-load net {ACC1:acc#279.itm(11)} -attr vt d
-load net {ACC1:acc#279.itm(12)} -attr vt d
-load net {ACC1:acc#279.itm(13)} -attr vt d
-load net {ACC1:acc#279.itm(14)} -attr vt d
-load net {ACC1:acc#279.itm(15)} -attr vt d
-load netBundle {ACC1:acc#279.itm} 16 {ACC1:acc#279.itm(0)} {ACC1:acc#279.itm(1)} {ACC1:acc#279.itm(2)} {ACC1:acc#279.itm(3)} {ACC1:acc#279.itm(4)} {ACC1:acc#279.itm(5)} {ACC1:acc#279.itm(6)} {ACC1:acc#279.itm(7)} {ACC1:acc#279.itm(8)} {ACC1:acc#279.itm(9)} {ACC1:acc#279.itm(10)} {ACC1:acc#279.itm(11)} {ACC1:acc#279.itm(12)} {ACC1:acc#279.itm(13)} {ACC1:acc#279.itm(14)} {ACC1:acc#279.itm(15)} -attr xrf 17920 -attr oid 66 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#275.itm(0)} -attr vt d
-load net {ACC1:acc#275.itm(1)} -attr vt d
-load net {ACC1:acc#275.itm(2)} -attr vt d
-load net {ACC1:acc#275.itm(3)} -attr vt d
-load net {ACC1:acc#275.itm(4)} -attr vt d
-load net {ACC1:acc#275.itm(5)} -attr vt d
-load net {ACC1:acc#275.itm(6)} -attr vt d
-load net {ACC1:acc#275.itm(7)} -attr vt d
-load net {ACC1:acc#275.itm(8)} -attr vt d
-load net {ACC1:acc#275.itm(9)} -attr vt d
-load net {ACC1:acc#275.itm(10)} -attr vt d
-load net {ACC1:acc#275.itm(11)} -attr vt d
-load net {ACC1:acc#275.itm(12)} -attr vt d
-load net {ACC1:acc#275.itm(13)} -attr vt d
-load net {ACC1:acc#275.itm(14)} -attr vt d
-load net {ACC1:acc#275.itm(15)} -attr vt d
-load netBundle {ACC1:acc#275.itm} 16 {ACC1:acc#275.itm(0)} {ACC1:acc#275.itm(1)} {ACC1:acc#275.itm(2)} {ACC1:acc#275.itm(3)} {ACC1:acc#275.itm(4)} {ACC1:acc#275.itm(5)} {ACC1:acc#275.itm(6)} {ACC1:acc#275.itm(7)} {ACC1:acc#275.itm(8)} {ACC1:acc#275.itm(9)} {ACC1:acc#275.itm(10)} {ACC1:acc#275.itm(11)} {ACC1:acc#275.itm(12)} {ACC1:acc#275.itm(13)} {ACC1:acc#275.itm(14)} {ACC1:acc#275.itm(15)} -attr xrf 17921 -attr oid 67 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:conc#277.itm(0)} -attr vt d
-load net {ACC1:conc#277.itm(1)} -attr vt d
-load net {ACC1:conc#277.itm(2)} -attr vt d
-load net {ACC1:conc#277.itm(3)} -attr vt d
-load net {ACC1:conc#277.itm(4)} -attr vt d
-load net {ACC1:conc#277.itm(5)} -attr vt d
-load net {ACC1:conc#277.itm(6)} -attr vt d
-load net {ACC1:conc#277.itm(7)} -attr vt d
-load net {ACC1:conc#277.itm(8)} -attr vt d
-load net {ACC1:conc#277.itm(9)} -attr vt d
-load net {ACC1:conc#277.itm(10)} -attr vt d
-load net {ACC1:conc#277.itm(11)} -attr vt d
-load net {ACC1:conc#277.itm(12)} -attr vt d
-load net {ACC1:conc#277.itm(13)} -attr vt d
-load net {ACC1:conc#277.itm(14)} -attr vt d
-load netBundle {ACC1:conc#277.itm} 15 {ACC1:conc#277.itm(0)} {ACC1:conc#277.itm(1)} {ACC1:conc#277.itm(2)} {ACC1:conc#277.itm(3)} {ACC1:conc#277.itm(4)} {ACC1:conc#277.itm(5)} {ACC1:conc#277.itm(6)} {ACC1:conc#277.itm(7)} {ACC1:conc#277.itm(8)} {ACC1:conc#277.itm(9)} {ACC1:conc#277.itm(10)} {ACC1:conc#277.itm(11)} {ACC1:conc#277.itm(12)} {ACC1:conc#277.itm(13)} {ACC1:conc#277.itm(14)} -attr xrf 17922 -attr oid 68 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(0)} -attr vt d
-load net {ACC1:mul#101.itm(1)} -attr vt d
-load net {ACC1:mul#101.itm(2)} -attr vt d
-load net {ACC1:mul#101.itm(3)} -attr vt d
-load net {ACC1:mul#101.itm(4)} -attr vt d
-load net {ACC1:mul#101.itm(5)} -attr vt d
-load net {ACC1:mul#101.itm(6)} -attr vt d
-load net {ACC1:mul#101.itm(7)} -attr vt d
-load net {ACC1:mul#101.itm(8)} -attr vt d
-load net {ACC1:mul#101.itm(9)} -attr vt d
-load net {ACC1:mul#101.itm(10)} -attr vt d
-load net {ACC1:mul#101.itm(11)} -attr vt d
-load netBundle {ACC1:mul#101.itm} 12 {ACC1:mul#101.itm(0)} {ACC1:mul#101.itm(1)} {ACC1:mul#101.itm(2)} {ACC1:mul#101.itm(3)} {ACC1:mul#101.itm(4)} {ACC1:mul#101.itm(5)} {ACC1:mul#101.itm(6)} {ACC1:mul#101.itm(7)} {ACC1:mul#101.itm(8)} {ACC1:mul#101.itm(9)} {ACC1:mul#101.itm(10)} {ACC1:mul#101.itm(11)} -attr xrf 17923 -attr oid 69 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:acc#144.itm(0)} -attr vt d
-load net {ACC1:acc#144.itm(1)} -attr vt d
-load netBundle {ACC1:acc#144.itm} 2 {ACC1:acc#144.itm(0)} {ACC1:acc#144.itm(1)} -attr xrf 17924 -attr oid 70 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
-load net {ACC1-3:exs#6.itm(0)} -attr vt d
-load net {ACC1-3:exs#6.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#6.itm} 2 {ACC1-3:exs#6.itm(0)} {ACC1-3:exs#6.itm(1)} -attr xrf 17925 -attr oid 71 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#6.itm}
-load net {ACC1:acc#272.itm(0)} -attr vt d
-load net {ACC1:acc#272.itm(1)} -attr vt d
-load net {ACC1:acc#272.itm(2)} -attr vt d
-load net {ACC1:acc#272.itm(3)} -attr vt d
-load net {ACC1:acc#272.itm(4)} -attr vt d
-load net {ACC1:acc#272.itm(5)} -attr vt d
-load net {ACC1:acc#272.itm(6)} -attr vt d
-load net {ACC1:acc#272.itm(7)} -attr vt d
-load net {ACC1:acc#272.itm(8)} -attr vt d
-load net {ACC1:acc#272.itm(9)} -attr vt d
-load net {ACC1:acc#272.itm(10)} -attr vt d
-load net {ACC1:acc#272.itm(11)} -attr vt d
-load net {ACC1:acc#272.itm(12)} -attr vt d
-load net {ACC1:acc#272.itm(13)} -attr vt d
-load netBundle {ACC1:acc#272.itm} 14 {ACC1:acc#272.itm(0)} {ACC1:acc#272.itm(1)} {ACC1:acc#272.itm(2)} {ACC1:acc#272.itm(3)} {ACC1:acc#272.itm(4)} {ACC1:acc#272.itm(5)} {ACC1:acc#272.itm(6)} {ACC1:acc#272.itm(7)} {ACC1:acc#272.itm(8)} {ACC1:acc#272.itm(9)} {ACC1:acc#272.itm(10)} {ACC1:acc#272.itm(11)} {ACC1:acc#272.itm(12)} {ACC1:acc#272.itm(13)} -attr xrf 17926 -attr oid 72 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:conc#253.itm(0)} -attr vt d
-load net {ACC1:conc#253.itm(1)} -attr vt d
-load net {ACC1:conc#253.itm(2)} -attr vt d
-load net {ACC1:conc#253.itm(3)} -attr vt d
-load net {ACC1:conc#253.itm(4)} -attr vt d
-load net {ACC1:conc#253.itm(5)} -attr vt d
-load net {ACC1:conc#253.itm(6)} -attr vt d
-load net {ACC1:conc#253.itm(7)} -attr vt d
-load net {ACC1:conc#253.itm(8)} -attr vt d
-load net {ACC1:conc#253.itm(9)} -attr vt d
-load net {ACC1:conc#253.itm(10)} -attr vt d
-load net {ACC1:conc#253.itm(11)} -attr vt d
-load net {ACC1:conc#253.itm(12)} -attr vt d
-load netBundle {ACC1:conc#253.itm} 13 {ACC1:conc#253.itm(0)} {ACC1:conc#253.itm(1)} {ACC1:conc#253.itm(2)} {ACC1:conc#253.itm(3)} {ACC1:conc#253.itm(4)} {ACC1:conc#253.itm(5)} {ACC1:conc#253.itm(6)} {ACC1:conc#253.itm(7)} {ACC1:conc#253.itm(8)} {ACC1:conc#253.itm(9)} {ACC1:conc#253.itm(10)} {ACC1:conc#253.itm(11)} {ACC1:conc#253.itm(12)} -attr xrf 17927 -attr oid 73 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(0)} -attr vt d
-load net {ACC1:mul#95.itm(1)} -attr vt d
-load net {ACC1:mul#95.itm(2)} -attr vt d
-load net {ACC1:mul#95.itm(3)} -attr vt d
-load net {ACC1:mul#95.itm(4)} -attr vt d
-load net {ACC1:mul#95.itm(5)} -attr vt d
-load net {ACC1:mul#95.itm(6)} -attr vt d
-load net {ACC1:mul#95.itm(7)} -attr vt d
-load net {ACC1:mul#95.itm(8)} -attr vt d
-load net {ACC1:mul#95.itm(9)} -attr vt d
-load net {ACC1:mul#95.itm(10)} -attr vt d
-load net {ACC1:mul#95.itm(11)} -attr vt d
-load netBundle {ACC1:mul#95.itm} 12 {ACC1:mul#95.itm(0)} {ACC1:mul#95.itm(1)} {ACC1:mul#95.itm(2)} {ACC1:mul#95.itm(3)} {ACC1:mul#95.itm(4)} {ACC1:mul#95.itm(5)} {ACC1:mul#95.itm(6)} {ACC1:mul#95.itm(7)} {ACC1:mul#95.itm(8)} {ACC1:mul#95.itm(9)} {ACC1:mul#95.itm(10)} {ACC1:mul#95.itm(11)} -attr xrf 17928 -attr oid 74 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:acc#138.itm(0)} -attr vt d
-load net {ACC1:acc#138.itm(1)} -attr vt d
-load netBundle {ACC1:acc#138.itm} 2 {ACC1:acc#138.itm(0)} {ACC1:acc#138.itm(1)} -attr xrf 17929 -attr oid 75 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
-load net {ACC1:conc#276.itm(0)} -attr vt d
-load net {ACC1:conc#276.itm(1)} -attr vt d
-load net {ACC1:conc#276.itm(2)} -attr vt d
-load net {ACC1:conc#276.itm(3)} -attr vt d
-load net {ACC1:conc#276.itm(4)} -attr vt d
-load net {ACC1:conc#276.itm(5)} -attr vt d
-load net {ACC1:conc#276.itm(6)} -attr vt d
-load net {ACC1:conc#276.itm(7)} -attr vt d
-load net {ACC1:conc#276.itm(8)} -attr vt d
-load net {ACC1:conc#276.itm(9)} -attr vt d
-load net {ACC1:conc#276.itm(10)} -attr vt d
-load net {ACC1:conc#276.itm(11)} -attr vt d
-load net {ACC1:conc#276.itm(12)} -attr vt d
-load netBundle {ACC1:conc#276.itm} 13 {ACC1:conc#276.itm(0)} {ACC1:conc#276.itm(1)} {ACC1:conc#276.itm(2)} {ACC1:conc#276.itm(3)} {ACC1:conc#276.itm(4)} {ACC1:conc#276.itm(5)} {ACC1:conc#276.itm(6)} {ACC1:conc#276.itm(7)} {ACC1:conc#276.itm(8)} {ACC1:conc#276.itm(9)} {ACC1:conc#276.itm(10)} {ACC1:conc#276.itm(11)} {ACC1:conc#276.itm(12)} -attr xrf 17930 -attr oid 76 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(0)} -attr vt d
-load net {ACC1:mul#100.itm(1)} -attr vt d
-load net {ACC1:mul#100.itm(2)} -attr vt d
-load net {ACC1:mul#100.itm(3)} -attr vt d
-load net {ACC1:mul#100.itm(4)} -attr vt d
-load net {ACC1:mul#100.itm(5)} -attr vt d
-load net {ACC1:mul#100.itm(6)} -attr vt d
-load net {ACC1:mul#100.itm(7)} -attr vt d
-load net {ACC1:mul#100.itm(8)} -attr vt d
-load net {ACC1:mul#100.itm(9)} -attr vt d
-load netBundle {ACC1:mul#100.itm} 10 {ACC1:mul#100.itm(0)} {ACC1:mul#100.itm(1)} {ACC1:mul#100.itm(2)} {ACC1:mul#100.itm(3)} {ACC1:mul#100.itm(4)} {ACC1:mul#100.itm(5)} {ACC1:mul#100.itm(6)} {ACC1:mul#100.itm(7)} {ACC1:mul#100.itm(8)} {ACC1:mul#100.itm(9)} -attr xrf 17931 -attr oid 77 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:acc#143.itm(0)} -attr vt d
-load net {ACC1:acc#143.itm(1)} -attr vt d
-load netBundle {ACC1:acc#143.itm} 2 {ACC1:acc#143.itm(0)} {ACC1:acc#143.itm(1)} -attr xrf 17932 -attr oid 78 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
-load net {ACC1-2:exs.itm(0)} -attr vt d
-load net {ACC1-2:exs.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs.itm} 2 {ACC1-2:exs.itm(0)} {ACC1-2:exs.itm(1)} -attr xrf 17933 -attr oid 79 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs.itm}
-load net {ACC1:conc#255.itm(0)} -attr vt d
-load net {ACC1:conc#255.itm(1)} -attr vt d
-load net {ACC1:conc#255.itm(2)} -attr vt d
-load net {ACC1:conc#255.itm(3)} -attr vt d
-load net {ACC1:conc#255.itm(4)} -attr vt d
-load net {ACC1:conc#255.itm(5)} -attr vt d
-load net {ACC1:conc#255.itm(6)} -attr vt d
-load net {ACC1:conc#255.itm(7)} -attr vt d
-load net {ACC1:conc#255.itm(8)} -attr vt d
-load net {ACC1:conc#255.itm(9)} -attr vt d
-load net {ACC1:conc#255.itm(10)} -attr vt d
-load net {ACC1:conc#255.itm(11)} -attr vt d
-load net {ACC1:conc#255.itm(12)} -attr vt d
-load net {ACC1:conc#255.itm(13)} -attr vt d
-load net {ACC1:conc#255.itm(14)} -attr vt d
-load net {ACC1:conc#255.itm(15)} -attr vt d
-load netBundle {ACC1:conc#255.itm} 16 {ACC1:conc#255.itm(0)} {ACC1:conc#255.itm(1)} {ACC1:conc#255.itm(2)} {ACC1:conc#255.itm(3)} {ACC1:conc#255.itm(4)} {ACC1:conc#255.itm(5)} {ACC1:conc#255.itm(6)} {ACC1:conc#255.itm(7)} {ACC1:conc#255.itm(8)} {ACC1:conc#255.itm(9)} {ACC1:conc#255.itm(10)} {ACC1:conc#255.itm(11)} {ACC1:conc#255.itm(12)} {ACC1:conc#255.itm(13)} {ACC1:conc#255.itm(14)} {ACC1:conc#255.itm(15)} -attr xrf 17934 -attr oid 80 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(0)} -attr vt d
-load net {ACC1:mul#97.itm(1)} -attr vt d
-load net {ACC1:mul#97.itm(2)} -attr vt d
-load net {ACC1:mul#97.itm(3)} -attr vt d
-load net {ACC1:mul#97.itm(4)} -attr vt d
-load net {ACC1:mul#97.itm(5)} -attr vt d
-load net {ACC1:mul#97.itm(6)} -attr vt d
-load net {ACC1:mul#97.itm(7)} -attr vt d
-load net {ACC1:mul#97.itm(8)} -attr vt d
-load net {ACC1:mul#97.itm(9)} -attr vt d
-load net {ACC1:mul#97.itm(10)} -attr vt d
-load net {ACC1:mul#97.itm(11)} -attr vt d
-load net {ACC1:mul#97.itm(12)} -attr vt d
-load net {ACC1:mul#97.itm(13)} -attr vt d
-load net {ACC1:mul#97.itm(14)} -attr vt d
-load netBundle {ACC1:mul#97.itm} 15 {ACC1:mul#97.itm(0)} {ACC1:mul#97.itm(1)} {ACC1:mul#97.itm(2)} {ACC1:mul#97.itm(3)} {ACC1:mul#97.itm(4)} {ACC1:mul#97.itm(5)} {ACC1:mul#97.itm(6)} {ACC1:mul#97.itm(7)} {ACC1:mul#97.itm(8)} {ACC1:mul#97.itm(9)} {ACC1:mul#97.itm(10)} {ACC1:mul#97.itm(11)} {ACC1:mul#97.itm(12)} {ACC1:mul#97.itm(13)} {ACC1:mul#97.itm(14)} -attr xrf 17935 -attr oid 81 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:acc#140.itm(0)} -attr vt d
-load net {ACC1:acc#140.itm(1)} -attr vt d
-load netBundle {ACC1:acc#140.itm} 2 {ACC1:acc#140.itm(0)} {ACC1:acc#140.itm(1)} -attr xrf 17936 -attr oid 82 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
-load net {ACC1:acc#278.itm(0)} -attr vt d
-load net {ACC1:acc#278.itm(1)} -attr vt d
-load net {ACC1:acc#278.itm(2)} -attr vt d
-load net {ACC1:acc#278.itm(3)} -attr vt d
-load net {ACC1:acc#278.itm(4)} -attr vt d
-load net {ACC1:acc#278.itm(5)} -attr vt d
-load net {ACC1:acc#278.itm(6)} -attr vt d
-load net {ACC1:acc#278.itm(7)} -attr vt d
-load net {ACC1:acc#278.itm(8)} -attr vt d
-load net {ACC1:acc#278.itm(9)} -attr vt d
-load net {ACC1:acc#278.itm(10)} -attr vt d
-load net {ACC1:acc#278.itm(11)} -attr vt d
-load net {ACC1:acc#278.itm(12)} -attr vt d
-load net {ACC1:acc#278.itm(13)} -attr vt d
-load net {ACC1:acc#278.itm(14)} -attr vt d
-load net {ACC1:acc#278.itm(15)} -attr vt d
-load netBundle {ACC1:acc#278.itm} 16 {ACC1:acc#278.itm(0)} {ACC1:acc#278.itm(1)} {ACC1:acc#278.itm(2)} {ACC1:acc#278.itm(3)} {ACC1:acc#278.itm(4)} {ACC1:acc#278.itm(5)} {ACC1:acc#278.itm(6)} {ACC1:acc#278.itm(7)} {ACC1:acc#278.itm(8)} {ACC1:acc#278.itm(9)} {ACC1:acc#278.itm(10)} {ACC1:acc#278.itm(11)} {ACC1:acc#278.itm(12)} {ACC1:acc#278.itm(13)} {ACC1:acc#278.itm(14)} {ACC1:acc#278.itm(15)} -attr xrf 17937 -attr oid 83 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {conc#312.itm(0)} -attr vt d
-load net {conc#312.itm(1)} -attr vt d
-load net {conc#312.itm(2)} -attr vt d
-load net {conc#312.itm(3)} -attr vt d
-load net {conc#312.itm(4)} -attr vt d
-load net {conc#312.itm(5)} -attr vt d
-load net {conc#312.itm(6)} -attr vt d
-load net {conc#312.itm(7)} -attr vt d
-load net {conc#312.itm(8)} -attr vt d
-load net {conc#312.itm(9)} -attr vt d
-load net {conc#312.itm(10)} -attr vt d
-load net {conc#312.itm(11)} -attr vt d
-load net {conc#312.itm(12)} -attr vt d
-load net {conc#312.itm(13)} -attr vt d
-load net {conc#312.itm(14)} -attr vt d
-load net {conc#312.itm(15)} -attr vt d
-load netBundle {conc#312.itm} 16 {conc#312.itm(0)} {conc#312.itm(1)} {conc#312.itm(2)} {conc#312.itm(3)} {conc#312.itm(4)} {conc#312.itm(5)} {conc#312.itm(6)} {conc#312.itm(7)} {conc#312.itm(8)} {conc#312.itm(9)} {conc#312.itm(10)} {conc#312.itm(11)} {conc#312.itm(12)} {conc#312.itm(13)} {conc#312.itm(14)} {conc#312.itm(15)} -attr xrf 17938 -attr oid 84 -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(0)} -attr vt d
-load net {ACC1:mul#105.itm(1)} -attr vt d
-load net {ACC1:mul#105.itm(2)} -attr vt d
-load net {ACC1:mul#105.itm(3)} -attr vt d
-load net {ACC1:mul#105.itm(4)} -attr vt d
-load net {ACC1:mul#105.itm(5)} -attr vt d
-load net {ACC1:mul#105.itm(6)} -attr vt d
-load net {ACC1:mul#105.itm(7)} -attr vt d
-load net {ACC1:mul#105.itm(8)} -attr vt d
-load net {ACC1:mul#105.itm(9)} -attr vt d
-load net {ACC1:mul#105.itm(10)} -attr vt d
-load net {ACC1:mul#105.itm(11)} -attr vt d
-load netBundle {ACC1:mul#105.itm} 12 {ACC1:mul#105.itm(0)} {ACC1:mul#105.itm(1)} {ACC1:mul#105.itm(2)} {ACC1:mul#105.itm(3)} {ACC1:mul#105.itm(4)} {ACC1:mul#105.itm(5)} {ACC1:mul#105.itm(6)} {ACC1:mul#105.itm(7)} {ACC1:mul#105.itm(8)} {ACC1:mul#105.itm(9)} {ACC1:mul#105.itm(10)} {ACC1:mul#105.itm(11)} -attr xrf 17939 -attr oid 85 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:acc#148.itm(0)} -attr vt d
-load net {ACC1:acc#148.itm(1)} -attr vt d
-load net {ACC1:acc#148.itm(2)} -attr vt d
-load netBundle {ACC1:acc#148.itm} 3 {ACC1:acc#148.itm(0)} {ACC1:acc#148.itm(1)} {ACC1:acc#148.itm(2)} -attr xrf 17940 -attr oid 86 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load net {ACC1:acc#149.itm(0)} -attr vt d
-load net {ACC1:acc#149.itm(1)} -attr vt d
-load netBundle {ACC1:acc#149.itm} 2 {ACC1:acc#149.itm(0)} {ACC1:acc#149.itm(1)} -attr xrf 17941 -attr oid 87 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
-load net {ACC1:acc#150.itm(0)} -attr vt d
-load net {ACC1:acc#150.itm(1)} -attr vt d
-load netBundle {ACC1:acc#150.itm} 2 {ACC1:acc#150.itm(0)} {ACC1:acc#150.itm(1)} -attr xrf 17942 -attr oid 88 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
-load net {ACC1-2:exs#21.itm(0)} -attr vt d
-load net {ACC1-2:exs#21.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs#21.itm} 2 {ACC1-2:exs#21.itm(0)} {ACC1-2:exs#21.itm(1)} -attr xrf 17943 -attr oid 89 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#21.itm}
-load net {mul.itm(0)} -attr vt d
-load net {mul.itm(1)} -attr vt d
-load net {mul.itm(2)} -attr vt d
-load net {mul.itm(3)} -attr vt d
-load net {mul.itm(4)} -attr vt d
-load net {mul.itm(5)} -attr vt d
-load net {mul.itm(6)} -attr vt d
-load net {mul.itm(7)} -attr vt d
-load net {mul.itm(8)} -attr vt d
-load net {mul.itm(9)} -attr vt d
-load net {mul.itm(10)} -attr vt d
-load net {mul.itm(11)} -attr vt d
-load net {mul.itm(12)} -attr vt d
-load net {mul.itm(13)} -attr vt d
-load net {mul.itm(14)} -attr vt d
-load net {mul.itm(15)} -attr vt d
-load netBundle {mul.itm} 16 {mul.itm(0)} {mul.itm(1)} {mul.itm(2)} {mul.itm(3)} {mul.itm(4)} {mul.itm(5)} {mul.itm(6)} {mul.itm(7)} {mul.itm(8)} {mul.itm(9)} {mul.itm(10)} {mul.itm(11)} {mul.itm(12)} {mul.itm(13)} {mul.itm(14)} {mul.itm(15)} -attr xrf 17944 -attr oid 90 -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {ACC1:acc#151.itm(0)} -attr vt d
-load net {ACC1:acc#151.itm(1)} -attr vt d
-load netBundle {ACC1:acc#151.itm} 2 {ACC1:acc#151.itm(0)} {ACC1:acc#151.itm(1)} -attr xrf 17945 -attr oid 91 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
-load net {mul#1.itm(0)} -attr vt d
-load net {mul#1.itm(1)} -attr vt d
-load net {mul#1.itm(2)} -attr vt d
-load net {mul#1.itm(3)} -attr vt d
-load net {mul#1.itm(4)} -attr vt d
-load net {mul#1.itm(5)} -attr vt d
-load net {mul#1.itm(6)} -attr vt d
-load net {mul#1.itm(7)} -attr vt d
-load net {mul#1.itm(8)} -attr vt d
-load net {mul#1.itm(9)} -attr vt d
-load net {mul#1.itm(10)} -attr vt d
-load net {mul#1.itm(11)} -attr vt d
-load net {mul#1.itm(12)} -attr vt d
-load netBundle {mul#1.itm} 13 {mul#1.itm(0)} {mul#1.itm(1)} {mul#1.itm(2)} {mul#1.itm(3)} {mul#1.itm(4)} {mul#1.itm(5)} {mul#1.itm(6)} {mul#1.itm(7)} {mul#1.itm(8)} {mul#1.itm(9)} {mul#1.itm(10)} {mul#1.itm(11)} {mul#1.itm(12)} -attr xrf 17946 -attr oid 92 -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {ACC1:acc#152.itm(0)} -attr vt d
-load net {ACC1:acc#152.itm(1)} -attr vt d
-load netBundle {ACC1:acc#152.itm} 2 {ACC1:acc#152.itm(0)} {ACC1:acc#152.itm(1)} -attr xrf 17947 -attr oid 93 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
-load net {ACC1:mul#99.itm(0)} -attr vt d
-load net {ACC1:mul#99.itm(1)} -attr vt d
-load net {ACC1:mul#99.itm(2)} -attr vt d
-load net {ACC1:mul#99.itm(3)} -attr vt d
-load net {ACC1:mul#99.itm(4)} -attr vt d
-load net {ACC1:mul#99.itm(5)} -attr vt d
-load net {ACC1:mul#99.itm(6)} -attr vt d
-load net {ACC1:mul#99.itm(7)} -attr vt d
-load netBundle {ACC1:mul#99.itm} 8 {ACC1:mul#99.itm(0)} {ACC1:mul#99.itm(1)} {ACC1:mul#99.itm(2)} {ACC1:mul#99.itm(3)} {ACC1:mul#99.itm(4)} {ACC1:mul#99.itm(5)} {ACC1:mul#99.itm(6)} {ACC1:mul#99.itm(7)} -attr xrf 17948 -attr oid 94 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:acc#142.itm(0)} -attr vt d
-load net {ACC1:acc#142.itm(1)} -attr vt d
-load netBundle {ACC1:acc#142.itm} 2 {ACC1:acc#142.itm(0)} {ACC1:acc#142.itm(1)} -attr xrf 17949 -attr oid 95 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
-load net {ACC1:acc#264.itm(0)} -attr vt d
-load net {ACC1:acc#264.itm(1)} -attr vt d
-load net {ACC1:acc#264.itm(2)} -attr vt d
-load net {ACC1:acc#264.itm(3)} -attr vt d
-load net {ACC1:acc#264.itm(4)} -attr vt d
-load net {ACC1:acc#264.itm(5)} -attr vt d
-load net {ACC1:acc#264.itm(6)} -attr vt d
-load net {ACC1:acc#264.itm(7)} -attr vt d
-load net {ACC1:acc#264.itm(8)} -attr vt d
-load net {ACC1:acc#264.itm(9)} -attr vt d
-load netBundle {ACC1:acc#264.itm} 10 {ACC1:acc#264.itm(0)} {ACC1:acc#264.itm(1)} {ACC1:acc#264.itm(2)} {ACC1:acc#264.itm(3)} {ACC1:acc#264.itm(4)} {ACC1:acc#264.itm(5)} {ACC1:acc#264.itm(6)} {ACC1:acc#264.itm(7)} {ACC1:acc#264.itm(8)} {ACC1:acc#264.itm(9)} -attr xrf 17950 -attr oid 96 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#261.itm(0)} -attr vt d
-load net {ACC1:acc#261.itm(1)} -attr vt d
-load net {ACC1:acc#261.itm(2)} -attr vt d
-load net {ACC1:acc#261.itm(3)} -attr vt d
-load net {ACC1:acc#261.itm(4)} -attr vt d
-load net {ACC1:acc#261.itm(5)} -attr vt d
-load net {ACC1:acc#261.itm(6)} -attr vt d
-load net {ACC1:acc#261.itm(7)} -attr vt d
-load net {ACC1:acc#261.itm(8)} -attr vt d
-load netBundle {ACC1:acc#261.itm} 9 {ACC1:acc#261.itm(0)} {ACC1:acc#261.itm(1)} {ACC1:acc#261.itm(2)} {ACC1:acc#261.itm(3)} {ACC1:acc#261.itm(4)} {ACC1:acc#261.itm(5)} {ACC1:acc#261.itm(6)} {ACC1:acc#261.itm(7)} {ACC1:acc#261.itm(8)} -attr xrf 17951 -attr oid 97 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#259.itm(0)} -attr vt d
-load net {ACC1:acc#259.itm(1)} -attr vt d
-load net {ACC1:acc#259.itm(2)} -attr vt d
-load net {ACC1:acc#259.itm(3)} -attr vt d
-load net {ACC1:acc#259.itm(4)} -attr vt d
-load net {ACC1:acc#259.itm(5)} -attr vt d
-load net {ACC1:acc#259.itm(6)} -attr vt d
-load netBundle {ACC1:acc#259.itm} 7 {ACC1:acc#259.itm(0)} {ACC1:acc#259.itm(1)} {ACC1:acc#259.itm(2)} {ACC1:acc#259.itm(3)} {ACC1:acc#259.itm(4)} {ACC1:acc#259.itm(5)} {ACC1:acc#259.itm(6)} -attr xrf 17952 -attr oid 98 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {conc#313.itm(0)} -attr vt d
-load net {conc#313.itm(1)} -attr vt d
-load net {conc#313.itm(2)} -attr vt d
-load net {conc#313.itm(3)} -attr vt d
-load net {conc#313.itm(4)} -attr vt d
-load net {conc#313.itm(5)} -attr vt d
-load net {conc#313.itm(6)} -attr vt d
-load netBundle {conc#313.itm} 7 {conc#313.itm(0)} {conc#313.itm(1)} {conc#313.itm(2)} {conc#313.itm(3)} {conc#313.itm(4)} {conc#313.itm(5)} {conc#313.itm(6)} -attr xrf 17953 -attr oid 99 -attr vt d -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {ACC1-3:exs#2.itm(0)} -attr vt d
-load net {ACC1-3:exs#2.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#2.itm} 2 {ACC1-3:exs#2.itm(0)} {ACC1-3:exs#2.itm(1)} -attr xrf 17954 -attr oid 100 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#2.itm}
-load net {ACC1:conc#250.itm(0)} -attr vt d
-load net {ACC1:conc#250.itm(1)} -attr vt d
-load net {ACC1:conc#250.itm(2)} -attr vt d
-load net {ACC1:conc#250.itm(3)} -attr vt d
-load net {ACC1:conc#250.itm(4)} -attr vt d
-load net {ACC1:conc#250.itm(5)} -attr vt d
-load net {ACC1:conc#250.itm(6)} -attr vt d
-load netBundle {ACC1:conc#250.itm} 7 {ACC1:conc#250.itm(0)} {ACC1:conc#250.itm(1)} {ACC1:conc#250.itm(2)} {ACC1:conc#250.itm(3)} {ACC1:conc#250.itm(4)} {ACC1:conc#250.itm(5)} {ACC1:conc#250.itm(6)} -attr xrf 17955 -attr oid 101 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(0)} -attr vt d
-load net {ACC1:mul#92.itm(1)} -attr vt d
-load net {ACC1:mul#92.itm(2)} -attr vt d
-load net {ACC1:mul#92.itm(3)} -attr vt d
-load net {ACC1:mul#92.itm(4)} -attr vt d
-load net {ACC1:mul#92.itm(5)} -attr vt d
-load netBundle {ACC1:mul#92.itm} 6 {ACC1:mul#92.itm(0)} {ACC1:mul#92.itm(1)} {ACC1:mul#92.itm(2)} {ACC1:mul#92.itm(3)} {ACC1:mul#92.itm(4)} {ACC1:mul#92.itm(5)} -attr xrf 17956 -attr oid 102 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load net {ACC1:acc#135.itm(0)} -attr vt d
-load net {ACC1:acc#135.itm(1)} -attr vt d
-load netBundle {ACC1:acc#135.itm} 2 {ACC1:acc#135.itm(0)} {ACC1:acc#135.itm(1)} -attr xrf 17957 -attr oid 103 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
-load net {ACC1:acc#257.itm(0)} -attr vt d
-load net {ACC1:acc#257.itm(1)} -attr vt d
-load net {ACC1:acc#257.itm(2)} -attr vt d
-load net {ACC1:acc#257.itm(3)} -attr vt d
-load net {ACC1:acc#257.itm(4)} -attr vt d
-load net {ACC1:acc#257.itm(5)} -attr vt d
-load net {ACC1:acc#257.itm(6)} -attr vt d
-load netBundle {ACC1:acc#257.itm} 7 {ACC1:acc#257.itm(0)} {ACC1:acc#257.itm(1)} {ACC1:acc#257.itm(2)} {ACC1:acc#257.itm(3)} {ACC1:acc#257.itm(4)} {ACC1:acc#257.itm(5)} {ACC1:acc#257.itm(6)} -attr xrf 17958 -attr oid 104 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#254.itm(0)} -attr vt d
-load net {ACC1:acc#254.itm(1)} -attr vt d
-load net {ACC1:acc#254.itm(2)} -attr vt d
-load net {ACC1:acc#254.itm(3)} -attr vt d
-load net {ACC1:acc#254.itm(4)} -attr vt d
-load net {ACC1:acc#254.itm(5)} -attr vt d
-load netBundle {ACC1:acc#254.itm} 6 {ACC1:acc#254.itm(0)} {ACC1:acc#254.itm(1)} {ACC1:acc#254.itm(2)} {ACC1:acc#254.itm(3)} {ACC1:acc#254.itm(4)} {ACC1:acc#254.itm(5)} -attr xrf 17959 -attr oid 105 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#249.itm(0)} -attr vt d
-load net {ACC1:acc#249.itm(1)} -attr vt d
-load net {ACC1:acc#249.itm(2)} -attr vt d
-load net {ACC1:acc#249.itm(3)} -attr vt d
-load net {ACC1:acc#249.itm(4)} -attr vt d
-load netBundle {ACC1:acc#249.itm} 5 {ACC1:acc#249.itm(0)} {ACC1:acc#249.itm(1)} {ACC1:acc#249.itm(2)} {ACC1:acc#249.itm(3)} {ACC1:acc#249.itm(4)} -attr xrf 17960 -attr oid 106 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#241.itm(0)} -attr vt d
-load net {ACC1:acc#241.itm(1)} -attr vt d
-load net {ACC1:acc#241.itm(2)} -attr vt d
-load net {ACC1:acc#241.itm(3)} -attr vt d
-load netBundle {ACC1:acc#241.itm} 4 {ACC1:acc#241.itm(0)} {ACC1:acc#241.itm(1)} {ACC1:acc#241.itm(2)} {ACC1:acc#241.itm(3)} -attr xrf 17961 -attr oid 107 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#227.itm(0)} -attr vt d
-load net {ACC1:acc#227.itm(1)} -attr vt d
-load net {ACC1:acc#227.itm(2)} -attr vt d
-load netBundle {ACC1:acc#227.itm} 3 {ACC1:acc#227.itm(0)} {ACC1:acc#227.itm(1)} {ACC1:acc#227.itm(2)} -attr xrf 17962 -attr oid 108 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load net {ACC1-1:exs#3.itm(0)} -attr vt d
-load net {ACC1-1:exs#3.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#3.itm} 2 {ACC1-1:exs#3.itm(0)} {ACC1-1:exs#3.itm(1)} -attr xrf 17963 -attr oid 109 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#3.itm}
-load net {ACC1-1:exs#4.itm(0)} -attr vt d
-load net {ACC1-1:exs#4.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#4.itm} 2 {ACC1-1:exs#4.itm(0)} {ACC1-1:exs#4.itm(1)} -attr xrf 17964 -attr oid 110 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#4.itm}
-load net {ACC1:acc#226.itm(0)} -attr vt d
-load net {ACC1:acc#226.itm(1)} -attr vt d
-load net {ACC1:acc#226.itm(2)} -attr vt d
-load netBundle {ACC1:acc#226.itm} 3 {ACC1:acc#226.itm(0)} {ACC1:acc#226.itm(1)} {ACC1:acc#226.itm(2)} -attr xrf 17965 -attr oid 111 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load net {ACC1-1:exs#5.itm(0)} -attr vt d
-load net {ACC1-1:exs#5.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#5.itm} 2 {ACC1-1:exs#5.itm(0)} {ACC1-1:exs#5.itm(1)} -attr xrf 17966 -attr oid 112 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#5.itm}
-load net {ACC1-1:exs#40.itm(0)} -attr vt d
-load net {ACC1-1:exs#40.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#40.itm} 2 {ACC1-1:exs#40.itm(0)} {ACC1-1:exs#40.itm(1)} -attr xrf 17967 -attr oid 113 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#40.itm}
-load net {ACC1:acc#240.itm(0)} -attr vt d
-load net {ACC1:acc#240.itm(1)} -attr vt d
-load net {ACC1:acc#240.itm(2)} -attr vt d
-load net {ACC1:acc#240.itm(3)} -attr vt d
-load netBundle {ACC1:acc#240.itm} 4 {ACC1:acc#240.itm(0)} {ACC1:acc#240.itm(1)} {ACC1:acc#240.itm(2)} {ACC1:acc#240.itm(3)} -attr xrf 17968 -attr oid 114 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#225.itm(0)} -attr vt d
-load net {ACC1:acc#225.itm(1)} -attr vt d
-load net {ACC1:acc#225.itm(2)} -attr vt d
-load netBundle {ACC1:acc#225.itm} 3 {ACC1:acc#225.itm(0)} {ACC1:acc#225.itm(1)} {ACC1:acc#225.itm(2)} -attr xrf 17969 -attr oid 115 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load net {ACC1-1:exs#35.itm(0)} -attr vt d
-load net {ACC1-1:exs#35.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#35.itm} 2 {ACC1-1:exs#35.itm(0)} {ACC1-1:exs#35.itm(1)} -attr xrf 17970 -attr oid 116 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#35.itm}
-load net {ACC1-1:exs#39.itm(0)} -attr vt d
-load net {ACC1-1:exs#39.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#39.itm} 2 {ACC1-1:exs#39.itm(0)} {ACC1-1:exs#39.itm(1)} -attr xrf 17971 -attr oid 117 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#39.itm}
-load net {ACC1:acc#224.itm(0)} -attr vt d
-load net {ACC1:acc#224.itm(1)} -attr vt d
-load net {ACC1:acc#224.itm(2)} -attr vt d
-load netBundle {ACC1:acc#224.itm} 3 {ACC1:acc#224.itm(0)} {ACC1:acc#224.itm(1)} {ACC1:acc#224.itm(2)} -attr xrf 17972 -attr oid 118 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load net {ACC1-1:exs#37.itm(0)} -attr vt d
-load net {ACC1-1:exs#37.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#37.itm} 2 {ACC1-1:exs#37.itm(0)} {ACC1-1:exs#37.itm(1)} -attr xrf 17973 -attr oid 119 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#37.itm}
-load net {ACC1-1:exs#41.itm(0)} -attr vt d
-load net {ACC1-1:exs#41.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#41.itm} 2 {ACC1-1:exs#41.itm(0)} {ACC1-1:exs#41.itm(1)} -attr xrf 17974 -attr oid 120 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#41.itm}
-load net {ACC1:acc#248.itm(0)} -attr vt d
-load net {ACC1:acc#248.itm(1)} -attr vt d
-load net {ACC1:acc#248.itm(2)} -attr vt d
-load net {ACC1:acc#248.itm(3)} -attr vt d
-load net {ACC1:acc#248.itm(4)} -attr vt d
-load netBundle {ACC1:acc#248.itm} 5 {ACC1:acc#248.itm(0)} {ACC1:acc#248.itm(1)} {ACC1:acc#248.itm(2)} {ACC1:acc#248.itm(3)} {ACC1:acc#248.itm(4)} -attr xrf 17975 -attr oid 121 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#239.itm(0)} -attr vt d
-load net {ACC1:acc#239.itm(1)} -attr vt d
-load net {ACC1:acc#239.itm(2)} -attr vt d
-load net {ACC1:acc#239.itm(3)} -attr vt d
-load netBundle {ACC1:acc#239.itm} 4 {ACC1:acc#239.itm(0)} {ACC1:acc#239.itm(1)} {ACC1:acc#239.itm(2)} {ACC1:acc#239.itm(3)} -attr xrf 17976 -attr oid 122 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#223.itm(0)} -attr vt d
-load net {ACC1:acc#223.itm(1)} -attr vt d
-load net {ACC1:acc#223.itm(2)} -attr vt d
-load netBundle {ACC1:acc#223.itm} 3 {ACC1:acc#223.itm(0)} {ACC1:acc#223.itm(1)} {ACC1:acc#223.itm(2)} -attr xrf 17977 -attr oid 123 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load net {ACC1-1:exs#38.itm(0)} -attr vt d
-load net {ACC1-1:exs#38.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#38.itm} 2 {ACC1-1:exs#38.itm(0)} {ACC1-1:exs#38.itm(1)} -attr xrf 17978 -attr oid 124 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#38.itm}
-load net {ACC1-1:exs#36.itm(0)} -attr vt d
-load net {ACC1-1:exs#36.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#36.itm} 2 {ACC1-1:exs#36.itm(0)} {ACC1-1:exs#36.itm(1)} -attr xrf 17979 -attr oid 125 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#36.itm}
-load net {ACC1:acc#222.itm(0)} -attr vt d
-load net {ACC1:acc#222.itm(1)} -attr vt d
-load net {ACC1:acc#222.itm(2)} -attr vt d
-load netBundle {ACC1:acc#222.itm} 3 {ACC1:acc#222.itm(0)} {ACC1:acc#222.itm(1)} {ACC1:acc#222.itm(2)} -attr xrf 17980 -attr oid 126 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load net {ACC1-3:exs#14.itm(0)} -attr vt d
-load net {ACC1-3:exs#14.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#14.itm} 2 {ACC1-3:exs#14.itm(0)} {ACC1-3:exs#14.itm(1)} -attr xrf 17981 -attr oid 127 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#14.itm}
-load net {ACC1-3:exs#15.itm(0)} -attr vt d
-load net {ACC1-3:exs#15.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#15.itm} 2 {ACC1-3:exs#15.itm(0)} {ACC1-3:exs#15.itm(1)} -attr xrf 17982 -attr oid 128 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#15.itm}
-load net {ACC1:acc#238.itm(0)} -attr vt d
-load net {ACC1:acc#238.itm(1)} -attr vt d
-load net {ACC1:acc#238.itm(2)} -attr vt d
-load net {ACC1:acc#238.itm(3)} -attr vt d
-load netBundle {ACC1:acc#238.itm} 4 {ACC1:acc#238.itm(0)} {ACC1:acc#238.itm(1)} {ACC1:acc#238.itm(2)} {ACC1:acc#238.itm(3)} -attr xrf 17983 -attr oid 129 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#221.itm(0)} -attr vt d
-load net {ACC1:acc#221.itm(1)} -attr vt d
-load net {ACC1:acc#221.itm(2)} -attr vt d
-load netBundle {ACC1:acc#221.itm} 3 {ACC1:acc#221.itm(0)} {ACC1:acc#221.itm(1)} {ACC1:acc#221.itm(2)} -attr xrf 17984 -attr oid 130 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load net {ACC1-3:exs#16.itm(0)} -attr vt d
-load net {ACC1-3:exs#16.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#16.itm} 2 {ACC1-3:exs#16.itm(0)} {ACC1-3:exs#16.itm(1)} -attr xrf 17985 -attr oid 131 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#16.itm}
-load net {ACC1-3:exs#17.itm(0)} -attr vt d
-load net {ACC1-3:exs#17.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#17.itm} 2 {ACC1-3:exs#17.itm(0)} {ACC1-3:exs#17.itm(1)} -attr xrf 17986 -attr oid 132 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#17.itm}
-load net {ACC1:acc#220.itm(0)} -attr vt d
-load net {ACC1:acc#220.itm(1)} -attr vt d
-load net {ACC1:acc#220.itm(2)} -attr vt d
-load netBundle {ACC1:acc#220.itm} 3 {ACC1:acc#220.itm(0)} {ACC1:acc#220.itm(1)} {ACC1:acc#220.itm(2)} -attr xrf 17987 -attr oid 133 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load net {ACC1-3:exs#18.itm(0)} -attr vt d
-load net {ACC1-3:exs#18.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#18.itm} 2 {ACC1-3:exs#18.itm(0)} {ACC1-3:exs#18.itm(1)} -attr xrf 17988 -attr oid 134 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#18.itm}
-load net {ACC1-3:exs#19.itm(0)} -attr vt d
-load net {ACC1-3:exs#19.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#19.itm} 2 {ACC1-3:exs#19.itm(0)} {ACC1-3:exs#19.itm(1)} -attr xrf 17989 -attr oid 135 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#19.itm}
-load net {ACC1:acc#253.itm(0)} -attr vt d
-load net {ACC1:acc#253.itm(1)} -attr vt d
-load net {ACC1:acc#253.itm(2)} -attr vt d
-load net {ACC1:acc#253.itm(3)} -attr vt d
-load net {ACC1:acc#253.itm(4)} -attr vt d
-load net {ACC1:acc#253.itm(5)} -attr vt d
-load netBundle {ACC1:acc#253.itm} 6 {ACC1:acc#253.itm(0)} {ACC1:acc#253.itm(1)} {ACC1:acc#253.itm(2)} {ACC1:acc#253.itm(3)} {ACC1:acc#253.itm(4)} {ACC1:acc#253.itm(5)} -attr xrf 17990 -attr oid 136 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#247.itm(0)} -attr vt d
-load net {ACC1:acc#247.itm(1)} -attr vt d
-load net {ACC1:acc#247.itm(2)} -attr vt d
-load net {ACC1:acc#247.itm(3)} -attr vt d
-load net {ACC1:acc#247.itm(4)} -attr vt d
-load netBundle {ACC1:acc#247.itm} 5 {ACC1:acc#247.itm(0)} {ACC1:acc#247.itm(1)} {ACC1:acc#247.itm(2)} {ACC1:acc#247.itm(3)} {ACC1:acc#247.itm(4)} -attr xrf 17991 -attr oid 137 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#237.itm(0)} -attr vt d
-load net {ACC1:acc#237.itm(1)} -attr vt d
-load net {ACC1:acc#237.itm(2)} -attr vt d
-load net {ACC1:acc#237.itm(3)} -attr vt d
-load netBundle {ACC1:acc#237.itm} 4 {ACC1:acc#237.itm(0)} {ACC1:acc#237.itm(1)} {ACC1:acc#237.itm(2)} {ACC1:acc#237.itm(3)} -attr xrf 17992 -attr oid 138 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#219.itm(0)} -attr vt d
-load net {ACC1:acc#219.itm(1)} -attr vt d
-load net {ACC1:acc#219.itm(2)} -attr vt d
-load netBundle {ACC1:acc#219.itm} 3 {ACC1:acc#219.itm(0)} {ACC1:acc#219.itm(1)} {ACC1:acc#219.itm(2)} -attr xrf 17993 -attr oid 139 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load net {ACC1-1:exs#29.itm(0)} -attr vt d
-load net {ACC1-1:exs#29.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#29.itm} 2 {ACC1-1:exs#29.itm(0)} {ACC1-1:exs#29.itm(1)} -attr xrf 17994 -attr oid 140 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#29.itm}
-load net {ACC1-1:exs#25.itm(0)} -attr vt d
-load net {ACC1-1:exs#25.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#25.itm} 2 {ACC1-1:exs#25.itm(0)} {ACC1-1:exs#25.itm(1)} -attr xrf 17995 -attr oid 141 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#25.itm}
-load net {ACC1:acc#218.itm(0)} -attr vt d
-load net {ACC1:acc#218.itm(1)} -attr vt d
-load net {ACC1:acc#218.itm(2)} -attr vt d
-load netBundle {ACC1:acc#218.itm} 3 {ACC1:acc#218.itm(0)} {ACC1:acc#218.itm(1)} {ACC1:acc#218.itm(2)} -attr xrf 17996 -attr oid 142 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load net {ACC1-1:exs#33.itm(0)} -attr vt d
-load net {ACC1-1:exs#33.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#33.itm} 2 {ACC1-1:exs#33.itm(0)} {ACC1-1:exs#33.itm(1)} -attr xrf 17997 -attr oid 143 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#33.itm}
-load net {ACC1-1:exs#27.itm(0)} -attr vt d
-load net {ACC1-1:exs#27.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#27.itm} 2 {ACC1-1:exs#27.itm(0)} {ACC1-1:exs#27.itm(1)} -attr xrf 17998 -attr oid 144 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#27.itm}
-load net {ACC1:acc#236.itm(0)} -attr vt d
-load net {ACC1:acc#236.itm(1)} -attr vt d
-load net {ACC1:acc#236.itm(2)} -attr vt d
-load net {ACC1:acc#236.itm(3)} -attr vt d
-load netBundle {ACC1:acc#236.itm} 4 {ACC1:acc#236.itm(0)} {ACC1:acc#236.itm(1)} {ACC1:acc#236.itm(2)} {ACC1:acc#236.itm(3)} -attr xrf 17999 -attr oid 145 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#217.itm(0)} -attr vt d
-load net {ACC1:acc#217.itm(1)} -attr vt d
-load net {ACC1:acc#217.itm(2)} -attr vt d
-load netBundle {ACC1:acc#217.itm} 3 {ACC1:acc#217.itm(0)} {ACC1:acc#217.itm(1)} {ACC1:acc#217.itm(2)} -attr xrf 18000 -attr oid 146 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load net {ACC1-1:exs#23.itm(0)} -attr vt d
-load net {ACC1-1:exs#23.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#23.itm} 2 {ACC1-1:exs#23.itm(0)} {ACC1-1:exs#23.itm(1)} -attr xrf 18001 -attr oid 147 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#23.itm}
-load net {ACC1-1:exs#31.itm(0)} -attr vt d
-load net {ACC1-1:exs#31.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#31.itm} 2 {ACC1-1:exs#31.itm(0)} {ACC1-1:exs#31.itm(1)} -attr xrf 18002 -attr oid 148 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#31.itm}
-load net {ACC1:acc#216.itm(0)} -attr vt d
-load net {ACC1:acc#216.itm(1)} -attr vt d
-load net {ACC1:acc#216.itm(2)} -attr vt d
-load netBundle {ACC1:acc#216.itm} 3 {ACC1:acc#216.itm(0)} {ACC1:acc#216.itm(1)} {ACC1:acc#216.itm(2)} -attr xrf 18003 -attr oid 149 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load net {ACC1-1:exs#21.itm(0)} -attr vt d
-load net {ACC1-1:exs#21.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#21.itm} 2 {ACC1-1:exs#21.itm(0)} {ACC1-1:exs#21.itm(1)} -attr xrf 18004 -attr oid 150 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#21.itm}
-load net {ACC1-3:exs#20.itm(0)} -attr vt d
-load net {ACC1-3:exs#20.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#20.itm} 2 {ACC1-3:exs#20.itm(0)} {ACC1-3:exs#20.itm(1)} -attr xrf 18005 -attr oid 151 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#20.itm}
-load net {ACC1:acc#246.itm(0)} -attr vt d
-load net {ACC1:acc#246.itm(1)} -attr vt d
-load net {ACC1:acc#246.itm(2)} -attr vt d
-load net {ACC1:acc#246.itm(3)} -attr vt d
-load net {ACC1:acc#246.itm(4)} -attr vt d
-load netBundle {ACC1:acc#246.itm} 5 {ACC1:acc#246.itm(0)} {ACC1:acc#246.itm(1)} {ACC1:acc#246.itm(2)} {ACC1:acc#246.itm(3)} {ACC1:acc#246.itm(4)} -attr xrf 18006 -attr oid 152 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#235.itm(0)} -attr vt d
-load net {ACC1:acc#235.itm(1)} -attr vt d
-load net {ACC1:acc#235.itm(2)} -attr vt d
-load net {ACC1:acc#235.itm(3)} -attr vt d
-load netBundle {ACC1:acc#235.itm} 4 {ACC1:acc#235.itm(0)} {ACC1:acc#235.itm(1)} {ACC1:acc#235.itm(2)} {ACC1:acc#235.itm(3)} -attr xrf 18007 -attr oid 153 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#215.itm(0)} -attr vt d
-load net {ACC1:acc#215.itm(1)} -attr vt d
-load net {ACC1:acc#215.itm(2)} -attr vt d
-load netBundle {ACC1:acc#215.itm} 3 {ACC1:acc#215.itm(0)} {ACC1:acc#215.itm(1)} {ACC1:acc#215.itm(2)} -attr xrf 18008 -attr oid 154 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load net {ACC1:conc.itm(0)} -attr vt d
-load net {ACC1:conc.itm(1)} -attr vt d
-load netBundle {ACC1:conc.itm} 2 {ACC1:conc.itm(0)} {ACC1:conc.itm(1)} -attr xrf 18009 -attr oid 155 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
-load net {ACC1:conc#245.itm(0)} -attr vt d
-load net {ACC1:conc#245.itm(1)} -attr vt d
-load netBundle {ACC1:conc#245.itm} 2 {ACC1:conc#245.itm(0)} {ACC1:conc#245.itm(1)} -attr xrf 18010 -attr oid 156 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#245.itm}
-load net {ACC1:acc#214.itm(0)} -attr vt d
-load net {ACC1:acc#214.itm(1)} -attr vt d
-load net {ACC1:acc#214.itm(2)} -attr vt d
-load netBundle {ACC1:acc#214.itm} 3 {ACC1:acc#214.itm(0)} {ACC1:acc#214.itm(1)} {ACC1:acc#214.itm(2)} -attr xrf 18011 -attr oid 157 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load net {ACC1:conc#246.itm(0)} -attr vt d
-load net {ACC1:conc#246.itm(1)} -attr vt d
-load netBundle {ACC1:conc#246.itm} 2 {ACC1:conc#246.itm(0)} {ACC1:conc#246.itm(1)} -attr xrf 18012 -attr oid 158 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#246.itm}
-load net {ACC1:conc#247.itm(0)} -attr vt d
-load net {ACC1:conc#247.itm(1)} -attr vt d
-load netBundle {ACC1:conc#247.itm} 2 {ACC1:conc#247.itm(0)} {ACC1:conc#247.itm(1)} -attr xrf 18013 -attr oid 159 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#247.itm}
-load net {ACC1:acc#234.itm(0)} -attr vt d
-load net {ACC1:acc#234.itm(1)} -attr vt d
-load net {ACC1:acc#234.itm(2)} -attr vt d
-load net {ACC1:acc#234.itm(3)} -attr vt d
-load netBundle {ACC1:acc#234.itm} 4 {ACC1:acc#234.itm(0)} {ACC1:acc#234.itm(1)} {ACC1:acc#234.itm(2)} {ACC1:acc#234.itm(3)} -attr xrf 18014 -attr oid 160 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#213.itm(0)} -attr vt d
-load net {ACC1:acc#213.itm(1)} -attr vt d
-load net {ACC1:acc#213.itm(2)} -attr vt d
-load netBundle {ACC1:acc#213.itm} 3 {ACC1:acc#213.itm(0)} {ACC1:acc#213.itm(1)} {ACC1:acc#213.itm(2)} -attr xrf 18015 -attr oid 161 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load net {ACC1:conc#248.itm(0)} -attr vt d
-load net {ACC1:conc#248.itm(1)} -attr vt d
-load netBundle {ACC1:conc#248.itm} 2 {ACC1:conc#248.itm(0)} {ACC1:conc#248.itm(1)} -attr xrf 18016 -attr oid 162 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#248.itm}
-load net {ACC1:conc#249.itm(0)} -attr vt d
-load net {ACC1:conc#249.itm(1)} -attr vt d
-load netBundle {ACC1:conc#249.itm} 2 {ACC1:conc#249.itm(0)} {ACC1:conc#249.itm(1)} -attr xrf 18017 -attr oid 163 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#249.itm}
-load net {ACC1:acc#212.itm(0)} -attr vt d
-load net {ACC1:acc#212.itm(1)} -attr vt d
-load net {ACC1:acc#212.itm(2)} -attr vt d
-load netBundle {ACC1:acc#212.itm} 3 {ACC1:acc#212.itm(0)} {ACC1:acc#212.itm(1)} {ACC1:acc#212.itm(2)} -attr xrf 18018 -attr oid 164 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load net {ACC1:conc#256.itm(0)} -attr vt d
-load net {ACC1:conc#256.itm(1)} -attr vt d
-load netBundle {ACC1:conc#256.itm} 2 {ACC1:conc#256.itm(0)} {ACC1:conc#256.itm(1)} -attr xrf 18019 -attr oid 165 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#256.itm}
-load net {ACC1:conc#257.itm(0)} -attr vt d
-load net {ACC1:conc#257.itm(1)} -attr vt d
-load netBundle {ACC1:conc#257.itm} 2 {ACC1:conc#257.itm(0)} {ACC1:conc#257.itm(1)} -attr xrf 18020 -attr oid 166 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#257.itm}
-load net {ACC1:conc#251.itm(0)} -attr vt d
-load net {ACC1:conc#251.itm(1)} -attr vt d
-load net {ACC1:conc#251.itm(2)} -attr vt d
-load net {ACC1:conc#251.itm(3)} -attr vt d
-load net {ACC1:conc#251.itm(4)} -attr vt d
-load net {ACC1:conc#251.itm(5)} -attr vt d
-load net {ACC1:conc#251.itm(6)} -attr vt d
-load net {ACC1:conc#251.itm(7)} -attr vt d
-load net {ACC1:conc#251.itm(8)} -attr vt d
-load netBundle {ACC1:conc#251.itm} 9 {ACC1:conc#251.itm(0)} {ACC1:conc#251.itm(1)} {ACC1:conc#251.itm(2)} {ACC1:conc#251.itm(3)} {ACC1:conc#251.itm(4)} {ACC1:conc#251.itm(5)} {ACC1:conc#251.itm(6)} {ACC1:conc#251.itm(7)} {ACC1:conc#251.itm(8)} -attr xrf 18021 -attr oid 167 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(0)} -attr vt d
-load net {ACC1:mul#93.itm(1)} -attr vt d
-load net {ACC1:mul#93.itm(2)} -attr vt d
-load net {ACC1:mul#93.itm(3)} -attr vt d
-load net {ACC1:mul#93.itm(4)} -attr vt d
-load net {ACC1:mul#93.itm(5)} -attr vt d
-load net {ACC1:mul#93.itm(6)} -attr vt d
-load net {ACC1:mul#93.itm(7)} -attr vt d
-load netBundle {ACC1:mul#93.itm} 8 {ACC1:mul#93.itm(0)} {ACC1:mul#93.itm(1)} {ACC1:mul#93.itm(2)} {ACC1:mul#93.itm(3)} {ACC1:mul#93.itm(4)} {ACC1:mul#93.itm(5)} {ACC1:mul#93.itm(6)} {ACC1:mul#93.itm(7)} -attr xrf 18022 -attr oid 168 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:acc#136.itm(0)} -attr vt d
-load net {ACC1:acc#136.itm(1)} -attr vt d
-load netBundle {ACC1:acc#136.itm} 2 {ACC1:acc#136.itm(0)} {ACC1:acc#136.itm(1)} -attr xrf 18023 -attr oid 169 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
-load net {ACC1:mul#90.itm(0)} -attr vt d
-load net {ACC1:mul#90.itm(1)} -attr vt d
-load net {ACC1:mul#90.itm(2)} -attr vt d
-load net {ACC1:mul#90.itm(3)} -attr vt d
-load net {ACC1:mul#90.itm(4)} -attr vt d
-load net {ACC1:mul#90.itm(5)} -attr vt d
-load net {ACC1:mul#90.itm(6)} -attr vt d
-load net {ACC1:mul#90.itm(7)} -attr vt d
-load net {ACC1:mul#90.itm(8)} -attr vt d
-load net {ACC1:mul#90.itm(9)} -attr vt d
-load net {ACC1:mul#90.itm(10)} -attr vt d
-load net {ACC1:mul#90.itm(11)} -attr vt d
-load netBundle {ACC1:mul#90.itm} 12 {ACC1:mul#90.itm(0)} {ACC1:mul#90.itm(1)} {ACC1:mul#90.itm(2)} {ACC1:mul#90.itm(3)} {ACC1:mul#90.itm(4)} {ACC1:mul#90.itm(5)} {ACC1:mul#90.itm(6)} {ACC1:mul#90.itm(7)} {ACC1:mul#90.itm(8)} {ACC1:mul#90.itm(9)} {ACC1:mul#90.itm(10)} {ACC1:mul#90.itm(11)} -attr xrf 18024 -attr oid 170 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:acc#133.itm(0)} -attr vt d
-load net {ACC1:acc#133.itm(1)} -attr vt d
-load netBundle {ACC1:acc#133.itm} 2 {ACC1:acc#133.itm(0)} {ACC1:acc#133.itm(1)} -attr xrf 18025 -attr oid 171 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
-load net {ACC1:mul#91.itm(0)} -attr vt d
-load net {ACC1:mul#91.itm(1)} -attr vt d
-load net {ACC1:mul#91.itm(2)} -attr vt d
-load net {ACC1:mul#91.itm(3)} -attr vt d
-load net {ACC1:mul#91.itm(4)} -attr vt d
-load net {ACC1:mul#91.itm(5)} -attr vt d
-load net {ACC1:mul#91.itm(6)} -attr vt d
-load net {ACC1:mul#91.itm(7)} -attr vt d
-load net {ACC1:mul#91.itm(8)} -attr vt d
-load net {ACC1:mul#91.itm(9)} -attr vt d
-load net {ACC1:mul#91.itm(10)} -attr vt d
-load net {ACC1:mul#91.itm(11)} -attr vt d
-load net {ACC1:mul#91.itm(12)} -attr vt d
-load net {ACC1:mul#91.itm(13)} -attr vt d
-load netBundle {ACC1:mul#91.itm} 14 {ACC1:mul#91.itm(0)} {ACC1:mul#91.itm(1)} {ACC1:mul#91.itm(2)} {ACC1:mul#91.itm(3)} {ACC1:mul#91.itm(4)} {ACC1:mul#91.itm(5)} {ACC1:mul#91.itm(6)} {ACC1:mul#91.itm(7)} {ACC1:mul#91.itm(8)} {ACC1:mul#91.itm(9)} {ACC1:mul#91.itm(10)} {ACC1:mul#91.itm(11)} {ACC1:mul#91.itm(12)} {ACC1:mul#91.itm(13)} -attr xrf 18026 -attr oid 172 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:acc#134.itm(0)} -attr vt d
-load net {ACC1:acc#134.itm(1)} -attr vt d
-load netBundle {ACC1:acc#134.itm} 2 {ACC1:acc#134.itm(0)} {ACC1:acc#134.itm(1)} -attr xrf 18027 -attr oid 173 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
-load net {ACC1:mul#104.itm(0)} -attr vt d
-load net {ACC1:mul#104.itm(1)} -attr vt d
-load net {ACC1:mul#104.itm(2)} -attr vt d
-load net {ACC1:mul#104.itm(3)} -attr vt d
-load net {ACC1:mul#104.itm(4)} -attr vt d
-load net {ACC1:mul#104.itm(5)} -attr vt d
-load net {ACC1:mul#104.itm(6)} -attr vt d
-load net {ACC1:mul#104.itm(7)} -attr vt d
-load net {ACC1:mul#104.itm(8)} -attr vt d
-load net {ACC1:mul#104.itm(9)} -attr vt d
-load netBundle {ACC1:mul#104.itm} 10 {ACC1:mul#104.itm(0)} {ACC1:mul#104.itm(1)} {ACC1:mul#104.itm(2)} {ACC1:mul#104.itm(3)} {ACC1:mul#104.itm(4)} {ACC1:mul#104.itm(5)} {ACC1:mul#104.itm(6)} {ACC1:mul#104.itm(7)} {ACC1:mul#104.itm(8)} {ACC1:mul#104.itm(9)} -attr xrf 18028 -attr oid 174 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:acc#147.itm(0)} -attr vt d
-load net {ACC1:acc#147.itm(1)} -attr vt d
-load netBundle {ACC1:acc#147.itm} 2 {ACC1:acc#147.itm(0)} {ACC1:acc#147.itm(1)} -attr xrf 18029 -attr oid 175 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
-load net {ACC1:mul#103.itm(0)} -attr vt d
-load net {ACC1:mul#103.itm(1)} -attr vt d
-load net {ACC1:mul#103.itm(2)} -attr vt d
-load net {ACC1:mul#103.itm(3)} -attr vt d
-load net {ACC1:mul#103.itm(4)} -attr vt d
-load net {ACC1:mul#103.itm(5)} -attr vt d
-load net {ACC1:mul#103.itm(6)} -attr vt d
-load net {ACC1:mul#103.itm(7)} -attr vt d
-load netBundle {ACC1:mul#103.itm} 8 {ACC1:mul#103.itm(0)} {ACC1:mul#103.itm(1)} {ACC1:mul#103.itm(2)} {ACC1:mul#103.itm(3)} {ACC1:mul#103.itm(4)} {ACC1:mul#103.itm(5)} {ACC1:mul#103.itm(6)} {ACC1:mul#103.itm(7)} -attr xrf 18030 -attr oid 176 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:acc#146.itm(0)} -attr vt d
-load net {ACC1:acc#146.itm(1)} -attr vt d
-load netBundle {ACC1:acc#146.itm} 2 {ACC1:acc#146.itm(0)} {ACC1:acc#146.itm(1)} -attr xrf 18031 -attr oid 177 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
-load net {ACC1:mul#98.itm(0)} -attr vt d
-load net {ACC1:mul#98.itm(1)} -attr vt d
-load net {ACC1:mul#98.itm(2)} -attr vt d
-load net {ACC1:mul#98.itm(3)} -attr vt d
-load net {ACC1:mul#98.itm(4)} -attr vt d
-load net {ACC1:mul#98.itm(5)} -attr vt d
-load netBundle {ACC1:mul#98.itm} 6 {ACC1:mul#98.itm(0)} {ACC1:mul#98.itm(1)} {ACC1:mul#98.itm(2)} {ACC1:mul#98.itm(3)} {ACC1:mul#98.itm(4)} {ACC1:mul#98.itm(5)} -attr xrf 18032 -attr oid 178 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:acc#141.itm(0)} -attr vt d
-load net {ACC1:acc#141.itm(1)} -attr vt d
-load netBundle {ACC1:acc#141.itm} 2 {ACC1:acc#141.itm(0)} {ACC1:acc#141.itm(1)} -attr xrf 18033 -attr oid 179 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
-load net {ACC1:acc#252.itm(0)} -attr vt d
-load net {ACC1:acc#252.itm(1)} -attr vt d
-load net {ACC1:acc#252.itm(2)} -attr vt d
-load net {ACC1:acc#252.itm(3)} -attr vt d
-load net {ACC1:acc#252.itm(4)} -attr vt d
-load net {ACC1:acc#252.itm(5)} -attr vt d
-load netBundle {ACC1:acc#252.itm} 6 {ACC1:acc#252.itm(0)} {ACC1:acc#252.itm(1)} {ACC1:acc#252.itm(2)} {ACC1:acc#252.itm(3)} {ACC1:acc#252.itm(4)} {ACC1:acc#252.itm(5)} -attr xrf 18034 -attr oid 180 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#245.itm(0)} -attr vt d
-load net {ACC1:acc#245.itm(1)} -attr vt d
-load net {ACC1:acc#245.itm(2)} -attr vt d
-load net {ACC1:acc#245.itm(3)} -attr vt d
-load net {ACC1:acc#245.itm(4)} -attr vt d
-load netBundle {ACC1:acc#245.itm} 5 {ACC1:acc#245.itm(0)} {ACC1:acc#245.itm(1)} {ACC1:acc#245.itm(2)} {ACC1:acc#245.itm(3)} {ACC1:acc#245.itm(4)} -attr xrf 18035 -attr oid 181 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#233.itm(0)} -attr vt d
-load net {ACC1:acc#233.itm(1)} -attr vt d
-load net {ACC1:acc#233.itm(2)} -attr vt d
-load net {ACC1:acc#233.itm(3)} -attr vt d
-load netBundle {ACC1:acc#233.itm} 4 {ACC1:acc#233.itm(0)} {ACC1:acc#233.itm(1)} {ACC1:acc#233.itm(2)} {ACC1:acc#233.itm(3)} -attr xrf 18036 -attr oid 182 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#211.itm(0)} -attr vt d
-load net {ACC1:acc#211.itm(1)} -attr vt d
-load net {ACC1:acc#211.itm(2)} -attr vt d
-load netBundle {ACC1:acc#211.itm} 3 {ACC1:acc#211.itm(0)} {ACC1:acc#211.itm(1)} {ACC1:acc#211.itm(2)} -attr xrf 18037 -attr oid 183 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load net {ACC1:conc#258.itm(0)} -attr vt d
-load net {ACC1:conc#258.itm(1)} -attr vt d
-load netBundle {ACC1:conc#258.itm} 2 {ACC1:conc#258.itm(0)} {ACC1:conc#258.itm(1)} -attr xrf 18038 -attr oid 184 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#258.itm}
-load net {ACC1:conc#259.itm(0)} -attr vt d
-load net {ACC1:conc#259.itm(1)} -attr vt d
-load netBundle {ACC1:conc#259.itm} 2 {ACC1:conc#259.itm(0)} {ACC1:conc#259.itm(1)} -attr xrf 18039 -attr oid 185 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#259.itm}
-load net {ACC1:conc#404.itm(0)} -attr vt d
-load net {ACC1:conc#404.itm(1)} -attr vt d
-load net {ACC1:conc#404.itm(2)} -attr vt d
-load netBundle {ACC1:conc#404.itm} 3 {ACC1:conc#404.itm(0)} {ACC1:conc#404.itm(1)} {ACC1:conc#404.itm(2)} -attr xrf 18040 -attr oid 186 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#404.itm}
-load net {ACC1:acc#286.itm(0)} -attr vt d
-load net {ACC1:acc#286.itm(1)} -attr vt d
-load netBundle {ACC1:acc#286.itm} 2 {ACC1:acc#286.itm(0)} {ACC1:acc#286.itm(1)} -attr xrf 18041 -attr oid 187 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
-load net {conc#314.itm(0)} -attr vt d
-load net {conc#314.itm(1)} -attr vt d
-load net {conc#314.itm(2)} -attr vt d
-load netBundle {conc#314.itm} 3 {conc#314.itm(0)} {conc#314.itm(1)} {conc#314.itm(2)} -attr xrf 18042 -attr oid 188 -attr vt d -attr @path {/sobel/sobel:core/conc#314.itm}
-load net {ACC1:conc#394.itm(0)} -attr vt d
-load net {ACC1:conc#394.itm(1)} -attr vt d
-load netBundle {ACC1:conc#394.itm} 2 {ACC1:conc#394.itm(0)} {ACC1:conc#394.itm(1)} -attr xrf 18043 -attr oid 189 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#394.itm}
-load net {conc#315.itm(0)} -attr vt d
-load net {conc#315.itm(1)} -attr vt d
-load net {conc#315.itm(2)} -attr vt d
-load net {conc#315.itm(3)} -attr vt d
-load netBundle {conc#315.itm} 4 {conc#315.itm(0)} {conc#315.itm(1)} {conc#315.itm(2)} {conc#315.itm(3)} -attr xrf 18044 -attr oid 190 -attr vt d -attr @path {/sobel/sobel:core/conc#315.itm}
-load net {ACC1:acc#294.itm(0)} -attr vt d
-load net {ACC1:acc#294.itm(1)} -attr vt d
-load net {ACC1:acc#294.itm(2)} -attr vt d
-load netBundle {ACC1:acc#294.itm} 3 {ACC1:acc#294.itm(0)} {ACC1:acc#294.itm(1)} {ACC1:acc#294.itm(2)} -attr xrf 18045 -attr oid 191 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
-load net {slc.itm(0)} -attr vt d
-load net {slc.itm(1)} -attr vt d
-load netBundle {slc.itm} 2 {slc.itm(0)} {slc.itm(1)} -attr xrf 18046 -attr oid 192 -attr vt d -attr @path {/sobel/sobel:core/slc.itm}
-load net {acc.itm(0)} -attr vt d
-load net {acc.itm(1)} -attr vt d
-load net {acc.itm(2)} -attr vt d
-load netBundle {acc.itm} 3 {acc.itm(0)} {acc.itm(1)} {acc.itm(2)} -attr xrf 18047 -attr oid 193 -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
-load net {conc#316.itm(0)} -attr vt d
-load net {conc#316.itm(1)} -attr vt d
-load netBundle {conc#316.itm} 2 {conc#316.itm(0)} {conc#316.itm(1)} -attr xrf 18048 -attr oid 194 -attr vt d -attr @path {/sobel/sobel:core/conc#316.itm}
-load net {conc#304.itm(0)} -attr vt d
-load net {conc#304.itm(1)} -attr vt d
-load netBundle {conc#304.itm} 2 {conc#304.itm(0)} {conc#304.itm(1)} -attr xrf 18049 -attr oid 195 -attr vt d -attr @path {/sobel/sobel:core/conc#304.itm}
-load net {ACC1:conc#411.itm(0)} -attr vt d
-load net {ACC1:conc#411.itm(1)} -attr vt d
-load net {ACC1:conc#411.itm(2)} -attr vt d
-load net {ACC1:conc#411.itm(3)} -attr vt d
-load net {ACC1:conc#411.itm(4)} -attr vt d
-load netBundle {ACC1:conc#411.itm} 5 {ACC1:conc#411.itm(0)} {ACC1:conc#411.itm(1)} {ACC1:conc#411.itm(2)} {ACC1:conc#411.itm(3)} {ACC1:conc#411.itm(4)} -attr xrf 18050 -attr oid 196 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#411.itm}
-load net {slc#2.itm(0)} -attr vt d
-load net {slc#2.itm(1)} -attr vt d
-load net {slc#2.itm(2)} -attr vt d
-load net {slc#2.itm(3)} -attr vt d
-load netBundle {slc#2.itm} 4 {slc#2.itm(0)} {slc#2.itm(1)} {slc#2.itm(2)} {slc#2.itm(3)} -attr xrf 18051 -attr oid 197 -attr vt d -attr @path {/sobel/sobel:core/slc#2.itm}
-load net {acc#20.itm(0)} -attr vt d
-load net {acc#20.itm(1)} -attr vt d
-load net {acc#20.itm(2)} -attr vt d
-load net {acc#20.itm(3)} -attr vt d
-load net {acc#20.itm(4)} -attr vt d
-load netBundle {acc#20.itm} 5 {acc#20.itm(0)} {acc#20.itm(1)} {acc#20.itm(2)} {acc#20.itm(3)} {acc#20.itm(4)} -attr xrf 18052 -attr oid 198 -attr vt d -attr @path {/sobel/sobel:core/acc#20.itm}
-load net {conc#317.itm(0)} -attr vt d
-load net {conc#317.itm(1)} -attr vt d
-load net {conc#317.itm(2)} -attr vt d
-load netBundle {conc#317.itm} 3 {conc#317.itm(0)} {conc#317.itm(1)} {conc#317.itm(2)} -attr xrf 18053 -attr oid 199 -attr vt d -attr @path {/sobel/sobel:core/conc#317.itm}
-load net {slc#1.itm(0)} -attr vt d
-load net {slc#1.itm(1)} -attr vt d
-load netBundle {slc#1.itm} 2 {slc#1.itm(0)} {slc#1.itm(1)} -attr xrf 18054 -attr oid 200 -attr vt d -attr @path {/sobel/sobel:core/slc#1.itm}
-load net {acc#19.itm(0)} -attr vt d
-load net {acc#19.itm(1)} -attr vt d
-load net {acc#19.itm(2)} -attr vt d
-load netBundle {acc#19.itm} 3 {acc#19.itm(0)} {acc#19.itm(1)} {acc#19.itm(2)} -attr xrf 18055 -attr oid 201 -attr vt d -attr @path {/sobel/sobel:core/acc#19.itm}
-load net {conc#318.itm(0)} -attr vt d
-load net {conc#318.itm(1)} -attr vt d
-load netBundle {conc#318.itm} 2 {conc#318.itm(0)} {conc#318.itm(1)} -attr xrf 18056 -attr oid 202 -attr vt d -attr @path {/sobel/sobel:core/conc#318.itm}
-load net {conc#306.itm(0)} -attr vt d
-load net {conc#306.itm(1)} -attr vt d
-load netBundle {conc#306.itm} 2 {conc#306.itm(0)} {conc#306.itm(1)} -attr xrf 18057 -attr oid 203 -attr vt d -attr @path {/sobel/sobel:core/conc#306.itm}
-load net {conc#308.itm(0)} -attr vt d
-load net {conc#308.itm(1)} -attr vt d
-load net {conc#308.itm(2)} -attr vt d
-load net {conc#308.itm(3)} -attr vt d
-load netBundle {conc#308.itm} 4 {conc#308.itm(0)} {conc#308.itm(1)} {conc#308.itm(2)} {conc#308.itm(3)} -attr xrf 18058 -attr oid 204 -attr vt d -attr @path {/sobel/sobel:core/conc#308.itm}
-load net {slc(ACC1:acc#230.sdt).itm(0)} -attr vt d
-load net {slc(ACC1:acc#230.sdt).itm(1)} -attr vt d
-load net {slc(ACC1:acc#230.sdt).itm(2)} -attr vt d
-load netBundle {slc(ACC1:acc#230.sdt).itm} 3 {slc(ACC1:acc#230.sdt).itm(0)} {slc(ACC1:acc#230.sdt).itm(1)} {slc(ACC1:acc#230.sdt).itm(2)} -attr xrf 18059 -attr oid 205 -attr vt d -attr @path {/sobel/sobel:core/slc(ACC1:acc#230.sdt).itm}
-load net {ACC1:acc#251.itm(0)} -attr vt d
-load net {ACC1:acc#251.itm(1)} -attr vt d
-load net {ACC1:acc#251.itm(2)} -attr vt d
-load net {ACC1:acc#251.itm(3)} -attr vt d
-load net {ACC1:acc#251.itm(4)} -attr vt d
-load net {ACC1:acc#251.itm(5)} -attr vt d
-load netBundle {ACC1:acc#251.itm} 6 {ACC1:acc#251.itm(0)} {ACC1:acc#251.itm(1)} {ACC1:acc#251.itm(2)} {ACC1:acc#251.itm(3)} {ACC1:acc#251.itm(4)} {ACC1:acc#251.itm(5)} -attr xrf 18060 -attr oid 206 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#243.itm(0)} -attr vt d
-load net {ACC1:acc#243.itm(1)} -attr vt d
-load net {ACC1:acc#243.itm(2)} -attr vt d
-load net {ACC1:acc#243.itm(3)} -attr vt d
-load net {ACC1:acc#243.itm(4)} -attr vt d
-load netBundle {ACC1:acc#243.itm} 5 {ACC1:acc#243.itm(0)} {ACC1:acc#243.itm(1)} {ACC1:acc#243.itm(2)} {ACC1:acc#243.itm(3)} {ACC1:acc#243.itm(4)} -attr xrf 18061 -attr oid 207 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:conc#278.itm(0)} -attr vt d
-load net {ACC1:conc#278.itm(1)} -attr vt d
-load net {ACC1:conc#278.itm(2)} -attr vt d
-load net {ACC1:conc#278.itm(3)} -attr vt d
-load netBundle {ACC1:conc#278.itm} 4 {ACC1:conc#278.itm(0)} {ACC1:conc#278.itm(1)} {ACC1:conc#278.itm(2)} {ACC1:conc#278.itm(3)} -attr xrf 18062 -attr oid 208 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#278.itm}
-load net {conc#319.itm(0)} -attr vt d
-load net {conc#319.itm(1)} -attr vt d
-load net {conc#319.itm(2)} -attr vt d
-load netBundle {conc#319.itm} 3 {conc#319.itm(0)} {conc#319.itm(1)} {conc#319.itm(2)} -attr xrf 18063 -attr oid 209 -attr vt d -attr @path {/sobel/sobel:core/conc#319.itm}
-load net {ACC1:conc#403.itm(0)} -attr vt d
-load net {ACC1:conc#403.itm(1)} -attr vt d
-load netBundle {ACC1:conc#403.itm} 2 {ACC1:conc#403.itm(0)} {ACC1:conc#403.itm(1)} -attr xrf 18064 -attr oid 210 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#403.itm}
-load net {ACC1:conc#279.itm(0)} -attr vt d
-load net {ACC1:conc#279.itm(1)} -attr vt d
-load net {ACC1:conc#279.itm(2)} -attr vt d
-load net {ACC1:conc#279.itm(3)} -attr vt d
-load netBundle {ACC1:conc#279.itm} 4 {ACC1:conc#279.itm(0)} {ACC1:conc#279.itm(1)} {ACC1:conc#279.itm(2)} {ACC1:conc#279.itm(3)} -attr xrf 18065 -attr oid 211 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#279.itm}
-load net {conc#320.itm(0)} -attr vt d
-load net {conc#320.itm(1)} -attr vt d
-load net {conc#320.itm(2)} -attr vt d
-load net {conc#320.itm(3)} -attr vt d
-load net {conc#320.itm(4)} -attr vt d
-load netBundle {conc#320.itm} 5 {conc#320.itm(0)} {conc#320.itm(1)} {conc#320.itm(2)} {conc#320.itm(3)} {conc#320.itm(4)} -attr xrf 18066 -attr oid 212 -attr vt d -attr @path {/sobel/sobel:core/conc#320.itm}
-load net {ACC1-2:exs#22.itm(0)} -attr vt d
-load net {ACC1-2:exs#22.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs#22.itm} 2 {ACC1-2:exs#22.itm(0)} {ACC1-2:exs#22.itm(1)} -attr xrf 18067 -attr oid 213 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#22.itm}
-load net {ACC1:acc#255.itm(0)} -attr vt d
-load net {ACC1:acc#255.itm(1)} -attr vt d
-load net {ACC1:acc#255.itm(2)} -attr vt d
-load net {ACC1:acc#255.itm(3)} -attr vt d
-load net {ACC1:acc#255.itm(4)} -attr vt d
-load net {ACC1:acc#255.itm(5)} -attr vt d
-load net {ACC1:acc#255.itm(6)} -attr vt d
-load netBundle {ACC1:acc#255.itm} 7 {ACC1:acc#255.itm(0)} {ACC1:acc#255.itm(1)} {ACC1:acc#255.itm(2)} {ACC1:acc#255.itm(3)} {ACC1:acc#255.itm(4)} {ACC1:acc#255.itm(5)} {ACC1:acc#255.itm(6)} -attr xrf 18068 -attr oid 214 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#250.itm(0)} -attr vt d
-load net {ACC1:acc#250.itm(1)} -attr vt d
-load net {ACC1:acc#250.itm(2)} -attr vt d
-load net {ACC1:acc#250.itm(3)} -attr vt d
-load net {ACC1:acc#250.itm(4)} -attr vt d
-load net {ACC1:acc#250.itm(5)} -attr vt d
-load netBundle {ACC1:acc#250.itm} 6 {ACC1:acc#250.itm(0)} {ACC1:acc#250.itm(1)} {ACC1:acc#250.itm(2)} {ACC1:acc#250.itm(3)} {ACC1:acc#250.itm(4)} {ACC1:acc#250.itm(5)} -attr xrf 18069 -attr oid 215 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {conc#321.itm(0)} -attr vt d
-load net {conc#321.itm(1)} -attr vt d
-load net {conc#321.itm(2)} -attr vt d
-load net {conc#321.itm(3)} -attr vt d
-load net {conc#321.itm(4)} -attr vt d
-load netBundle {conc#321.itm} 5 {conc#321.itm(0)} {conc#321.itm(1)} {conc#321.itm(2)} {conc#321.itm(3)} {conc#321.itm(4)} -attr xrf 18070 -attr oid 216 -attr vt d -attr @path {/sobel/sobel:core/conc#321.itm}
-load net {ACC1-2:exs#23.itm(0)} -attr vt d
-load net {ACC1-2:exs#23.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs#23.itm} 2 {ACC1-2:exs#23.itm(0)} {ACC1-2:exs#23.itm(1)} -attr xrf 18071 -attr oid 217 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#23.itm}
-load net {ACC1:acc#242.itm(0)} -attr vt d
-load net {ACC1:acc#242.itm(1)} -attr vt d
-load net {ACC1:acc#242.itm(2)} -attr vt d
-load net {ACC1:acc#242.itm(3)} -attr vt d
-load netBundle {ACC1:acc#242.itm} 4 {ACC1:acc#242.itm(0)} {ACC1:acc#242.itm(1)} {ACC1:acc#242.itm(2)} {ACC1:acc#242.itm(3)} -attr xrf 18072 -attr oid 218 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#229.itm(0)} -attr vt d
-load net {ACC1:acc#229.itm(1)} -attr vt d
-load net {ACC1:acc#229.itm(2)} -attr vt d
-load netBundle {ACC1:acc#229.itm} 3 {ACC1:acc#229.itm(0)} {ACC1:acc#229.itm(1)} {ACC1:acc#229.itm(2)} -attr xrf 18073 -attr oid 219 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load net {ACC1-1:exs#6.itm(0)} -attr vt d
-load net {ACC1-1:exs#6.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#6.itm} 2 {ACC1-1:exs#6.itm(0)} {ACC1-1:exs#6.itm(1)} -attr xrf 18074 -attr oid 220 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#6.itm}
-load net {ACC1-1:exs.itm(0)} -attr vt d
-load net {ACC1-1:exs.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs.itm} 2 {ACC1-1:exs.itm(0)} {ACC1-1:exs.itm(1)} -attr xrf 18075 -attr oid 221 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs.itm}
-load net {ACC1:acc#228.itm(0)} -attr vt d
-load net {ACC1:acc#228.itm(1)} -attr vt d
-load net {ACC1:acc#228.itm(2)} -attr vt d
-load netBundle {ACC1:acc#228.itm} 3 {ACC1:acc#228.itm(0)} {ACC1:acc#228.itm(1)} {ACC1:acc#228.itm(2)} -attr xrf 18076 -attr oid 222 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load net {ACC1-1:exs#1.itm(0)} -attr vt d
-load net {ACC1-1:exs#1.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#1.itm} 2 {ACC1-1:exs#1.itm(0)} {ACC1-1:exs#1.itm(1)} -attr xrf 18077 -attr oid 223 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1.itm}
-load net {ACC1-1:exs#2.itm(0)} -attr vt d
-load net {ACC1-1:exs#2.itm(1)} -attr vt d
-load netBundle {ACC1-1:exs#2.itm} 2 {ACC1-1:exs#2.itm(0)} {ACC1-1:exs#2.itm(1)} -attr xrf 18078 -attr oid 224 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#2.itm}
-load net {ACC1:mul.itm(0)} -attr vt d
-load net {ACC1:mul.itm(1)} -attr vt d
-load net {ACC1:mul.itm(2)} -attr vt d
-load net {ACC1:mul.itm(3)} -attr vt d
-load net {ACC1:mul.itm(4)} -attr vt d
-load net {ACC1:mul.itm(5)} -attr vt d
-load netBundle {ACC1:mul.itm} 6 {ACC1:mul.itm(0)} {ACC1:mul.itm(1)} {ACC1:mul.itm(2)} {ACC1:mul.itm(3)} {ACC1:mul.itm(4)} {ACC1:mul.itm(5)} -attr xrf 18079 -attr oid 225 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:acc#130.itm(0)} -attr vt d
-load net {ACC1:acc#130.itm(1)} -attr vt d
-load netBundle {ACC1:acc#130.itm} 2 {ACC1:acc#130.itm(0)} {ACC1:acc#130.itm(1)} -attr xrf 18080 -attr oid 226 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#130.itm}
-load net {ACC1:mul#89.itm(0)} -attr vt d
-load net {ACC1:mul#89.itm(1)} -attr vt d
-load net {ACC1:mul#89.itm(2)} -attr vt d
-load net {ACC1:mul#89.itm(3)} -attr vt d
-load net {ACC1:mul#89.itm(4)} -attr vt d
-load net {ACC1:mul#89.itm(5)} -attr vt d
-load net {ACC1:mul#89.itm(6)} -attr vt d
-load net {ACC1:mul#89.itm(7)} -attr vt d
-load net {ACC1:mul#89.itm(8)} -attr vt d
-load net {ACC1:mul#89.itm(9)} -attr vt d
-load netBundle {ACC1:mul#89.itm} 10 {ACC1:mul#89.itm(0)} {ACC1:mul#89.itm(1)} {ACC1:mul#89.itm(2)} {ACC1:mul#89.itm(3)} {ACC1:mul#89.itm(4)} {ACC1:mul#89.itm(5)} {ACC1:mul#89.itm(6)} {ACC1:mul#89.itm(7)} {ACC1:mul#89.itm(8)} {ACC1:mul#89.itm(9)} -attr xrf 18081 -attr oid 227 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:acc#132.itm(0)} -attr vt d
-load net {ACC1:acc#132.itm(1)} -attr vt d
-load netBundle {ACC1:acc#132.itm} 2 {ACC1:acc#132.itm(0)} {ACC1:acc#132.itm(1)} -attr xrf 18082 -attr oid 228 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
-load net {ACC1:acc#268.itm(0)} -attr vt d
-load net {ACC1:acc#268.itm(1)} -attr vt d
-load net {ACC1:acc#268.itm(2)} -attr vt d
-load net {ACC1:acc#268.itm(3)} -attr vt d
-load net {ACC1:acc#268.itm(4)} -attr vt d
-load net {ACC1:acc#268.itm(5)} -attr vt d
-load net {ACC1:acc#268.itm(6)} -attr vt d
-load net {ACC1:acc#268.itm(7)} -attr vt d
-load net {ACC1:acc#268.itm(8)} -attr vt d
-load net {ACC1:acc#268.itm(9)} -attr vt d
-load net {ACC1:acc#268.itm(10)} -attr vt d
-load net {ACC1:acc#268.itm(11)} -attr vt d
-load netBundle {ACC1:acc#268.itm} 12 {ACC1:acc#268.itm(0)} {ACC1:acc#268.itm(1)} {ACC1:acc#268.itm(2)} {ACC1:acc#268.itm(3)} {ACC1:acc#268.itm(4)} {ACC1:acc#268.itm(5)} {ACC1:acc#268.itm(6)} {ACC1:acc#268.itm(7)} {ACC1:acc#268.itm(8)} {ACC1:acc#268.itm(9)} {ACC1:acc#268.itm(10)} {ACC1:acc#268.itm(11)} -attr xrf 18083 -attr oid 229 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#265.itm(0)} -attr vt d
-load net {ACC1:acc#265.itm(1)} -attr vt d
-load net {ACC1:acc#265.itm(2)} -attr vt d
-load net {ACC1:acc#265.itm(3)} -attr vt d
-load net {ACC1:acc#265.itm(4)} -attr vt d
-load net {ACC1:acc#265.itm(5)} -attr vt d
-load net {ACC1:acc#265.itm(6)} -attr vt d
-load net {ACC1:acc#265.itm(7)} -attr vt d
-load net {ACC1:acc#265.itm(8)} -attr vt d
-load net {ACC1:acc#265.itm(9)} -attr vt d
-load net {ACC1:acc#265.itm(10)} -attr vt d
-load netBundle {ACC1:acc#265.itm} 11 {ACC1:acc#265.itm(0)} {ACC1:acc#265.itm(1)} {ACC1:acc#265.itm(2)} {ACC1:acc#265.itm(3)} {ACC1:acc#265.itm(4)} {ACC1:acc#265.itm(5)} {ACC1:acc#265.itm(6)} {ACC1:acc#265.itm(7)} {ACC1:acc#265.itm(8)} {ACC1:acc#265.itm(9)} {ACC1:acc#265.itm(10)} -attr xrf 18084 -attr oid 230 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {conc#322.itm(0)} -attr vt d
-load net {conc#322.itm(1)} -attr vt d
-load net {conc#322.itm(2)} -attr vt d
-load net {conc#322.itm(3)} -attr vt d
-load net {conc#322.itm(4)} -attr vt d
-load net {conc#322.itm(5)} -attr vt d
-load net {conc#322.itm(6)} -attr vt d
-load net {conc#322.itm(7)} -attr vt d
-load net {conc#322.itm(8)} -attr vt d
-load net {conc#322.itm(9)} -attr vt d
-load netBundle {conc#322.itm} 10 {conc#322.itm(0)} {conc#322.itm(1)} {conc#322.itm(2)} {conc#322.itm(3)} {conc#322.itm(4)} {conc#322.itm(5)} {conc#322.itm(6)} {conc#322.itm(7)} {conc#322.itm(8)} {conc#322.itm(9)} -attr xrf 18085 -attr oid 231 -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(0)} -attr vt d
-load net {ACC1:mul#102.itm(1)} -attr vt d
-load net {ACC1:mul#102.itm(2)} -attr vt d
-load net {ACC1:mul#102.itm(3)} -attr vt d
-load net {ACC1:mul#102.itm(4)} -attr vt d
-load net {ACC1:mul#102.itm(5)} -attr vt d
-load netBundle {ACC1:mul#102.itm} 6 {ACC1:mul#102.itm(0)} {ACC1:mul#102.itm(1)} {ACC1:mul#102.itm(2)} {ACC1:mul#102.itm(3)} {ACC1:mul#102.itm(4)} {ACC1:mul#102.itm(5)} -attr xrf 18086 -attr oid 232 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load net {ACC1:acc#145.itm(0)} -attr vt d
-load net {ACC1:acc#145.itm(1)} -attr vt d
-load netBundle {ACC1:acc#145.itm} 2 {ACC1:acc#145.itm(0)} {ACC1:acc#145.itm(1)} -attr xrf 18087 -attr oid 233 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
-load net {ACC1-3:exs#21.itm(0)} -attr vt d
-load net {ACC1-3:exs#21.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#21.itm} 2 {ACC1-3:exs#21.itm(0)} {ACC1-3:exs#21.itm(1)} -attr xrf 18088 -attr oid 234 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#21.itm}
-load net {ACC1:acc#262.itm(0)} -attr vt d
-load net {ACC1:acc#262.itm(1)} -attr vt d
-load net {ACC1:acc#262.itm(2)} -attr vt d
-load net {ACC1:acc#262.itm(3)} -attr vt d
-load net {ACC1:acc#262.itm(4)} -attr vt d
-load net {ACC1:acc#262.itm(5)} -attr vt d
-load net {ACC1:acc#262.itm(6)} -attr vt d
-load net {ACC1:acc#262.itm(7)} -attr vt d
-load net {ACC1:acc#262.itm(8)} -attr vt d
-load netBundle {ACC1:acc#262.itm} 9 {ACC1:acc#262.itm(0)} {ACC1:acc#262.itm(1)} {ACC1:acc#262.itm(2)} {ACC1:acc#262.itm(3)} {ACC1:acc#262.itm(4)} {ACC1:acc#262.itm(5)} {ACC1:acc#262.itm(6)} {ACC1:acc#262.itm(7)} {ACC1:acc#262.itm(8)} -attr xrf 18089 -attr oid 235 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:conc#412.itm(0)} -attr vt d
-load net {ACC1:conc#412.itm(1)} -attr vt d
-load net {ACC1:conc#412.itm(2)} -attr vt d
-load net {ACC1:conc#412.itm(3)} -attr vt d
-load net {ACC1:conc#412.itm(4)} -attr vt d
-load net {ACC1:conc#412.itm(5)} -attr vt d
-load net {ACC1:conc#412.itm(6)} -attr vt d
-load net {ACC1:conc#412.itm(7)} -attr vt d
-load netBundle {ACC1:conc#412.itm} 8 {ACC1:conc#412.itm(0)} {ACC1:conc#412.itm(1)} {ACC1:conc#412.itm(2)} {ACC1:conc#412.itm(3)} {ACC1:conc#412.itm(4)} {ACC1:conc#412.itm(5)} {ACC1:conc#412.itm(6)} {ACC1:conc#412.itm(7)} -attr xrf 18090 -attr oid 236 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#296.itm(0)} -attr vt d
-load net {ACC1:acc#296.itm(1)} -attr vt d
-load net {ACC1:acc#296.itm(2)} -attr vt d
-load net {ACC1:acc#296.itm(3)} -attr vt d
-load netBundle {ACC1:acc#296.itm} 4 {ACC1:acc#296.itm(0)} {ACC1:acc#296.itm(1)} {ACC1:acc#296.itm(2)} {ACC1:acc#296.itm(3)} -attr xrf 18091 -attr oid 237 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
-load net {ACC1:conc#286.itm(0)} -attr vt d
-load net {ACC1:conc#286.itm(1)} -attr vt d
-load net {ACC1:conc#286.itm(2)} -attr vt d
-load netBundle {ACC1:conc#286.itm} 3 {ACC1:conc#286.itm(0)} {ACC1:conc#286.itm(1)} {ACC1:conc#286.itm(2)} -attr xrf 18092 -attr oid 238 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#286.itm}
-load net {ACC1:conc#287.itm(0)} -attr vt d
-load net {ACC1:conc#287.itm(1)} -attr vt d
-load net {ACC1:conc#287.itm(2)} -attr vt d
-load netBundle {ACC1:conc#287.itm} 3 {ACC1:conc#287.itm(0)} {ACC1:conc#287.itm(1)} {ACC1:conc#287.itm(2)} -attr xrf 18093 -attr oid 239 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#287.itm}
-load net {ACC1:acc#297.itm(0)} -attr vt d
-load net {ACC1:acc#297.itm(1)} -attr vt d
-load net {ACC1:acc#297.itm(2)} -attr vt d
-load net {ACC1:acc#297.itm(3)} -attr vt d
-load netBundle {ACC1:acc#297.itm} 4 {ACC1:acc#297.itm(0)} {ACC1:acc#297.itm(1)} {ACC1:acc#297.itm(2)} {ACC1:acc#297.itm(3)} -attr xrf 18094 -attr oid 240 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
-load net {ACC1:conc#413.itm(0)} -attr vt d
-load net {ACC1:conc#413.itm(1)} -attr vt d
-load net {ACC1:conc#413.itm(2)} -attr vt d
-load netBundle {ACC1:conc#413.itm} 3 {ACC1:conc#413.itm(0)} {ACC1:conc#413.itm(1)} {ACC1:conc#413.itm(2)} -attr xrf 18095 -attr oid 241 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#413.itm}
-load net {ACC1-3:exs.itm(0)} -attr vt d
-load net {ACC1-3:exs.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs.itm} 2 {ACC1-3:exs.itm(0)} {ACC1-3:exs.itm(1)} -attr xrf 18096 -attr oid 242 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs.itm}
-load net {ACC1:conc#414.itm(0)} -attr vt d
-load net {ACC1:conc#414.itm(1)} -attr vt d
-load net {ACC1:conc#414.itm(2)} -attr vt d
-load netBundle {ACC1:conc#414.itm} 3 {ACC1:conc#414.itm(0)} {ACC1:conc#414.itm(1)} {ACC1:conc#414.itm(2)} -attr xrf 18097 -attr oid 243 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#414.itm}
-load net {ACC1-3:exs#1.itm(0)} -attr vt d
-load net {ACC1-3:exs#1.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#1.itm} 2 {ACC1-3:exs#1.itm(0)} {ACC1-3:exs#1.itm(1)} -attr xrf 18098 -attr oid 244 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#1.itm}
-load net {ACC1:mul#88.itm(0)} -attr vt d
-load net {ACC1:mul#88.itm(1)} -attr vt d
-load net {ACC1:mul#88.itm(2)} -attr vt d
-load net {ACC1:mul#88.itm(3)} -attr vt d
-load net {ACC1:mul#88.itm(4)} -attr vt d
-load net {ACC1:mul#88.itm(5)} -attr vt d
-load net {ACC1:mul#88.itm(6)} -attr vt d
-load net {ACC1:mul#88.itm(7)} -attr vt d
-load netBundle {ACC1:mul#88.itm} 8 {ACC1:mul#88.itm(0)} {ACC1:mul#88.itm(1)} {ACC1:mul#88.itm(2)} {ACC1:mul#88.itm(3)} {ACC1:mul#88.itm(4)} {ACC1:mul#88.itm(5)} {ACC1:mul#88.itm(6)} {ACC1:mul#88.itm(7)} -attr xrf 18099 -attr oid 245 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:acc#131.itm(0)} -attr vt d
-load net {ACC1:acc#131.itm(1)} -attr vt d
-load netBundle {ACC1:acc#131.itm} 2 {ACC1:acc#131.itm(0)} {ACC1:acc#131.itm(1)} -attr xrf 18100 -attr oid 246 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#131.itm}
-load net {ACC1:conc#252.itm(0)} -attr vt d
-load net {ACC1:conc#252.itm(1)} -attr vt d
-load net {ACC1:conc#252.itm(2)} -attr vt d
-load net {ACC1:conc#252.itm(3)} -attr vt d
-load net {ACC1:conc#252.itm(4)} -attr vt d
-load net {ACC1:conc#252.itm(5)} -attr vt d
-load net {ACC1:conc#252.itm(6)} -attr vt d
-load net {ACC1:conc#252.itm(7)} -attr vt d
-load net {ACC1:conc#252.itm(8)} -attr vt d
-load net {ACC1:conc#252.itm(9)} -attr vt d
-load net {ACC1:conc#252.itm(10)} -attr vt d
-load netBundle {ACC1:conc#252.itm} 11 {ACC1:conc#252.itm(0)} {ACC1:conc#252.itm(1)} {ACC1:conc#252.itm(2)} {ACC1:conc#252.itm(3)} {ACC1:conc#252.itm(4)} {ACC1:conc#252.itm(5)} {ACC1:conc#252.itm(6)} {ACC1:conc#252.itm(7)} {ACC1:conc#252.itm(8)} {ACC1:conc#252.itm(9)} {ACC1:conc#252.itm(10)} -attr xrf 18101 -attr oid 247 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(0)} -attr vt d
-load net {ACC1:mul#94.itm(1)} -attr vt d
-load net {ACC1:mul#94.itm(2)} -attr vt d
-load net {ACC1:mul#94.itm(3)} -attr vt d
-load net {ACC1:mul#94.itm(4)} -attr vt d
-load net {ACC1:mul#94.itm(5)} -attr vt d
-load net {ACC1:mul#94.itm(6)} -attr vt d
-load net {ACC1:mul#94.itm(7)} -attr vt d
-load net {ACC1:mul#94.itm(8)} -attr vt d
-load net {ACC1:mul#94.itm(9)} -attr vt d
-load netBundle {ACC1:mul#94.itm} 10 {ACC1:mul#94.itm(0)} {ACC1:mul#94.itm(1)} {ACC1:mul#94.itm(2)} {ACC1:mul#94.itm(3)} {ACC1:mul#94.itm(4)} {ACC1:mul#94.itm(5)} {ACC1:mul#94.itm(6)} {ACC1:mul#94.itm(7)} {ACC1:mul#94.itm(8)} {ACC1:mul#94.itm(9)} -attr xrf 18102 -attr oid 248 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:acc#137.itm(0)} -attr vt d
-load net {ACC1:acc#137.itm(1)} -attr vt d
-load netBundle {ACC1:acc#137.itm} 2 {ACC1:acc#137.itm(0)} {ACC1:acc#137.itm(1)} -attr xrf 18103 -attr oid 249 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
-load net {ACC1:mul#96.itm(0)} -attr vt d
-load net {ACC1:mul#96.itm(1)} -attr vt d
-load net {ACC1:mul#96.itm(2)} -attr vt d
-load net {ACC1:mul#96.itm(3)} -attr vt d
-load net {ACC1:mul#96.itm(4)} -attr vt d
-load net {ACC1:mul#96.itm(5)} -attr vt d
-load net {ACC1:mul#96.itm(6)} -attr vt d
-load net {ACC1:mul#96.itm(7)} -attr vt d
-load net {ACC1:mul#96.itm(8)} -attr vt d
-load net {ACC1:mul#96.itm(9)} -attr vt d
-load net {ACC1:mul#96.itm(10)} -attr vt d
-load net {ACC1:mul#96.itm(11)} -attr vt d
-load net {ACC1:mul#96.itm(12)} -attr vt d
-load net {ACC1:mul#96.itm(13)} -attr vt d
-load netBundle {ACC1:mul#96.itm} 14 {ACC1:mul#96.itm(0)} {ACC1:mul#96.itm(1)} {ACC1:mul#96.itm(2)} {ACC1:mul#96.itm(3)} {ACC1:mul#96.itm(4)} {ACC1:mul#96.itm(5)} {ACC1:mul#96.itm(6)} {ACC1:mul#96.itm(7)} {ACC1:mul#96.itm(8)} {ACC1:mul#96.itm(9)} {ACC1:mul#96.itm(10)} {ACC1:mul#96.itm(11)} {ACC1:mul#96.itm(12)} {ACC1:mul#96.itm(13)} -attr xrf 18104 -attr oid 250 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:acc#139.itm(0)} -attr vt d
-load net {ACC1:acc#139.itm(1)} -attr vt d
-load netBundle {ACC1:acc#139.itm} 2 {ACC1:acc#139.itm(0)} {ACC1:acc#139.itm(1)} -attr xrf 18105 -attr oid 251 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
-load net {slc(regs.regs(1).sg2.sva)#2.itm(0)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(1)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(2)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(3)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(4)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(5)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(6)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(7)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(8)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#2.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1).sg2.sva)#2.itm} 10 {slc(regs.regs(1).sg2.sva)#2.itm(0)} {slc(regs.regs(1).sg2.sva)#2.itm(1)} {slc(regs.regs(1).sg2.sva)#2.itm(2)} {slc(regs.regs(1).sg2.sva)#2.itm(3)} {slc(regs.regs(1).sg2.sva)#2.itm(4)} {slc(regs.regs(1).sg2.sva)#2.itm(5)} {slc(regs.regs(1).sg2.sva)#2.itm(6)} {slc(regs.regs(1).sg2.sva)#2.itm(7)} {slc(regs.regs(1).sg2.sva)#2.itm(8)} {slc(regs.regs(1).sg2.sva)#2.itm(9)} -attr xrf 18106 -attr oid 252 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {slc(regs.regs(1).sg2.sva)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1).sg2.sva)#1.itm} 10 {slc(regs.regs(1).sg2.sva)#1.itm(0)} {slc(regs.regs(1).sg2.sva)#1.itm(1)} {slc(regs.regs(1).sg2.sva)#1.itm(2)} {slc(regs.regs(1).sg2.sva)#1.itm(3)} {slc(regs.regs(1).sg2.sva)#1.itm(4)} {slc(regs.regs(1).sg2.sva)#1.itm(5)} {slc(regs.regs(1).sg2.sva)#1.itm(6)} {slc(regs.regs(1).sg2.sva)#1.itm(7)} {slc(regs.regs(1).sg2.sva)#1.itm(8)} {slc(regs.regs(1).sg2.sva)#1.itm(9)} -attr xrf 18107 -attr oid 253 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {slc(regs.regs(1).sg2.sva).itm(0)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(1)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(2)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(3)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(4)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(5)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(6)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(7)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(8)} -attr vt d
-load net {slc(regs.regs(1).sg2.sva).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1).sg2.sva).itm} 10 {slc(regs.regs(1).sg2.sva).itm(0)} {slc(regs.regs(1).sg2.sva).itm(1)} {slc(regs.regs(1).sg2.sva).itm(2)} {slc(regs.regs(1).sg2.sva).itm(3)} {slc(regs.regs(1).sg2.sva).itm(4)} {slc(regs.regs(1).sg2.sva).itm(5)} {slc(regs.regs(1).sg2.sva).itm(6)} {slc(regs.regs(1).sg2.sva).itm(7)} {slc(regs.regs(1).sg2.sva).itm(8)} {slc(regs.regs(1).sg2.sva).itm(9)} -attr xrf 18108 -attr oid 254 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {slc(regs.regs(1)#1.sva)#2.itm(0)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(1)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(2)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(3)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(4)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(5)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(6)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(7)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(8)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#2.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1)#1.sva)#2.itm} 10 {slc(regs.regs(1)#1.sva)#2.itm(0)} {slc(regs.regs(1)#1.sva)#2.itm(1)} {slc(regs.regs(1)#1.sva)#2.itm(2)} {slc(regs.regs(1)#1.sva)#2.itm(3)} {slc(regs.regs(1)#1.sva)#2.itm(4)} {slc(regs.regs(1)#1.sva)#2.itm(5)} {slc(regs.regs(1)#1.sva)#2.itm(6)} {slc(regs.regs(1)#1.sva)#2.itm(7)} {slc(regs.regs(1)#1.sva)#2.itm(8)} {slc(regs.regs(1)#1.sva)#2.itm(9)} -attr xrf 18109 -attr oid 255 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {slc(regs.regs(1)#1.sva)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(1)#1.sva)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1)#1.sva)#1.itm} 10 {slc(regs.regs(1)#1.sva)#1.itm(0)} {slc(regs.regs(1)#1.sva)#1.itm(1)} {slc(regs.regs(1)#1.sva)#1.itm(2)} {slc(regs.regs(1)#1.sva)#1.itm(3)} {slc(regs.regs(1)#1.sva)#1.itm(4)} {slc(regs.regs(1)#1.sva)#1.itm(5)} {slc(regs.regs(1)#1.sva)#1.itm(6)} {slc(regs.regs(1)#1.sva)#1.itm(7)} {slc(regs.regs(1)#1.sva)#1.itm(8)} {slc(regs.regs(1)#1.sva)#1.itm(9)} -attr xrf 18110 -attr oid 256 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {slc(regs.regs(1)#1.sva).itm(0)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(1)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(2)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(3)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(4)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(5)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(6)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(7)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(8)} -attr vt d
-load net {slc(regs.regs(1)#1.sva).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(1)#1.sva).itm} 10 {slc(regs.regs(1)#1.sva).itm(0)} {slc(regs.regs(1)#1.sva).itm(1)} {slc(regs.regs(1)#1.sva).itm(2)} {slc(regs.regs(1)#1.sva).itm(3)} {slc(regs.regs(1)#1.sva).itm(4)} {slc(regs.regs(1)#1.sva).itm(5)} {slc(regs.regs(1)#1.sva).itm(6)} {slc(regs.regs(1)#1.sva).itm(7)} {slc(regs.regs(1)#1.sva).itm(8)} {slc(regs.regs(1)#1.sva).itm(9)} -attr xrf 18111 -attr oid 257 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {slc(regs.regs(0).sva#7).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(9)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(10)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(11)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(12)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(13)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(14)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(15)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(16)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(17)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(18)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(19)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(20)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(21)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(22)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(23)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(24)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(25)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(26)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(27)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(28)} -attr vt d
-load net {slc(regs.regs(0).sva#7).itm(29)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#7).itm} 30 {slc(regs.regs(0).sva#7).itm(0)} {slc(regs.regs(0).sva#7).itm(1)} {slc(regs.regs(0).sva#7).itm(2)} {slc(regs.regs(0).sva#7).itm(3)} {slc(regs.regs(0).sva#7).itm(4)} {slc(regs.regs(0).sva#7).itm(5)} {slc(regs.regs(0).sva#7).itm(6)} {slc(regs.regs(0).sva#7).itm(7)} {slc(regs.regs(0).sva#7).itm(8)} {slc(regs.regs(0).sva#7).itm(9)} {slc(regs.regs(0).sva#7).itm(10)} {slc(regs.regs(0).sva#7).itm(11)} {slc(regs.regs(0).sva#7).itm(12)} {slc(regs.regs(0).sva#7).itm(13)} {slc(regs.regs(0).sva#7).itm(14)} {slc(regs.regs(0).sva#7).itm(15)} {slc(regs.regs(0).sva#7).itm(16)} {slc(regs.regs(0).sva#7).itm(17)} {slc(regs.regs(0).sva#7).itm(18)} {slc(regs.regs(0).sva#7).itm(19)} {slc(regs.regs(0).sva#7).itm(20)} {slc(regs.regs(0).sva#7).itm(21)} {slc(regs.regs(0).sva#7).itm(22)} {slc(regs.regs(0).sva#7).itm(23)} {slc(regs.regs(0).sva#7).itm(24)} {slc(regs.regs(0).sva#7).itm(25)} {slc(regs.regs(0).sva#7).itm(26)} {slc(regs.regs(0).sva#7).itm(27)} {slc(regs.regs(0).sva#7).itm(28)} {slc(regs.regs(0).sva#7).itm(29)} -attr xrf 18112 -attr oid 258 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {slc(regs.regs(0).sva#8).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(9)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(10)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(11)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(12)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(13)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(14)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(15)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(16)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(17)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(18)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(19)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(20)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(21)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(22)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(23)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(24)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(25)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(26)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(27)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(28)} -attr vt d
-load net {slc(regs.regs(0).sva#8).itm(29)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#8).itm} 30 {slc(regs.regs(0).sva#8).itm(0)} {slc(regs.regs(0).sva#8).itm(1)} {slc(regs.regs(0).sva#8).itm(2)} {slc(regs.regs(0).sva#8).itm(3)} {slc(regs.regs(0).sva#8).itm(4)} {slc(regs.regs(0).sva#8).itm(5)} {slc(regs.regs(0).sva#8).itm(6)} {slc(regs.regs(0).sva#8).itm(7)} {slc(regs.regs(0).sva#8).itm(8)} {slc(regs.regs(0).sva#8).itm(9)} {slc(regs.regs(0).sva#8).itm(10)} {slc(regs.regs(0).sva#8).itm(11)} {slc(regs.regs(0).sva#8).itm(12)} {slc(regs.regs(0).sva#8).itm(13)} {slc(regs.regs(0).sva#8).itm(14)} {slc(regs.regs(0).sva#8).itm(15)} {slc(regs.regs(0).sva#8).itm(16)} {slc(regs.regs(0).sva#8).itm(17)} {slc(regs.regs(0).sva#8).itm(18)} {slc(regs.regs(0).sva#8).itm(19)} {slc(regs.regs(0).sva#8).itm(20)} {slc(regs.regs(0).sva#8).itm(21)} {slc(regs.regs(0).sva#8).itm(22)} {slc(regs.regs(0).sva#8).itm(23)} {slc(regs.regs(0).sva#8).itm(24)} {slc(regs.regs(0).sva#8).itm(25)} {slc(regs.regs(0).sva#8).itm(26)} {slc(regs.regs(0).sva#8).itm(27)} {slc(regs.regs(0).sva#8).itm(28)} {slc(regs.regs(0).sva#8).itm(29)} -attr xrf 18113 -attr oid 259 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {FRAME:acc#18.itm(0)} -attr vt d
-load net {FRAME:acc#18.itm(1)} -attr vt d
-load net {FRAME:acc#18.itm(2)} -attr vt d
-load net {FRAME:acc#18.itm(3)} -attr vt d
-load net {FRAME:acc#18.itm(4)} -attr vt d
-load net {FRAME:acc#18.itm(5)} -attr vt d
-load net {FRAME:acc#18.itm(6)} -attr vt d
-load net {FRAME:acc#18.itm(7)} -attr vt d
-load net {FRAME:acc#18.itm(8)} -attr vt d
-load net {FRAME:acc#18.itm(9)} -attr vt d
-load net {FRAME:acc#18.itm(10)} -attr vt d
-load net {FRAME:acc#18.itm(11)} -attr vt d
-load netBundle {FRAME:acc#18.itm} 12 {FRAME:acc#18.itm(0)} {FRAME:acc#18.itm(1)} {FRAME:acc#18.itm(2)} {FRAME:acc#18.itm(3)} {FRAME:acc#18.itm(4)} {FRAME:acc#18.itm(5)} {FRAME:acc#18.itm(6)} {FRAME:acc#18.itm(7)} {FRAME:acc#18.itm(8)} {FRAME:acc#18.itm(9)} {FRAME:acc#18.itm(10)} {FRAME:acc#18.itm(11)} -attr xrf 18114 -attr oid 260 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:mul#6.itm(0)} -attr vt d
-load net {FRAME:mul#6.itm(1)} -attr vt d
-load net {FRAME:mul#6.itm(2)} -attr vt d
-load net {FRAME:mul#6.itm(3)} -attr vt d
-load net {FRAME:mul#6.itm(4)} -attr vt d
-load net {FRAME:mul#6.itm(5)} -attr vt d
-load net {FRAME:mul#6.itm(6)} -attr vt d
-load net {FRAME:mul#6.itm(7)} -attr vt d
-load net {FRAME:mul#6.itm(8)} -attr vt d
-load net {FRAME:mul#6.itm(9)} -attr vt d
-load net {FRAME:mul#6.itm(10)} -attr vt d
-load netBundle {FRAME:mul#6.itm} 11 {FRAME:mul#6.itm(0)} {FRAME:mul#6.itm(1)} {FRAME:mul#6.itm(2)} {FRAME:mul#6.itm(3)} {FRAME:mul#6.itm(4)} {FRAME:mul#6.itm(5)} {FRAME:mul#6.itm(6)} {FRAME:mul#6.itm(7)} {FRAME:mul#6.itm(8)} {FRAME:mul#6.itm(9)} {FRAME:mul#6.itm(10)} -attr xrf 18115 -attr oid 261 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:acc#17.itm(0)} -attr vt d
-load net {FRAME:acc#17.itm(1)} -attr vt d
-load net {FRAME:acc#17.itm(2)} -attr vt d
-load net {FRAME:acc#17.itm(3)} -attr vt d
-load net {FRAME:acc#17.itm(4)} -attr vt d
-load net {FRAME:acc#17.itm(5)} -attr vt d
-load net {FRAME:acc#17.itm(6)} -attr vt d
-load net {FRAME:acc#17.itm(7)} -attr vt d
-load net {FRAME:acc#17.itm(8)} -attr vt d
-load net {FRAME:acc#17.itm(9)} -attr vt d
-load netBundle {FRAME:acc#17.itm} 10 {FRAME:acc#17.itm(0)} {FRAME:acc#17.itm(1)} {FRAME:acc#17.itm(2)} {FRAME:acc#17.itm(3)} {FRAME:acc#17.itm(4)} {FRAME:acc#17.itm(5)} {FRAME:acc#17.itm(6)} {FRAME:acc#17.itm(7)} {FRAME:acc#17.itm(8)} {FRAME:acc#17.itm(9)} -attr xrf 18116 -attr oid 262 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:mul#7.itm(0)} -attr vt d
-load net {FRAME:mul#7.itm(1)} -attr vt d
-load net {FRAME:mul#7.itm(2)} -attr vt d
-load net {FRAME:mul#7.itm(3)} -attr vt d
-load net {FRAME:mul#7.itm(4)} -attr vt d
-load net {FRAME:mul#7.itm(5)} -attr vt d
-load net {FRAME:mul#7.itm(6)} -attr vt d
-load net {FRAME:mul#7.itm(7)} -attr vt d
-load net {FRAME:mul#7.itm(8)} -attr vt d
-load netBundle {FRAME:mul#7.itm} 9 {FRAME:mul#7.itm(0)} {FRAME:mul#7.itm(1)} {FRAME:mul#7.itm(2)} {FRAME:mul#7.itm(3)} {FRAME:mul#7.itm(4)} {FRAME:mul#7.itm(5)} {FRAME:mul#7.itm(6)} {FRAME:mul#7.itm(7)} {FRAME:mul#7.itm(8)} -attr xrf 18117 -attr oid 263 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:acc#16.itm(0)} -attr vt d
-load net {FRAME:acc#16.itm(1)} -attr vt d
-load net {FRAME:acc#16.itm(2)} -attr vt d
-load net {FRAME:acc#16.itm(3)} -attr vt d
-load net {FRAME:acc#16.itm(4)} -attr vt d
-load net {FRAME:acc#16.itm(5)} -attr vt d
-load net {FRAME:acc#16.itm(6)} -attr vt d
-load net {FRAME:acc#16.itm(7)} -attr vt d
-load netBundle {FRAME:acc#16.itm} 8 {FRAME:acc#16.itm(0)} {FRAME:acc#16.itm(1)} {FRAME:acc#16.itm(2)} {FRAME:acc#16.itm(3)} {FRAME:acc#16.itm(4)} {FRAME:acc#16.itm(5)} {FRAME:acc#16.itm(6)} {FRAME:acc#16.itm(7)} -attr xrf 18118 -attr oid 264 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#15.itm(0)} -attr vt d
-load net {FRAME:acc#15.itm(1)} -attr vt d
-load net {FRAME:acc#15.itm(2)} -attr vt d
-load net {FRAME:acc#15.itm(3)} -attr vt d
-load net {FRAME:acc#15.itm(4)} -attr vt d
-load netBundle {FRAME:acc#15.itm} 5 {FRAME:acc#15.itm(0)} {FRAME:acc#15.itm(1)} {FRAME:acc#15.itm(2)} {FRAME:acc#15.itm(3)} {FRAME:acc#15.itm(4)} -attr xrf 18119 -attr oid 265 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#14.itm(0)} -attr vt d
-load net {FRAME:acc#14.itm(1)} -attr vt d
-load net {FRAME:acc#14.itm(2)} -attr vt d
-load net {FRAME:acc#14.itm(3)} -attr vt d
-load net {FRAME:acc#14.itm(4)} -attr vt d
-load netBundle {FRAME:acc#14.itm} 5 {FRAME:acc#14.itm(0)} {FRAME:acc#14.itm(1)} {FRAME:acc#14.itm(2)} {FRAME:acc#14.itm(3)} {FRAME:acc#14.itm(4)} -attr xrf 18120 -attr oid 266 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#13.itm(0)} -attr vt d
-load net {FRAME:acc#13.itm(1)} -attr vt d
-load net {FRAME:acc#13.itm(2)} -attr vt d
-load net {FRAME:acc#13.itm(3)} -attr vt d
-load netBundle {FRAME:acc#13.itm} 4 {FRAME:acc#13.itm(0)} {FRAME:acc#13.itm(1)} {FRAME:acc#13.itm(2)} {FRAME:acc#13.itm(3)} -attr xrf 18121 -attr oid 267 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {conc#323.itm(0)} -attr vt d
-load net {conc#323.itm(1)} -attr vt d
-load net {conc#323.itm(2)} -attr vt d
-load netBundle {conc#323.itm} 3 {conc#323.itm(0)} {conc#323.itm(1)} {conc#323.itm(2)} -attr xrf 18122 -attr oid 268 -attr vt d -attr @path {/sobel/sobel:core/conc#323.itm}
-load net {conc#324.itm(0)} -attr vt d
-load net {conc#324.itm(1)} -attr vt d
-load net {conc#324.itm(2)} -attr vt d
-load net {conc#324.itm(3)} -attr vt d
-load net {conc#324.itm(4)} -attr vt d
-load netBundle {conc#324.itm} 5 {conc#324.itm(0)} {conc#324.itm(1)} {conc#324.itm(2)} {conc#324.itm(3)} {conc#324.itm(4)} -attr xrf 18123 -attr oid 269 -attr vt d -attr @path {/sobel/sobel:core/conc#324.itm}
-load net {slc(acc.imod#15.sva)#1.itm(0)} -attr vt d
-load net {slc(acc.imod#15.sva)#1.itm(1)} -attr vt d
-load net {slc(acc.imod#15.sva)#1.itm(2)} -attr vt d
-load netBundle {slc(acc.imod#15.sva)#1.itm} 3 {slc(acc.imod#15.sva)#1.itm(0)} {slc(acc.imod#15.sva)#1.itm(1)} {slc(acc.imod#15.sva)#1.itm(2)} -attr xrf 18124 -attr oid 270 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#1.itm}
-load net {FRAME:conc#26.itm(0)} -attr vt d
-load net {FRAME:conc#26.itm(1)} -attr vt d
-load net {FRAME:conc#26.itm(2)} -attr vt d
-load net {FRAME:conc#26.itm(3)} -attr vt d
-load netBundle {FRAME:conc#26.itm} 4 {FRAME:conc#26.itm(0)} {FRAME:conc#26.itm(1)} {FRAME:conc#26.itm(2)} {FRAME:conc#26.itm(3)} -attr xrf 18125 -attr oid 271 -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#26.itm}
-load net {FRAME:not#29.itm(0)} -attr vt d
-load net {FRAME:not#29.itm(1)} -attr vt d
-load net {FRAME:not#29.itm(2)} -attr vt d
-load netBundle {FRAME:not#29.itm} 3 {FRAME:not#29.itm(0)} {FRAME:not#29.itm(1)} {FRAME:not#29.itm(2)} -attr xrf 18126 -attr oid 272 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#29.itm}
-load net {slc(acc.imod#15.sva)#2.itm(0)} -attr vt d
-load net {slc(acc.imod#15.sva)#2.itm(1)} -attr vt d
-load net {slc(acc.imod#15.sva)#2.itm(2)} -attr vt d
-load netBundle {slc(acc.imod#15.sva)#2.itm} 3 {slc(acc.imod#15.sva)#2.itm(0)} {slc(acc.imod#15.sva)#2.itm(1)} {slc(acc.imod#15.sva)#2.itm(2)} -attr xrf 18127 -attr oid 273 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#2.itm}
-load net {slc(acc.imod#15.sva)#4.itm(0)} -attr vt d
-load net {slc(acc.imod#15.sva)#4.itm(1)} -attr vt d
-load netBundle {slc(acc.imod#15.sva)#4.itm} 2 {slc(acc.imod#15.sva)#4.itm(0)} {slc(acc.imod#15.sva)#4.itm(1)} -attr xrf 18128 -attr oid 274 -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#4.itm}
-load net {FRAME:not#30.itm(0)} -attr vt d
-load net {FRAME:not#30.itm(1)} -attr vt d
-load net {FRAME:not#30.itm(2)} -attr vt d
-load netBundle {FRAME:not#30.itm} 3 {FRAME:not#30.itm(0)} {FRAME:not#30.itm(1)} {FRAME:not#30.itm(2)} -attr xrf 18129 -attr oid 275 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load net {slc(intensity:slc(intensity#2.sg1).itm#1).itm(0)} -attr vt d
-load net {slc(intensity:slc(intensity#2.sg1).itm#1).itm(1)} -attr vt d
-load net {slc(intensity:slc(intensity#2.sg1).itm#1).itm(2)} -attr vt d
-load netBundle {slc(intensity:slc(intensity#2.sg1).itm#1).itm} 3 {slc(intensity:slc(intensity#2.sg1).itm#1).itm(0)} {slc(intensity:slc(intensity#2.sg1).itm#1).itm(1)} {slc(intensity:slc(intensity#2.sg1).itm#1).itm(2)} -attr xrf 18130 -attr oid 276 -attr vt d -attr @path {/sobel/sobel:core/slc(intensity:slc(intensity#2.sg1).itm#1).itm}
-load net {conc#325.itm(0)} -attr vt d
-load net {conc#325.itm(1)} -attr vt d
-load net {conc#325.itm(2)} -attr vt d
-load net {conc#325.itm(3)} -attr vt d
-load net {conc#325.itm(4)} -attr vt d
-load netBundle {conc#325.itm} 5 {conc#325.itm(0)} {conc#325.itm(1)} {conc#325.itm(2)} {conc#325.itm(3)} {conc#325.itm(4)} -attr xrf 18131 -attr oid 277 -attr vt d -attr @path {/sobel/sobel:core/conc#325.itm}
-load net {exs.itm(0)} -attr vt d
-load net {exs.itm(1)} -attr vt d
-load net {exs.itm(2)} -attr vt d
-load net {exs.itm(3)} -attr vt d
-load net {exs.itm(4)} -attr vt d
-load net {exs.itm(5)} -attr vt d
-load net {exs.itm(6)} -attr vt d
-load net {exs.itm(7)} -attr vt d
-load net {exs.itm(8)} -attr vt d
-load net {exs.itm(9)} -attr vt d
-load net {exs.itm(10)} -attr vt d
-load netBundle {exs.itm} 11 {exs.itm(0)} {exs.itm(1)} {exs.itm(2)} {exs.itm(3)} {exs.itm(4)} {exs.itm(5)} {exs.itm(6)} {exs.itm(7)} {exs.itm(8)} {exs.itm(9)} {exs.itm(10)} -attr xrf 18132 -attr oid 278 -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {conc#326.itm(0)} -attr vt d
-load net {conc#326.itm(1)} -attr vt d
-load net {conc#326.itm(2)} -attr vt d
-load net {conc#326.itm(3)} -attr vt d
-load net {conc#326.itm(4)} -attr vt d
-load net {conc#326.itm(5)} -attr vt d
-load net {conc#326.itm(6)} -attr vt d
-load net {conc#326.itm(7)} -attr vt d
-load net {conc#326.itm(8)} -attr vt d
-load netBundle {conc#326.itm} 9 {conc#326.itm(0)} {conc#326.itm(1)} {conc#326.itm(2)} {conc#326.itm(3)} {conc#326.itm(4)} {conc#326.itm(5)} {conc#326.itm(6)} {conc#326.itm(7)} {conc#326.itm(8)} -attr xrf 18133 -attr oid 279 -attr vt d -attr @path {/sobel/sobel:core/conc#326.itm}
-load net {FRAME:exs#11.itm(0)} -attr vt d
-load net {FRAME:exs#11.itm(1)} -attr vt d
-load net {FRAME:exs#11.itm(2)} -attr vt d
-load netBundle {FRAME:exs#11.itm} 3 {FRAME:exs#11.itm(0)} {FRAME:exs#11.itm(1)} {FRAME:exs#11.itm(2)} -attr xrf 18134 -attr oid 280 -attr vt d -attr @path {/sobel/sobel:core/FRAME:exs#11.itm}
-load net {ACC1:acc.itm(0)} -attr vt d
-load net {ACC1:acc.itm(1)} -attr vt d
-load net {ACC1:acc.itm(2)} -attr vt d
-load net {ACC1:acc.itm(3)} -attr vt d
-load net {ACC1:acc.itm(4)} -attr vt d
-load net {ACC1:acc.itm(5)} -attr vt d
-load net {ACC1:acc.itm(6)} -attr vt d
-load net {ACC1:acc.itm(7)} -attr vt d
-load net {ACC1:acc.itm(8)} -attr vt d
-load net {ACC1:acc.itm(9)} -attr vt d
-load net {ACC1:acc.itm(10)} -attr vt d
-load net {ACC1:acc.itm(11)} -attr vt d
-load net {ACC1:acc.itm(12)} -attr vt d
-load net {ACC1:acc.itm(13)} -attr vt d
-load net {ACC1:acc.itm(14)} -attr vt d
-load net {ACC1:acc.itm(15)} -attr vt d
-load netBundle {ACC1:acc.itm} 16 {ACC1:acc.itm(0)} {ACC1:acc.itm(1)} {ACC1:acc.itm(2)} {ACC1:acc.itm(3)} {ACC1:acc.itm(4)} {ACC1:acc.itm(5)} {ACC1:acc.itm(6)} {ACC1:acc.itm(7)} {ACC1:acc.itm(8)} {ACC1:acc.itm(9)} {ACC1:acc.itm(10)} {ACC1:acc.itm(11)} {ACC1:acc.itm(12)} {ACC1:acc.itm(13)} {ACC1:acc.itm(14)} {ACC1:acc.itm(15)} -attr xrf 18135 -attr oid 281 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc#280.itm(0)} -attr vt d
-load net {ACC1:acc#280.itm(1)} -attr vt d
-load net {ACC1:acc#280.itm(2)} -attr vt d
-load net {ACC1:acc#280.itm(3)} -attr vt d
-load net {ACC1:acc#280.itm(4)} -attr vt d
-load net {ACC1:acc#280.itm(5)} -attr vt d
-load net {ACC1:acc#280.itm(6)} -attr vt d
-load net {ACC1:acc#280.itm(7)} -attr vt d
-load net {ACC1:acc#280.itm(8)} -attr vt d
-load net {ACC1:acc#280.itm(9)} -attr vt d
-load net {ACC1:acc#280.itm(10)} -attr vt d
-load net {ACC1:acc#280.itm(11)} -attr vt d
-load net {ACC1:acc#280.itm(12)} -attr vt d
-load net {ACC1:acc#280.itm(13)} -attr vt d
-load net {ACC1:acc#280.itm(14)} -attr vt d
-load net {ACC1:acc#280.itm(15)} -attr vt d
-load netBundle {ACC1:acc#280.itm} 16 {ACC1:acc#280.itm(0)} {ACC1:acc#280.itm(1)} {ACC1:acc#280.itm(2)} {ACC1:acc#280.itm(3)} {ACC1:acc#280.itm(4)} {ACC1:acc#280.itm(5)} {ACC1:acc#280.itm(6)} {ACC1:acc#280.itm(7)} {ACC1:acc#280.itm(8)} {ACC1:acc#280.itm(9)} {ACC1:acc#280.itm(10)} {ACC1:acc#280.itm(11)} {ACC1:acc#280.itm(12)} {ACC1:acc#280.itm(13)} {ACC1:acc#280.itm(14)} {ACC1:acc#280.itm(15)} -attr xrf 18136 -attr oid 282 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#277.itm(0)} -attr vt d
-load net {ACC1:acc#277.itm(1)} -attr vt d
-load net {ACC1:acc#277.itm(2)} -attr vt d
-load net {ACC1:acc#277.itm(3)} -attr vt d
-load net {ACC1:acc#277.itm(4)} -attr vt d
-load net {ACC1:acc#277.itm(5)} -attr vt d
-load net {ACC1:acc#277.itm(6)} -attr vt d
-load net {ACC1:acc#277.itm(7)} -attr vt d
-load net {ACC1:acc#277.itm(8)} -attr vt d
-load net {ACC1:acc#277.itm(9)} -attr vt d
-load net {ACC1:acc#277.itm(10)} -attr vt d
-load net {ACC1:acc#277.itm(11)} -attr vt d
-load net {ACC1:acc#277.itm(12)} -attr vt d
-load net {ACC1:acc#277.itm(13)} -attr vt d
-load net {ACC1:acc#277.itm(14)} -attr vt d
-load net {ACC1:acc#277.itm(15)} -attr vt d
-load netBundle {ACC1:acc#277.itm} 16 {ACC1:acc#277.itm(0)} {ACC1:acc#277.itm(1)} {ACC1:acc#277.itm(2)} {ACC1:acc#277.itm(3)} {ACC1:acc#277.itm(4)} {ACC1:acc#277.itm(5)} {ACC1:acc#277.itm(6)} {ACC1:acc#277.itm(7)} {ACC1:acc#277.itm(8)} {ACC1:acc#277.itm(9)} {ACC1:acc#277.itm(10)} {ACC1:acc#277.itm(11)} {ACC1:acc#277.itm(12)} {ACC1:acc#277.itm(13)} {ACC1:acc#277.itm(14)} {ACC1:acc#277.itm(15)} -attr xrf 18137 -attr oid 283 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {conc#328.itm(0)} -attr vt d
-load net {conc#328.itm(1)} -attr vt d
-load net {conc#328.itm(2)} -attr vt d
-load net {conc#328.itm(3)} -attr vt d
-load net {conc#328.itm(4)} -attr vt d
-load net {conc#328.itm(5)} -attr vt d
-load net {conc#328.itm(6)} -attr vt d
-load net {conc#328.itm(7)} -attr vt d
-load net {conc#328.itm(8)} -attr vt d
-load net {conc#328.itm(9)} -attr vt d
-load net {conc#328.itm(10)} -attr vt d
-load net {conc#328.itm(11)} -attr vt d
-load net {conc#328.itm(12)} -attr vt d
-load net {conc#328.itm(13)} -attr vt d
-load net {conc#328.itm(14)} -attr vt d
-load net {conc#328.itm(15)} -attr vt d
-load netBundle {conc#328.itm} 16 {conc#328.itm(0)} {conc#328.itm(1)} {conc#328.itm(2)} {conc#328.itm(3)} {conc#328.itm(4)} {conc#328.itm(5)} {conc#328.itm(6)} {conc#328.itm(7)} {conc#328.itm(8)} {conc#328.itm(9)} {conc#328.itm(10)} {conc#328.itm(11)} {conc#328.itm(12)} {conc#328.itm(13)} {conc#328.itm(14)} {conc#328.itm(15)} -attr xrf 18138 -attr oid 284 -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {ACC1-2:exs#24.itm(0)} -attr vt d
-load net {ACC1-2:exs#24.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs#24.itm} 2 {ACC1-2:exs#24.itm(0)} {ACC1-2:exs#24.itm(1)} -attr xrf 18139 -attr oid 285 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#24.itm}
-load net {ACC1:acc#274.itm(0)} -attr vt d
-load net {ACC1:acc#274.itm(1)} -attr vt d
-load net {ACC1:acc#274.itm(2)} -attr vt d
-load net {ACC1:acc#274.itm(3)} -attr vt d
-load net {ACC1:acc#274.itm(4)} -attr vt d
-load net {ACC1:acc#274.itm(5)} -attr vt d
-load net {ACC1:acc#274.itm(6)} -attr vt d
-load net {ACC1:acc#274.itm(7)} -attr vt d
-load net {ACC1:acc#274.itm(8)} -attr vt d
-load net {ACC1:acc#274.itm(9)} -attr vt d
-load net {ACC1:acc#274.itm(10)} -attr vt d
-load net {ACC1:acc#274.itm(11)} -attr vt d
-load net {ACC1:acc#274.itm(12)} -attr vt d
-load net {ACC1:acc#274.itm(13)} -attr vt d
-load net {ACC1:acc#274.itm(14)} -attr vt d
-load netBundle {ACC1:acc#274.itm} 15 {ACC1:acc#274.itm(0)} {ACC1:acc#274.itm(1)} {ACC1:acc#274.itm(2)} {ACC1:acc#274.itm(3)} {ACC1:acc#274.itm(4)} {ACC1:acc#274.itm(5)} {ACC1:acc#274.itm(6)} {ACC1:acc#274.itm(7)} {ACC1:acc#274.itm(8)} {ACC1:acc#274.itm(9)} {ACC1:acc#274.itm(10)} {ACC1:acc#274.itm(11)} {ACC1:acc#274.itm(12)} {ACC1:acc#274.itm(13)} {ACC1:acc#274.itm(14)} -attr xrf 18140 -attr oid 286 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#270.itm(0)} -attr vt d
-load net {ACC1:acc#270.itm(1)} -attr vt d
-load net {ACC1:acc#270.itm(2)} -attr vt d
-load net {ACC1:acc#270.itm(3)} -attr vt d
-load net {ACC1:acc#270.itm(4)} -attr vt d
-load net {ACC1:acc#270.itm(5)} -attr vt d
-load net {ACC1:acc#270.itm(6)} -attr vt d
-load net {ACC1:acc#270.itm(7)} -attr vt d
-load net {ACC1:acc#270.itm(8)} -attr vt d
-load net {ACC1:acc#270.itm(9)} -attr vt d
-load net {ACC1:acc#270.itm(10)} -attr vt d
-load net {ACC1:acc#270.itm(11)} -attr vt d
-load net {ACC1:acc#270.itm(12)} -attr vt d
-load net {ACC1:acc#270.itm(13)} -attr vt d
-load netBundle {ACC1:acc#270.itm} 14 {ACC1:acc#270.itm(0)} {ACC1:acc#270.itm(1)} {ACC1:acc#270.itm(2)} {ACC1:acc#270.itm(3)} {ACC1:acc#270.itm(4)} {ACC1:acc#270.itm(5)} {ACC1:acc#270.itm(6)} {ACC1:acc#270.itm(7)} {ACC1:acc#270.itm(8)} {ACC1:acc#270.itm(9)} {ACC1:acc#270.itm(10)} {ACC1:acc#270.itm(11)} {ACC1:acc#270.itm(12)} {ACC1:acc#270.itm(13)} -attr xrf 18141 -attr oid 287 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#267.itm(0)} -attr vt d
-load net {ACC1:acc#267.itm(1)} -attr vt d
-load net {ACC1:acc#267.itm(2)} -attr vt d
-load net {ACC1:acc#267.itm(3)} -attr vt d
-load net {ACC1:acc#267.itm(4)} -attr vt d
-load net {ACC1:acc#267.itm(5)} -attr vt d
-load net {ACC1:acc#267.itm(6)} -attr vt d
-load net {ACC1:acc#267.itm(7)} -attr vt d
-load net {ACC1:acc#267.itm(8)} -attr vt d
-load net {ACC1:acc#267.itm(9)} -attr vt d
-load net {ACC1:acc#267.itm(10)} -attr vt d
-load net {ACC1:acc#267.itm(11)} -attr vt d
-load netBundle {ACC1:acc#267.itm} 12 {ACC1:acc#267.itm(0)} {ACC1:acc#267.itm(1)} {ACC1:acc#267.itm(2)} {ACC1:acc#267.itm(3)} {ACC1:acc#267.itm(4)} {ACC1:acc#267.itm(5)} {ACC1:acc#267.itm(6)} {ACC1:acc#267.itm(7)} {ACC1:acc#267.itm(8)} {ACC1:acc#267.itm(9)} {ACC1:acc#267.itm(10)} {ACC1:acc#267.itm(11)} -attr xrf 18142 -attr oid 288 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:conc#275.itm(0)} -attr vt d
-load net {ACC1:conc#275.itm(1)} -attr vt d
-load net {ACC1:conc#275.itm(2)} -attr vt d
-load net {ACC1:conc#275.itm(3)} -attr vt d
-load net {ACC1:conc#275.itm(4)} -attr vt d
-load net {ACC1:conc#275.itm(5)} -attr vt d
-load net {ACC1:conc#275.itm(6)} -attr vt d
-load net {ACC1:conc#275.itm(7)} -attr vt d
-load net {ACC1:conc#275.itm(8)} -attr vt d
-load net {ACC1:conc#275.itm(9)} -attr vt d
-load net {ACC1:conc#275.itm(10)} -attr vt d
-load netBundle {ACC1:conc#275.itm} 11 {ACC1:conc#275.itm(0)} {ACC1:conc#275.itm(1)} {ACC1:conc#275.itm(2)} {ACC1:conc#275.itm(3)} {ACC1:conc#275.itm(4)} {ACC1:conc#275.itm(5)} {ACC1:conc#275.itm(6)} {ACC1:conc#275.itm(7)} {ACC1:conc#275.itm(8)} {ACC1:conc#275.itm(9)} {ACC1:conc#275.itm(10)} -attr xrf 18143 -attr oid 289 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1-2:exs#6.itm(0)} -attr vt d
-load net {ACC1-2:exs#6.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs#6.itm} 2 {ACC1-2:exs#6.itm(0)} {ACC1-2:exs#6.itm(1)} -attr xrf 18144 -attr oid 290 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#6.itm}
-load net {ACC1:acc#276.itm(0)} -attr vt d
-load net {ACC1:acc#276.itm(1)} -attr vt d
-load net {ACC1:acc#276.itm(2)} -attr vt d
-load net {ACC1:acc#276.itm(3)} -attr vt d
-load net {ACC1:acc#276.itm(4)} -attr vt d
-load net {ACC1:acc#276.itm(5)} -attr vt d
-load net {ACC1:acc#276.itm(6)} -attr vt d
-load net {ACC1:acc#276.itm(7)} -attr vt d
-load net {ACC1:acc#276.itm(8)} -attr vt d
-load net {ACC1:acc#276.itm(9)} -attr vt d
-load net {ACC1:acc#276.itm(10)} -attr vt d
-load net {ACC1:acc#276.itm(11)} -attr vt d
-load net {ACC1:acc#276.itm(12)} -attr vt d
-load net {ACC1:acc#276.itm(13)} -attr vt d
-load net {ACC1:acc#276.itm(14)} -attr vt d
-load net {ACC1:acc#276.itm(15)} -attr vt d
-load netBundle {ACC1:acc#276.itm} 16 {ACC1:acc#276.itm(0)} {ACC1:acc#276.itm(1)} {ACC1:acc#276.itm(2)} {ACC1:acc#276.itm(3)} {ACC1:acc#276.itm(4)} {ACC1:acc#276.itm(5)} {ACC1:acc#276.itm(6)} {ACC1:acc#276.itm(7)} {ACC1:acc#276.itm(8)} {ACC1:acc#276.itm(9)} {ACC1:acc#276.itm(10)} {ACC1:acc#276.itm(11)} {ACC1:acc#276.itm(12)} {ACC1:acc#276.itm(13)} {ACC1:acc#276.itm(14)} {ACC1:acc#276.itm(15)} -attr xrf 18145 -attr oid 291 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#273.itm(0)} -attr vt d
-load net {ACC1:acc#273.itm(1)} -attr vt d
-load net {ACC1:acc#273.itm(2)} -attr vt d
-load net {ACC1:acc#273.itm(3)} -attr vt d
-load net {ACC1:acc#273.itm(4)} -attr vt d
-load net {ACC1:acc#273.itm(5)} -attr vt d
-load net {ACC1:acc#273.itm(6)} -attr vt d
-load net {ACC1:acc#273.itm(7)} -attr vt d
-load net {ACC1:acc#273.itm(8)} -attr vt d
-load net {ACC1:acc#273.itm(9)} -attr vt d
-load net {ACC1:acc#273.itm(10)} -attr vt d
-load net {ACC1:acc#273.itm(11)} -attr vt d
-load net {ACC1:acc#273.itm(12)} -attr vt d
-load net {ACC1:acc#273.itm(13)} -attr vt d
-load net {ACC1:acc#273.itm(14)} -attr vt d
-load netBundle {ACC1:acc#273.itm} 15 {ACC1:acc#273.itm(0)} {ACC1:acc#273.itm(1)} {ACC1:acc#273.itm(2)} {ACC1:acc#273.itm(3)} {ACC1:acc#273.itm(4)} {ACC1:acc#273.itm(5)} {ACC1:acc#273.itm(6)} {ACC1:acc#273.itm(7)} {ACC1:acc#273.itm(8)} {ACC1:acc#273.itm(9)} {ACC1:acc#273.itm(10)} {ACC1:acc#273.itm(11)} {ACC1:acc#273.itm(12)} {ACC1:acc#273.itm(13)} {ACC1:acc#273.itm(14)} -attr xrf 18146 -attr oid 292 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {conc#329.itm(0)} -attr vt d
-load net {conc#329.itm(1)} -attr vt d
-load net {conc#329.itm(2)} -attr vt d
-load net {conc#329.itm(3)} -attr vt d
-load net {conc#329.itm(4)} -attr vt d
-load net {conc#329.itm(5)} -attr vt d
-load net {conc#329.itm(6)} -attr vt d
-load net {conc#329.itm(7)} -attr vt d
-load net {conc#329.itm(8)} -attr vt d
-load net {conc#329.itm(9)} -attr vt d
-load net {conc#329.itm(10)} -attr vt d
-load net {conc#329.itm(11)} -attr vt d
-load net {conc#329.itm(12)} -attr vt d
-load net {conc#329.itm(13)} -attr vt d
-load netBundle {conc#329.itm} 14 {conc#329.itm(0)} {conc#329.itm(1)} {conc#329.itm(2)} {conc#329.itm(3)} {conc#329.itm(4)} {conc#329.itm(5)} {conc#329.itm(6)} {conc#329.itm(7)} {conc#329.itm(8)} {conc#329.itm(9)} {conc#329.itm(10)} {conc#329.itm(11)} {conc#329.itm(12)} {conc#329.itm(13)} -attr xrf 18147 -attr oid 293 -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1-3:exs#22.itm(0)} -attr vt d
-load net {ACC1-3:exs#22.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#22.itm} 2 {ACC1-3:exs#22.itm(0)} {ACC1-3:exs#22.itm(1)} -attr xrf 18148 -attr oid 294 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#22.itm}
-load net {ACC1:acc#271.itm(0)} -attr vt d
-load net {ACC1:acc#271.itm(1)} -attr vt d
-load net {ACC1:acc#271.itm(2)} -attr vt d
-load net {ACC1:acc#271.itm(3)} -attr vt d
-load net {ACC1:acc#271.itm(4)} -attr vt d
-load net {ACC1:acc#271.itm(5)} -attr vt d
-load net {ACC1:acc#271.itm(6)} -attr vt d
-load net {ACC1:acc#271.itm(7)} -attr vt d
-load net {ACC1:acc#271.itm(8)} -attr vt d
-load net {ACC1:acc#271.itm(9)} -attr vt d
-load net {ACC1:acc#271.itm(10)} -attr vt d
-load net {ACC1:acc#271.itm(11)} -attr vt d
-load net {ACC1:acc#271.itm(12)} -attr vt d
-load netBundle {ACC1:acc#271.itm} 13 {ACC1:acc#271.itm(0)} {ACC1:acc#271.itm(1)} {ACC1:acc#271.itm(2)} {ACC1:acc#271.itm(3)} {ACC1:acc#271.itm(4)} {ACC1:acc#271.itm(5)} {ACC1:acc#271.itm(6)} {ACC1:acc#271.itm(7)} {ACC1:acc#271.itm(8)} {ACC1:acc#271.itm(9)} {ACC1:acc#271.itm(10)} {ACC1:acc#271.itm(11)} {ACC1:acc#271.itm(12)} -attr xrf 18149 -attr oid 295 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#269.itm(0)} -attr vt d
-load net {ACC1:acc#269.itm(1)} -attr vt d
-load net {ACC1:acc#269.itm(2)} -attr vt d
-load net {ACC1:acc#269.itm(3)} -attr vt d
-load net {ACC1:acc#269.itm(4)} -attr vt d
-load net {ACC1:acc#269.itm(5)} -attr vt d
-load net {ACC1:acc#269.itm(6)} -attr vt d
-load net {ACC1:acc#269.itm(7)} -attr vt d
-load net {ACC1:acc#269.itm(8)} -attr vt d
-load net {ACC1:acc#269.itm(9)} -attr vt d
-load net {ACC1:acc#269.itm(10)} -attr vt d
-load net {ACC1:acc#269.itm(11)} -attr vt d
-load netBundle {ACC1:acc#269.itm} 12 {ACC1:acc#269.itm(0)} {ACC1:acc#269.itm(1)} {ACC1:acc#269.itm(2)} {ACC1:acc#269.itm(3)} {ACC1:acc#269.itm(4)} {ACC1:acc#269.itm(5)} {ACC1:acc#269.itm(6)} {ACC1:acc#269.itm(7)} {ACC1:acc#269.itm(8)} {ACC1:acc#269.itm(9)} {ACC1:acc#269.itm(10)} {ACC1:acc#269.itm(11)} -attr xrf 18150 -attr oid 296 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {conc#330.itm(0)} -attr vt d
-load net {conc#330.itm(1)} -attr vt d
-load net {conc#330.itm(2)} -attr vt d
-load net {conc#330.itm(3)} -attr vt d
-load net {conc#330.itm(4)} -attr vt d
-load net {conc#330.itm(5)} -attr vt d
-load net {conc#330.itm(6)} -attr vt d
-load net {conc#330.itm(7)} -attr vt d
-load net {conc#330.itm(8)} -attr vt d
-load net {conc#330.itm(9)} -attr vt d
-load net {conc#330.itm(10)} -attr vt d
-load net {conc#330.itm(11)} -attr vt d
-load netBundle {conc#330.itm} 12 {conc#330.itm(0)} {conc#330.itm(1)} {conc#330.itm(2)} {conc#330.itm(3)} {conc#330.itm(4)} {conc#330.itm(5)} {conc#330.itm(6)} {conc#330.itm(7)} {conc#330.itm(8)} {conc#330.itm(9)} {conc#330.itm(10)} {conc#330.itm(11)} -attr xrf 18151 -attr oid 297 -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1-3:exs#23.itm(0)} -attr vt d
-load net {ACC1-3:exs#23.itm(1)} -attr vt d
-load netBundle {ACC1-3:exs#23.itm} 2 {ACC1-3:exs#23.itm(0)} {ACC1-3:exs#23.itm(1)} -attr xrf 18152 -attr oid 298 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#23.itm}
-load net {ACC1:acc#266.itm(0)} -attr vt d
-load net {ACC1:acc#266.itm(1)} -attr vt d
-load net {ACC1:acc#266.itm(2)} -attr vt d
-load net {ACC1:acc#266.itm(3)} -attr vt d
-load net {ACC1:acc#266.itm(4)} -attr vt d
-load net {ACC1:acc#266.itm(5)} -attr vt d
-load net {ACC1:acc#266.itm(6)} -attr vt d
-load net {ACC1:acc#266.itm(7)} -attr vt d
-load net {ACC1:acc#266.itm(8)} -attr vt d
-load net {ACC1:acc#266.itm(9)} -attr vt d
-load net {ACC1:acc#266.itm(10)} -attr vt d
-load netBundle {ACC1:acc#266.itm} 11 {ACC1:acc#266.itm(0)} {ACC1:acc#266.itm(1)} {ACC1:acc#266.itm(2)} {ACC1:acc#266.itm(3)} {ACC1:acc#266.itm(4)} {ACC1:acc#266.itm(5)} {ACC1:acc#266.itm(6)} {ACC1:acc#266.itm(7)} {ACC1:acc#266.itm(8)} {ACC1:acc#266.itm(9)} {ACC1:acc#266.itm(10)} -attr xrf 18153 -attr oid 299 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#263.itm(0)} -attr vt d
-load net {ACC1:acc#263.itm(1)} -attr vt d
-load net {ACC1:acc#263.itm(2)} -attr vt d
-load net {ACC1:acc#263.itm(3)} -attr vt d
-load net {ACC1:acc#263.itm(4)} -attr vt d
-load net {ACC1:acc#263.itm(5)} -attr vt d
-load net {ACC1:acc#263.itm(6)} -attr vt d
-load net {ACC1:acc#263.itm(7)} -attr vt d
-load net {ACC1:acc#263.itm(8)} -attr vt d
-load net {ACC1:acc#263.itm(9)} -attr vt d
-load netBundle {ACC1:acc#263.itm} 10 {ACC1:acc#263.itm(0)} {ACC1:acc#263.itm(1)} {ACC1:acc#263.itm(2)} {ACC1:acc#263.itm(3)} {ACC1:acc#263.itm(4)} {ACC1:acc#263.itm(5)} {ACC1:acc#263.itm(6)} {ACC1:acc#263.itm(7)} {ACC1:acc#263.itm(8)} {ACC1:acc#263.itm(9)} -attr xrf 18154 -attr oid 300 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:conc#274.itm(0)} -attr vt d
-load net {ACC1:conc#274.itm(1)} -attr vt d
-load net {ACC1:conc#274.itm(2)} -attr vt d
-load net {ACC1:conc#274.itm(3)} -attr vt d
-load net {ACC1:conc#274.itm(4)} -attr vt d
-load net {ACC1:conc#274.itm(5)} -attr vt d
-load net {ACC1:conc#274.itm(6)} -attr vt d
-load net {ACC1:conc#274.itm(7)} -attr vt d
-load net {ACC1:conc#274.itm(8)} -attr vt d
-load netBundle {ACC1:conc#274.itm} 9 {ACC1:conc#274.itm(0)} {ACC1:conc#274.itm(1)} {ACC1:conc#274.itm(2)} {ACC1:conc#274.itm(3)} {ACC1:conc#274.itm(4)} {ACC1:conc#274.itm(5)} {ACC1:conc#274.itm(6)} {ACC1:conc#274.itm(7)} {ACC1:conc#274.itm(8)} -attr xrf 18155 -attr oid 301 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1-2:exs#5.itm(0)} -attr vt d
-load net {ACC1-2:exs#5.itm(1)} -attr vt d
-load netBundle {ACC1-2:exs#5.itm} 2 {ACC1-2:exs#5.itm(0)} {ACC1-2:exs#5.itm(1)} -attr xrf 18156 -attr oid 302 -attr vt d -attr @path {/sobel/sobel:core/ACC1-2:exs#5.itm}
-load net {ACC1:acc#260.itm(0)} -attr vt d
-load net {ACC1:acc#260.itm(1)} -attr vt d
-load net {ACC1:acc#260.itm(2)} -attr vt d
-load net {ACC1:acc#260.itm(3)} -attr vt d
-load net {ACC1:acc#260.itm(4)} -attr vt d
-load net {ACC1:acc#260.itm(5)} -attr vt d
-load net {ACC1:acc#260.itm(6)} -attr vt d
-load net {ACC1:acc#260.itm(7)} -attr vt d
-load netBundle {ACC1:acc#260.itm} 8 {ACC1:acc#260.itm(0)} {ACC1:acc#260.itm(1)} {ACC1:acc#260.itm(2)} {ACC1:acc#260.itm(3)} {ACC1:acc#260.itm(4)} {ACC1:acc#260.itm(5)} {ACC1:acc#260.itm(6)} {ACC1:acc#260.itm(7)} -attr xrf 18157 -attr oid 303 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#256.itm(0)} -attr vt d
-load net {ACC1:acc#256.itm(1)} -attr vt d
-load net {ACC1:acc#256.itm(2)} -attr vt d
-load net {ACC1:acc#256.itm(3)} -attr vt d
-load net {ACC1:acc#256.itm(4)} -attr vt d
-load net {ACC1:acc#256.itm(5)} -attr vt d
-load net {ACC1:acc#256.itm(6)} -attr vt d
-load netBundle {ACC1:acc#256.itm} 7 {ACC1:acc#256.itm(0)} {ACC1:acc#256.itm(1)} {ACC1:acc#256.itm(2)} {ACC1:acc#256.itm(3)} {ACC1:acc#256.itm(4)} {ACC1:acc#256.itm(5)} {ACC1:acc#256.itm(6)} -attr xrf 18158 -attr oid 304 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:conc#254.itm(0)} -attr vt d
-load net {ACC1:conc#254.itm(1)} -attr vt d
-load net {ACC1:conc#254.itm(2)} -attr vt d
-load net {ACC1:conc#254.itm(3)} -attr vt d
-load net {ACC1:conc#254.itm(4)} -attr vt d
-load net {ACC1:conc#254.itm(5)} -attr vt d
-load net {ACC1:conc#254.itm(6)} -attr vt d
-load net {ACC1:conc#254.itm(7)} -attr vt d
-load net {ACC1:conc#254.itm(8)} -attr vt d
-load net {ACC1:conc#254.itm(9)} -attr vt d
-load net {ACC1:conc#254.itm(10)} -attr vt d
-load net {ACC1:conc#254.itm(11)} -attr vt d
-load net {ACC1:conc#254.itm(12)} -attr vt d
-load net {ACC1:conc#254.itm(13)} -attr vt d
-load net {ACC1:conc#254.itm(14)} -attr vt d
-load netBundle {ACC1:conc#254.itm} 15 {ACC1:conc#254.itm(0)} {ACC1:conc#254.itm(1)} {ACC1:conc#254.itm(2)} {ACC1:conc#254.itm(3)} {ACC1:conc#254.itm(4)} {ACC1:conc#254.itm(5)} {ACC1:conc#254.itm(6)} {ACC1:conc#254.itm(7)} {ACC1:conc#254.itm(8)} {ACC1:conc#254.itm(9)} {ACC1:conc#254.itm(10)} {ACC1:conc#254.itm(11)} {ACC1:conc#254.itm(12)} {ACC1:conc#254.itm(13)} {ACC1:conc#254.itm(14)} -attr xrf 18159 -attr oid 305 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:acc#189.itm(0)} -attr vt d
-load net {ACC1:acc#189.itm(1)} -attr vt d
-load net {ACC1:acc#189.itm(2)} -attr vt d
-load net {ACC1:acc#189.itm(3)} -attr vt d
-load net {ACC1:acc#189.itm(4)} -attr vt d
-load net {ACC1:acc#189.itm(5)} -attr vt d
-load netBundle {ACC1:acc#189.itm} 6 {ACC1:acc#189.itm(0)} {ACC1:acc#189.itm(1)} {ACC1:acc#189.itm(2)} {ACC1:acc#189.itm(3)} {ACC1:acc#189.itm(4)} {ACC1:acc#189.itm(5)} -attr xrf 18160 -attr oid 306 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load net {conc#331.itm(0)} -attr vt d
-load net {conc#331.itm(1)} -attr vt d
-load net {conc#331.itm(2)} -attr vt d
-load net {conc#331.itm(3)} -attr vt d
-load net {conc#331.itm(4)} -attr vt d
-load net {conc#331.itm(5)} -attr vt d
-load netBundle {conc#331.itm} 6 {conc#331.itm(0)} {conc#331.itm(1)} {conc#331.itm(2)} {conc#331.itm(3)} {conc#331.itm(4)} {conc#331.itm(5)} -attr xrf 18161 -attr oid 307 -attr vt d -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1:slc#37.itm(0)} -attr vt d
-load net {ACC1:slc#37.itm(1)} -attr vt d
-load net {ACC1:slc#37.itm(2)} -attr vt d
-load net {ACC1:slc#37.itm(3)} -attr vt d
-load net {ACC1:slc#37.itm(4)} -attr vt d
-load netBundle {ACC1:slc#37.itm} 5 {ACC1:slc#37.itm(0)} {ACC1:slc#37.itm(1)} {ACC1:slc#37.itm(2)} {ACC1:slc#37.itm(3)} {ACC1:slc#37.itm(4)} -attr xrf 18162 -attr oid 308 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#37.itm}
-load net {ACC1:acc#188.itm(0)} -attr vt d
-load net {ACC1:acc#188.itm(1)} -attr vt d
-load net {ACC1:acc#188.itm(2)} -attr vt d
-load net {ACC1:acc#188.itm(3)} -attr vt d
-load net {ACC1:acc#188.itm(4)} -attr vt d
-load net {ACC1:acc#188.itm(5)} -attr vt d
-load netBundle {ACC1:acc#188.itm} 6 {ACC1:acc#188.itm(0)} {ACC1:acc#188.itm(1)} {ACC1:acc#188.itm(2)} {ACC1:acc#188.itm(3)} {ACC1:acc#188.itm(4)} {ACC1:acc#188.itm(5)} -attr xrf 18163 -attr oid 309 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load net {conc#332.itm(0)} -attr vt d
-load net {conc#332.itm(1)} -attr vt d
-load net {conc#332.itm(2)} -attr vt d
-load net {conc#332.itm(3)} -attr vt d
-load net {conc#332.itm(4)} -attr vt d
-load netBundle {conc#332.itm} 5 {conc#332.itm(0)} {conc#332.itm(1)} {conc#332.itm(2)} {conc#332.itm(3)} {conc#332.itm(4)} -attr xrf 18164 -attr oid 310 -attr vt d -attr @path {/sobel/sobel:core/conc#332.itm}
-load net {ACC1:slc#36.itm(0)} -attr vt d
-load net {ACC1:slc#36.itm(1)} -attr vt d
-load net {ACC1:slc#36.itm(2)} -attr vt d
-load net {ACC1:slc#36.itm(3)} -attr vt d
-load netBundle {ACC1:slc#36.itm} 4 {ACC1:slc#36.itm(0)} {ACC1:slc#36.itm(1)} {ACC1:slc#36.itm(2)} {ACC1:slc#36.itm(3)} -attr xrf 18165 -attr oid 311 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#36.itm}
-load net {ACC1:acc#187.itm(0)} -attr vt d
-load net {ACC1:acc#187.itm(1)} -attr vt d
-load net {ACC1:acc#187.itm(2)} -attr vt d
-load net {ACC1:acc#187.itm(3)} -attr vt d
-load net {ACC1:acc#187.itm(4)} -attr vt d
-load netBundle {ACC1:acc#187.itm} 5 {ACC1:acc#187.itm(0)} {ACC1:acc#187.itm(1)} {ACC1:acc#187.itm(2)} {ACC1:acc#187.itm(3)} {ACC1:acc#187.itm(4)} -attr xrf 18166 -attr oid 312 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
-load net {conc#333.itm(0)} -attr vt d
-load net {conc#333.itm(1)} -attr vt d
-load net {conc#333.itm(2)} -attr vt d
-load net {conc#333.itm(3)} -attr vt d
-load netBundle {conc#333.itm} 4 {conc#333.itm(0)} {conc#333.itm(1)} {conc#333.itm(2)} {conc#333.itm(3)} -attr xrf 18167 -attr oid 313 -attr vt d -attr @path {/sobel/sobel:core/conc#333.itm}
-load net {ACC1:slc#34.itm(0)} -attr vt d
-load net {ACC1:slc#34.itm(1)} -attr vt d
-load net {ACC1:slc#34.itm(2)} -attr vt d
-load netBundle {ACC1:slc#34.itm} 3 {ACC1:slc#34.itm(0)} {ACC1:slc#34.itm(1)} {ACC1:slc#34.itm(2)} -attr xrf 18168 -attr oid 314 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#34.itm}
-load net {ACC1:acc#185.itm(0)} -attr vt d
-load net {ACC1:acc#185.itm(1)} -attr vt d
-load net {ACC1:acc#185.itm(2)} -attr vt d
-load net {ACC1:acc#185.itm(3)} -attr vt d
-load netBundle {ACC1:acc#185.itm} 4 {ACC1:acc#185.itm(0)} {ACC1:acc#185.itm(1)} {ACC1:acc#185.itm(2)} {ACC1:acc#185.itm(3)} -attr xrf 18169 -attr oid 315 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
-load net {conc#334.itm(0)} -attr vt d
-load net {conc#334.itm(1)} -attr vt d
-load net {conc#334.itm(2)} -attr vt d
-load netBundle {conc#334.itm} 3 {conc#334.itm(0)} {conc#334.itm(1)} {conc#334.itm(2)} -attr xrf 18170 -attr oid 316 -attr vt d -attr @path {/sobel/sobel:core/conc#334.itm}
-load net {ACC1:slc#30.itm(0)} -attr vt d
-load net {ACC1:slc#30.itm(1)} -attr vt d
-load netBundle {ACC1:slc#30.itm} 2 {ACC1:slc#30.itm(0)} {ACC1:slc#30.itm(1)} -attr xrf 18171 -attr oid 317 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#30.itm}
-load net {ACC1:acc#181.itm(0)} -attr vt d
-load net {ACC1:acc#181.itm(1)} -attr vt d
-load net {ACC1:acc#181.itm(2)} -attr vt d
-load netBundle {ACC1:acc#181.itm} 3 {ACC1:acc#181.itm(0)} {ACC1:acc#181.itm(1)} {ACC1:acc#181.itm(2)} -attr xrf 18172 -attr oid 318 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
-load net {conc#335.itm(0)} -attr vt d
-load net {conc#335.itm(1)} -attr vt d
-load netBundle {conc#335.itm} 2 {conc#335.itm(0)} {conc#335.itm(1)} -attr xrf 18173 -attr oid 319 -attr vt d -attr @path {/sobel/sobel:core/conc#335.itm}
-load net {conc#336.itm(0)} -attr vt d
-load net {conc#336.itm(1)} -attr vt d
-load netBundle {conc#336.itm} 2 {conc#336.itm(0)} {conc#336.itm(1)} -attr xrf 18174 -attr oid 320 -attr vt d -attr @path {/sobel/sobel:core/conc#336.itm}
-load net {ACC1:conc#353.itm(0)} -attr vt d
-load net {ACC1:conc#353.itm(1)} -attr vt d
-load netBundle {ACC1:conc#353.itm} 2 {ACC1:conc#353.itm(0)} {ACC1:conc#353.itm(1)} -attr xrf 18175 -attr oid 321 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#353.itm}
-load net {ACC1:conc#357.itm(0)} -attr vt d
-load net {ACC1:conc#357.itm(1)} -attr vt d
-load net {ACC1:conc#357.itm(2)} -attr vt d
-load netBundle {ACC1:conc#357.itm} 3 {ACC1:conc#357.itm(0)} {ACC1:conc#357.itm(1)} {ACC1:conc#357.itm(2)} -attr xrf 18176 -attr oid 322 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#357.itm}
-load net {ACC1:slc#33.itm(0)} -attr vt d
-load net {ACC1:slc#33.itm(1)} -attr vt d
-load netBundle {ACC1:slc#33.itm} 2 {ACC1:slc#33.itm(0)} {ACC1:slc#33.itm(1)} -attr xrf 18177 -attr oid 323 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#33.itm}
-load net {ACC1:acc#184.itm(0)} -attr vt d
-load net {ACC1:acc#184.itm(1)} -attr vt d
-load net {ACC1:acc#184.itm(2)} -attr vt d
-load netBundle {ACC1:acc#184.itm} 3 {ACC1:acc#184.itm(0)} {ACC1:acc#184.itm(1)} {ACC1:acc#184.itm(2)} -attr xrf 18178 -attr oid 324 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
-load net {conc#337.itm(0)} -attr vt d
-load net {conc#337.itm(1)} -attr vt d
-load netBundle {conc#337.itm} 2 {conc#337.itm(0)} {conc#337.itm(1)} -attr xrf 18179 -attr oid 325 -attr vt d -attr @path {/sobel/sobel:core/conc#337.itm}
-load net {ACC1:conc#351.itm(0)} -attr vt d
-load net {ACC1:conc#351.itm(1)} -attr vt d
-load netBundle {ACC1:conc#351.itm} 2 {ACC1:conc#351.itm(0)} {ACC1:conc#351.itm(1)} -attr xrf 18180 -attr oid 326 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#351.itm}
-load net {ACC1:conc#359.itm(0)} -attr vt d
-load net {ACC1:conc#359.itm(1)} -attr vt d
-load net {ACC1:conc#359.itm(2)} -attr vt d
-load net {ACC1:conc#359.itm(3)} -attr vt d
-load netBundle {ACC1:conc#359.itm} 4 {ACC1:conc#359.itm(0)} {ACC1:conc#359.itm(1)} {ACC1:conc#359.itm(2)} {ACC1:conc#359.itm(3)} -attr xrf 18181 -attr oid 327 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#359.itm}
-load net {ACC1:slc#35.itm(0)} -attr vt d
-load net {ACC1:slc#35.itm(1)} -attr vt d
-load net {ACC1:slc#35.itm(2)} -attr vt d
-load netBundle {ACC1:slc#35.itm} 3 {ACC1:slc#35.itm(0)} {ACC1:slc#35.itm(1)} {ACC1:slc#35.itm(2)} -attr xrf 18182 -attr oid 328 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#35.itm}
-load net {ACC1:acc#186.itm(0)} -attr vt d
-load net {ACC1:acc#186.itm(1)} -attr vt d
-load net {ACC1:acc#186.itm(2)} -attr vt d
-load net {ACC1:acc#186.itm(3)} -attr vt d
-load netBundle {ACC1:acc#186.itm} 4 {ACC1:acc#186.itm(0)} {ACC1:acc#186.itm(1)} {ACC1:acc#186.itm(2)} {ACC1:acc#186.itm(3)} -attr xrf 18183 -attr oid 329 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
-load net {conc#338.itm(0)} -attr vt d
-load net {conc#338.itm(1)} -attr vt d
-load net {conc#338.itm(2)} -attr vt d
-load netBundle {conc#338.itm} 3 {conc#338.itm(0)} {conc#338.itm(1)} {conc#338.itm(2)} -attr xrf 18184 -attr oid 330 -attr vt d -attr @path {/sobel/sobel:core/conc#338.itm}
-load net {ACC1:slc#32.itm(0)} -attr vt d
-load net {ACC1:slc#32.itm(1)} -attr vt d
-load netBundle {ACC1:slc#32.itm} 2 {ACC1:slc#32.itm(0)} {ACC1:slc#32.itm(1)} -attr xrf 18185 -attr oid 331 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#32.itm}
-load net {ACC1:acc#183.itm(0)} -attr vt d
-load net {ACC1:acc#183.itm(1)} -attr vt d
-load net {ACC1:acc#183.itm(2)} -attr vt d
-load netBundle {ACC1:acc#183.itm} 3 {ACC1:acc#183.itm(0)} {ACC1:acc#183.itm(1)} {ACC1:acc#183.itm(2)} -attr xrf 18186 -attr oid 332 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
-load net {conc#339.itm(0)} -attr vt d
-load net {conc#339.itm(1)} -attr vt d
-load netBundle {conc#339.itm} 2 {conc#339.itm(0)} {conc#339.itm(1)} -attr xrf 18187 -attr oid 333 -attr vt d -attr @path {/sobel/sobel:core/conc#339.itm}
-load net {ACC1:conc#349.itm(0)} -attr vt d
-load net {ACC1:conc#349.itm(1)} -attr vt d
-load netBundle {ACC1:conc#349.itm} 2 {ACC1:conc#349.itm(0)} {ACC1:conc#349.itm(1)} -attr xrf 18188 -attr oid 334 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#349.itm}
-load net {ACC1:conc#355.itm(0)} -attr vt d
-load net {ACC1:conc#355.itm(1)} -attr vt d
-load net {ACC1:conc#355.itm(2)} -attr vt d
-load netBundle {ACC1:conc#355.itm} 3 {ACC1:conc#355.itm(0)} {ACC1:conc#355.itm(1)} {ACC1:conc#355.itm(2)} -attr xrf 18189 -attr oid 335 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#355.itm}
-load net {ACC1:slc#31.itm(0)} -attr vt d
-load net {ACC1:slc#31.itm(1)} -attr vt d
-load netBundle {ACC1:slc#31.itm} 2 {ACC1:slc#31.itm(0)} {ACC1:slc#31.itm(1)} -attr xrf 18190 -attr oid 336 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#31.itm}
-load net {ACC1:acc#182.itm(0)} -attr vt d
-load net {ACC1:acc#182.itm(1)} -attr vt d
-load net {ACC1:acc#182.itm(2)} -attr vt d
-load netBundle {ACC1:acc#182.itm} 3 {ACC1:acc#182.itm(0)} {ACC1:acc#182.itm(1)} {ACC1:acc#182.itm(2)} -attr xrf 18191 -attr oid 337 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
-load net {conc#340.itm(0)} -attr vt d
-load net {conc#340.itm(1)} -attr vt d
-load netBundle {conc#340.itm} 2 {conc#340.itm(0)} {conc#340.itm(1)} -attr xrf 18192 -attr oid 338 -attr vt d -attr @path {/sobel/sobel:core/conc#340.itm}
-load net {ACC1:conc#347.itm(0)} -attr vt d
-load net {ACC1:conc#347.itm(1)} -attr vt d
-load netBundle {ACC1:conc#347.itm} 2 {ACC1:conc#347.itm(0)} {ACC1:conc#347.itm(1)} -attr xrf 18193 -attr oid 339 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#347.itm}
-load net {conc#341.itm(0)} -attr vt d
-load net {conc#341.itm(1)} -attr vt d
-load net {conc#341.itm(2)} -attr vt d
-load net {conc#341.itm(3)} -attr vt d
-load net {conc#341.itm(4)} -attr vt d
-load net {conc#341.itm(5)} -attr vt d
-load netBundle {conc#341.itm} 6 {conc#341.itm(0)} {conc#341.itm(1)} {conc#341.itm(2)} {conc#341.itm(3)} {conc#341.itm(4)} {conc#341.itm(5)} -attr xrf 18194 -attr oid 340 -attr vt d -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {ACC1:acc#153.itm(0)} -attr vt d
-load net {ACC1:acc#153.itm(1)} -attr vt d
-load net {ACC1:acc#153.itm(2)} -attr vt d
-load net {ACC1:acc#153.itm(3)} -attr vt d
-load net {ACC1:acc#153.itm(4)} -attr vt d
-load net {ACC1:acc#153.itm(5)} -attr vt d
-load net {ACC1:acc#153.itm(6)} -attr vt d
-load net {ACC1:acc#153.itm(7)} -attr vt d
-load net {ACC1:acc#153.itm(8)} -attr vt d
-load net {ACC1:acc#153.itm(9)} -attr vt d
-load net {ACC1:acc#153.itm(10)} -attr vt d
-load net {ACC1:acc#153.itm(11)} -attr vt d
-load net {ACC1:acc#153.itm(12)} -attr vt d
-load net {ACC1:acc#153.itm(13)} -attr vt d
-load net {ACC1:acc#153.itm(14)} -attr vt d
-load net {ACC1:acc#153.itm(15)} -attr vt d
-load net {ACC1:acc#153.itm(16)} -attr vt d
-load netBundle {ACC1:acc#153.itm} 17 {ACC1:acc#153.itm(0)} {ACC1:acc#153.itm(1)} {ACC1:acc#153.itm(2)} {ACC1:acc#153.itm(3)} {ACC1:acc#153.itm(4)} {ACC1:acc#153.itm(5)} {ACC1:acc#153.itm(6)} {ACC1:acc#153.itm(7)} {ACC1:acc#153.itm(8)} {ACC1:acc#153.itm(9)} {ACC1:acc#153.itm(10)} {ACC1:acc#153.itm(11)} {ACC1:acc#153.itm(12)} {ACC1:acc#153.itm(13)} {ACC1:acc#153.itm(14)} {ACC1:acc#153.itm(15)} {ACC1:acc#153.itm(16)} -attr xrf 18195 -attr oid 341 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:exs#56.itm(0)} -attr vt d
-load net {ACC1:exs#56.itm(1)} -attr vt d
-load net {ACC1:exs#56.itm(2)} -attr vt d
-load net {ACC1:exs#56.itm(3)} -attr vt d
-load net {ACC1:exs#56.itm(4)} -attr vt d
-load net {ACC1:exs#56.itm(5)} -attr vt d
-load net {ACC1:exs#56.itm(6)} -attr vt d
-load net {ACC1:exs#56.itm(7)} -attr vt d
-load net {ACC1:exs#56.itm(8)} -attr vt d
-load net {ACC1:exs#56.itm(9)} -attr vt d
-load net {ACC1:exs#56.itm(10)} -attr vt d
-load net {ACC1:exs#56.itm(11)} -attr vt d
-load net {ACC1:exs#56.itm(12)} -attr vt d
-load net {ACC1:exs#56.itm(13)} -attr vt d
-load net {ACC1:exs#56.itm(14)} -attr vt d
-load net {ACC1:exs#56.itm(15)} -attr vt d
-load netBundle {ACC1:exs#56.itm} 16 {ACC1:exs#56.itm(0)} {ACC1:exs#56.itm(1)} {ACC1:exs#56.itm(2)} {ACC1:exs#56.itm(3)} {ACC1:exs#56.itm(4)} {ACC1:exs#56.itm(5)} {ACC1:exs#56.itm(6)} {ACC1:exs#56.itm(7)} {ACC1:exs#56.itm(8)} {ACC1:exs#56.itm(9)} {ACC1:exs#56.itm(10)} {ACC1:exs#56.itm(11)} {ACC1:exs#56.itm(12)} {ACC1:exs#56.itm(13)} {ACC1:exs#56.itm(14)} {ACC1:exs#56.itm(15)} -attr xrf 18196 -attr oid 342 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {slc(regs.regs(0).sva#4).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#4).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#4).itm} 10 {slc(regs.regs(0).sva#4).itm(0)} {slc(regs.regs(0).sva#4).itm(1)} {slc(regs.regs(0).sva#4).itm(2)} {slc(regs.regs(0).sva#4).itm(3)} {slc(regs.regs(0).sva#4).itm(4)} {slc(regs.regs(0).sva#4).itm(5)} {slc(regs.regs(0).sva#4).itm(6)} {slc(regs.regs(0).sva#4).itm(7)} {slc(regs.regs(0).sva#4).itm(8)} {slc(regs.regs(0).sva#4).itm(9)} -attr xrf 18197 -attr oid 343 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4).itm}
-load net {ACC1:exs#57.itm(0)} -attr vt d
-load net {ACC1:exs#57.itm(1)} -attr vt d
-load net {ACC1:exs#57.itm(2)} -attr vt d
-load net {ACC1:exs#57.itm(3)} -attr vt d
-load net {ACC1:exs#57.itm(4)} -attr vt d
-load net {ACC1:exs#57.itm(5)} -attr vt d
-load net {ACC1:exs#57.itm(6)} -attr vt d
-load net {ACC1:exs#57.itm(7)} -attr vt d
-load net {ACC1:exs#57.itm(8)} -attr vt d
-load net {ACC1:exs#57.itm(9)} -attr vt d
-load net {ACC1:exs#57.itm(10)} -attr vt d
-load net {ACC1:exs#57.itm(11)} -attr vt d
-load net {ACC1:exs#57.itm(12)} -attr vt d
-load net {ACC1:exs#57.itm(13)} -attr vt d
-load net {ACC1:exs#57.itm(14)} -attr vt d
-load net {ACC1:exs#57.itm(15)} -attr vt d
-load netBundle {ACC1:exs#57.itm} 16 {ACC1:exs#57.itm(0)} {ACC1:exs#57.itm(1)} {ACC1:exs#57.itm(2)} {ACC1:exs#57.itm(3)} {ACC1:exs#57.itm(4)} {ACC1:exs#57.itm(5)} {ACC1:exs#57.itm(6)} {ACC1:exs#57.itm(7)} {ACC1:exs#57.itm(8)} {ACC1:exs#57.itm(9)} {ACC1:exs#57.itm(10)} {ACC1:exs#57.itm(11)} {ACC1:exs#57.itm(12)} {ACC1:exs#57.itm(13)} {ACC1:exs#57.itm(14)} {ACC1:exs#57.itm(15)} -attr xrf 18198 -attr oid 344 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {slc(regs.regs(0).sva#5).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#5).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#5).itm} 10 {slc(regs.regs(0).sva#5).itm(0)} {slc(regs.regs(0).sva#5).itm(1)} {slc(regs.regs(0).sva#5).itm(2)} {slc(regs.regs(0).sva#5).itm(3)} {slc(regs.regs(0).sva#5).itm(4)} {slc(regs.regs(0).sva#5).itm(5)} {slc(regs.regs(0).sva#5).itm(6)} {slc(regs.regs(0).sva#5).itm(7)} {slc(regs.regs(0).sva#5).itm(8)} {slc(regs.regs(0).sva#5).itm(9)} -attr xrf 18199 -attr oid 345 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5).itm}
-load net {ACC1:exs.itm(0)} -attr vt d
-load net {ACC1:exs.itm(1)} -attr vt d
-load net {ACC1:exs.itm(2)} -attr vt d
-load net {ACC1:exs.itm(3)} -attr vt d
-load net {ACC1:exs.itm(4)} -attr vt d
-load net {ACC1:exs.itm(5)} -attr vt d
-load net {ACC1:exs.itm(6)} -attr vt d
-load net {ACC1:exs.itm(7)} -attr vt d
-load net {ACC1:exs.itm(8)} -attr vt d
-load net {ACC1:exs.itm(9)} -attr vt d
-load net {ACC1:exs.itm(10)} -attr vt d
-load net {ACC1:exs.itm(11)} -attr vt d
-load net {ACC1:exs.itm(12)} -attr vt d
-load net {ACC1:exs.itm(13)} -attr vt d
-load net {ACC1:exs.itm(14)} -attr vt d
-load net {ACC1:exs.itm(15)} -attr vt d
-load netBundle {ACC1:exs.itm} 16 {ACC1:exs.itm(0)} {ACC1:exs.itm(1)} {ACC1:exs.itm(2)} {ACC1:exs.itm(3)} {ACC1:exs.itm(4)} {ACC1:exs.itm(5)} {ACC1:exs.itm(6)} {ACC1:exs.itm(7)} {ACC1:exs.itm(8)} {ACC1:exs.itm(9)} {ACC1:exs.itm(10)} {ACC1:exs.itm(11)} {ACC1:exs.itm(12)} {ACC1:exs.itm(13)} {ACC1:exs.itm(14)} {ACC1:exs.itm(15)} -attr xrf 18200 -attr oid 346 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {slc(regs.regs(0).sva#6).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#6).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#6).itm} 10 {slc(regs.regs(0).sva#6).itm(0)} {slc(regs.regs(0).sva#6).itm(1)} {slc(regs.regs(0).sva#6).itm(2)} {slc(regs.regs(0).sva#6).itm(3)} {slc(regs.regs(0).sva#6).itm(4)} {slc(regs.regs(0).sva#6).itm(5)} {slc(regs.regs(0).sva#6).itm(6)} {slc(regs.regs(0).sva#6).itm(7)} {slc(regs.regs(0).sva#6).itm(8)} {slc(regs.regs(0).sva#6).itm(9)} -attr xrf 18201 -attr oid 347 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6).itm}
-load net {ACC1:acc#165.itm(0)} -attr vt d
-load net {ACC1:acc#165.itm(1)} -attr vt d
-load net {ACC1:acc#165.itm(2)} -attr vt d
-load net {ACC1:acc#165.itm(3)} -attr vt d
-load net {ACC1:acc#165.itm(4)} -attr vt d
-load net {ACC1:acc#165.itm(5)} -attr vt d
-load net {ACC1:acc#165.itm(6)} -attr vt d
-load net {ACC1:acc#165.itm(7)} -attr vt d
-load net {ACC1:acc#165.itm(8)} -attr vt d
-load net {ACC1:acc#165.itm(9)} -attr vt d
-load net {ACC1:acc#165.itm(10)} -attr vt d
-load net {ACC1:acc#165.itm(11)} -attr vt d
-load net {ACC1:acc#165.itm(12)} -attr vt d
-load net {ACC1:acc#165.itm(13)} -attr vt d
-load net {ACC1:acc#165.itm(14)} -attr vt d
-load net {ACC1:acc#165.itm(15)} -attr vt d
-load net {ACC1:acc#165.itm(16)} -attr vt d
-load netBundle {ACC1:acc#165.itm} 17 {ACC1:acc#165.itm(0)} {ACC1:acc#165.itm(1)} {ACC1:acc#165.itm(2)} {ACC1:acc#165.itm(3)} {ACC1:acc#165.itm(4)} {ACC1:acc#165.itm(5)} {ACC1:acc#165.itm(6)} {ACC1:acc#165.itm(7)} {ACC1:acc#165.itm(8)} {ACC1:acc#165.itm(9)} {ACC1:acc#165.itm(10)} {ACC1:acc#165.itm(11)} {ACC1:acc#165.itm(12)} {ACC1:acc#165.itm(13)} {ACC1:acc#165.itm(14)} {ACC1:acc#165.itm(15)} {ACC1:acc#165.itm(16)} -attr xrf 18202 -attr oid 348 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:exs#68.itm(0)} -attr vt d
-load net {ACC1:exs#68.itm(1)} -attr vt d
-load net {ACC1:exs#68.itm(2)} -attr vt d
-load net {ACC1:exs#68.itm(3)} -attr vt d
-load net {ACC1:exs#68.itm(4)} -attr vt d
-load net {ACC1:exs#68.itm(5)} -attr vt d
-load net {ACC1:exs#68.itm(6)} -attr vt d
-load net {ACC1:exs#68.itm(7)} -attr vt d
-load net {ACC1:exs#68.itm(8)} -attr vt d
-load net {ACC1:exs#68.itm(9)} -attr vt d
-load net {ACC1:exs#68.itm(10)} -attr vt d
-load net {ACC1:exs#68.itm(11)} -attr vt d
-load net {ACC1:exs#68.itm(12)} -attr vt d
-load net {ACC1:exs#68.itm(13)} -attr vt d
-load net {ACC1:exs#68.itm(14)} -attr vt d
-load net {ACC1:exs#68.itm(15)} -attr vt d
-load netBundle {ACC1:exs#68.itm} 16 {ACC1:exs#68.itm(0)} {ACC1:exs#68.itm(1)} {ACC1:exs#68.itm(2)} {ACC1:exs#68.itm(3)} {ACC1:exs#68.itm(4)} {ACC1:exs#68.itm(5)} {ACC1:exs#68.itm(6)} {ACC1:exs#68.itm(7)} {ACC1:exs#68.itm(8)} {ACC1:exs#68.itm(9)} {ACC1:exs#68.itm(10)} {ACC1:exs#68.itm(11)} {ACC1:exs#68.itm(12)} {ACC1:exs#68.itm(13)} {ACC1:exs#68.itm(14)} {ACC1:exs#68.itm(15)} -attr xrf 18203 -attr oid 349 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {slc(regs.regs(0).sva#1).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#1).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#1).itm} 10 {slc(regs.regs(0).sva#1).itm(0)} {slc(regs.regs(0).sva#1).itm(1)} {slc(regs.regs(0).sva#1).itm(2)} {slc(regs.regs(0).sva#1).itm(3)} {slc(regs.regs(0).sva#1).itm(4)} {slc(regs.regs(0).sva#1).itm(5)} {slc(regs.regs(0).sva#1).itm(6)} {slc(regs.regs(0).sva#1).itm(7)} {slc(regs.regs(0).sva#1).itm(8)} {slc(regs.regs(0).sva#1).itm(9)} -attr xrf 18204 -attr oid 350 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1).itm}
-load net {ACC1:exs#69.itm(0)} -attr vt d
-load net {ACC1:exs#69.itm(1)} -attr vt d
-load net {ACC1:exs#69.itm(2)} -attr vt d
-load net {ACC1:exs#69.itm(3)} -attr vt d
-load net {ACC1:exs#69.itm(4)} -attr vt d
-load net {ACC1:exs#69.itm(5)} -attr vt d
-load net {ACC1:exs#69.itm(6)} -attr vt d
-load net {ACC1:exs#69.itm(7)} -attr vt d
-load net {ACC1:exs#69.itm(8)} -attr vt d
-load net {ACC1:exs#69.itm(9)} -attr vt d
-load net {ACC1:exs#69.itm(10)} -attr vt d
-load net {ACC1:exs#69.itm(11)} -attr vt d
-load net {ACC1:exs#69.itm(12)} -attr vt d
-load net {ACC1:exs#69.itm(13)} -attr vt d
-load net {ACC1:exs#69.itm(14)} -attr vt d
-load net {ACC1:exs#69.itm(15)} -attr vt d
-load netBundle {ACC1:exs#69.itm} 16 {ACC1:exs#69.itm(0)} {ACC1:exs#69.itm(1)} {ACC1:exs#69.itm(2)} {ACC1:exs#69.itm(3)} {ACC1:exs#69.itm(4)} {ACC1:exs#69.itm(5)} {ACC1:exs#69.itm(6)} {ACC1:exs#69.itm(7)} {ACC1:exs#69.itm(8)} {ACC1:exs#69.itm(9)} {ACC1:exs#69.itm(10)} {ACC1:exs#69.itm(11)} {ACC1:exs#69.itm(12)} {ACC1:exs#69.itm(13)} {ACC1:exs#69.itm(14)} {ACC1:exs#69.itm(15)} -attr xrf 18205 -attr oid 351 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {slc(regs.regs(0).sva#2).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#2).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#2).itm} 10 {slc(regs.regs(0).sva#2).itm(0)} {slc(regs.regs(0).sva#2).itm(1)} {slc(regs.regs(0).sva#2).itm(2)} {slc(regs.regs(0).sva#2).itm(3)} {slc(regs.regs(0).sva#2).itm(4)} {slc(regs.regs(0).sva#2).itm(5)} {slc(regs.regs(0).sva#2).itm(6)} {slc(regs.regs(0).sva#2).itm(7)} {slc(regs.regs(0).sva#2).itm(8)} {slc(regs.regs(0).sva#2).itm(9)} -attr xrf 18206 -attr oid 352 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2).itm}
-load net {ACC1:exs#67.itm(0)} -attr vt d
-load net {ACC1:exs#67.itm(1)} -attr vt d
-load net {ACC1:exs#67.itm(2)} -attr vt d
-load net {ACC1:exs#67.itm(3)} -attr vt d
-load net {ACC1:exs#67.itm(4)} -attr vt d
-load net {ACC1:exs#67.itm(5)} -attr vt d
-load net {ACC1:exs#67.itm(6)} -attr vt d
-load net {ACC1:exs#67.itm(7)} -attr vt d
-load net {ACC1:exs#67.itm(8)} -attr vt d
-load net {ACC1:exs#67.itm(9)} -attr vt d
-load net {ACC1:exs#67.itm(10)} -attr vt d
-load net {ACC1:exs#67.itm(11)} -attr vt d
-load net {ACC1:exs#67.itm(12)} -attr vt d
-load net {ACC1:exs#67.itm(13)} -attr vt d
-load net {ACC1:exs#67.itm(14)} -attr vt d
-load net {ACC1:exs#67.itm(15)} -attr vt d
-load netBundle {ACC1:exs#67.itm} 16 {ACC1:exs#67.itm(0)} {ACC1:exs#67.itm(1)} {ACC1:exs#67.itm(2)} {ACC1:exs#67.itm(3)} {ACC1:exs#67.itm(4)} {ACC1:exs#67.itm(5)} {ACC1:exs#67.itm(6)} {ACC1:exs#67.itm(7)} {ACC1:exs#67.itm(8)} {ACC1:exs#67.itm(9)} {ACC1:exs#67.itm(10)} {ACC1:exs#67.itm(11)} {ACC1:exs#67.itm(12)} {ACC1:exs#67.itm(13)} {ACC1:exs#67.itm(14)} {ACC1:exs#67.itm(15)} -attr xrf 18207 -attr oid 353 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {slc(regs.regs(0).sva#3).itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#3).itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#3).itm} 10 {slc(regs.regs(0).sva#3).itm(0)} {slc(regs.regs(0).sva#3).itm(1)} {slc(regs.regs(0).sva#3).itm(2)} {slc(regs.regs(0).sva#3).itm(3)} {slc(regs.regs(0).sva#3).itm(4)} {slc(regs.regs(0).sva#3).itm(5)} {slc(regs.regs(0).sva#3).itm(6)} {slc(regs.regs(0).sva#3).itm(7)} {slc(regs.regs(0).sva#3).itm(8)} {slc(regs.regs(0).sva#3).itm(9)} -attr xrf 18208 -attr oid 354 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3).itm}
-load net {ACC1:acc#177.itm(0)} -attr vt d
-load net {ACC1:acc#177.itm(1)} -attr vt d
-load net {ACC1:acc#177.itm(2)} -attr vt d
-load net {ACC1:acc#177.itm(3)} -attr vt d
-load net {ACC1:acc#177.itm(4)} -attr vt d
-load net {ACC1:acc#177.itm(5)} -attr vt d
-load net {ACC1:acc#177.itm(6)} -attr vt d
-load net {ACC1:acc#177.itm(7)} -attr vt d
-load net {ACC1:acc#177.itm(8)} -attr vt d
-load net {ACC1:acc#177.itm(9)} -attr vt d
-load net {ACC1:acc#177.itm(10)} -attr vt d
-load net {ACC1:acc#177.itm(11)} -attr vt d
-load net {ACC1:acc#177.itm(12)} -attr vt d
-load net {ACC1:acc#177.itm(13)} -attr vt d
-load net {ACC1:acc#177.itm(14)} -attr vt d
-load net {ACC1:acc#177.itm(15)} -attr vt d
-load net {ACC1:acc#177.itm(16)} -attr vt d
-load netBundle {ACC1:acc#177.itm} 17 {ACC1:acc#177.itm(0)} {ACC1:acc#177.itm(1)} {ACC1:acc#177.itm(2)} {ACC1:acc#177.itm(3)} {ACC1:acc#177.itm(4)} {ACC1:acc#177.itm(5)} {ACC1:acc#177.itm(6)} {ACC1:acc#177.itm(7)} {ACC1:acc#177.itm(8)} {ACC1:acc#177.itm(9)} {ACC1:acc#177.itm(10)} {ACC1:acc#177.itm(11)} {ACC1:acc#177.itm(12)} {ACC1:acc#177.itm(13)} {ACC1:acc#177.itm(14)} {ACC1:acc#177.itm(15)} {ACC1:acc#177.itm(16)} -attr xrf 18209 -attr oid 355 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:exs#95.itm(0)} -attr vt d
-load net {ACC1:exs#95.itm(1)} -attr vt d
-load net {ACC1:exs#95.itm(2)} -attr vt d
-load net {ACC1:exs#95.itm(3)} -attr vt d
-load net {ACC1:exs#95.itm(4)} -attr vt d
-load net {ACC1:exs#95.itm(5)} -attr vt d
-load net {ACC1:exs#95.itm(6)} -attr vt d
-load net {ACC1:exs#95.itm(7)} -attr vt d
-load net {ACC1:exs#95.itm(8)} -attr vt d
-load net {ACC1:exs#95.itm(9)} -attr vt d
-load net {ACC1:exs#95.itm(10)} -attr vt d
-load net {ACC1:exs#95.itm(11)} -attr vt d
-load net {ACC1:exs#95.itm(12)} -attr vt d
-load net {ACC1:exs#95.itm(13)} -attr vt d
-load net {ACC1:exs#95.itm(14)} -attr vt d
-load net {ACC1:exs#95.itm(15)} -attr vt d
-load netBundle {ACC1:exs#95.itm} 16 {ACC1:exs#95.itm(0)} {ACC1:exs#95.itm(1)} {ACC1:exs#95.itm(2)} {ACC1:exs#95.itm(3)} {ACC1:exs#95.itm(4)} {ACC1:exs#95.itm(5)} {ACC1:exs#95.itm(6)} {ACC1:exs#95.itm(7)} {ACC1:exs#95.itm(8)} {ACC1:exs#95.itm(9)} {ACC1:exs#95.itm(10)} {ACC1:exs#95.itm(11)} {ACC1:exs#95.itm(12)} {ACC1:exs#95.itm(13)} {ACC1:exs#95.itm(14)} {ACC1:exs#95.itm(15)} -attr xrf 18210 -attr oid 356 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:slc#27.itm(0)} -attr vt d
-load net {ACC1:slc#27.itm(1)} -attr vt d
-load net {ACC1:slc#27.itm(2)} -attr vt d
-load net {ACC1:slc#27.itm(3)} -attr vt d
-load net {ACC1:slc#27.itm(4)} -attr vt d
-load net {ACC1:slc#27.itm(5)} -attr vt d
-load net {ACC1:slc#27.itm(6)} -attr vt d
-load net {ACC1:slc#27.itm(7)} -attr vt d
-load net {ACC1:slc#27.itm(8)} -attr vt d
-load net {ACC1:slc#27.itm(9)} -attr vt d
-load net {ACC1:slc#27.itm(10)} -attr vt d
-load netBundle {ACC1:slc#27.itm} 11 {ACC1:slc#27.itm(0)} {ACC1:slc#27.itm(1)} {ACC1:slc#27.itm(2)} {ACC1:slc#27.itm(3)} {ACC1:slc#27.itm(4)} {ACC1:slc#27.itm(5)} {ACC1:slc#27.itm(6)} {ACC1:slc#27.itm(7)} {ACC1:slc#27.itm(8)} {ACC1:slc#27.itm(9)} {ACC1:slc#27.itm(10)} -attr xrf 18211 -attr oid 357 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#27.itm}
-load net {ACC1:acc#178.itm(0)} -attr vt d
-load net {ACC1:acc#178.itm(1)} -attr vt d
-load net {ACC1:acc#178.itm(2)} -attr vt d
-load net {ACC1:acc#178.itm(3)} -attr vt d
-load net {ACC1:acc#178.itm(4)} -attr vt d
-load net {ACC1:acc#178.itm(5)} -attr vt d
-load net {ACC1:acc#178.itm(6)} -attr vt d
-load net {ACC1:acc#178.itm(7)} -attr vt d
-load net {ACC1:acc#178.itm(8)} -attr vt d
-load net {ACC1:acc#178.itm(9)} -attr vt d
-load net {ACC1:acc#178.itm(10)} -attr vt d
-load net {ACC1:acc#178.itm(11)} -attr vt d
-load netBundle {ACC1:acc#178.itm} 12 {ACC1:acc#178.itm(0)} {ACC1:acc#178.itm(1)} {ACC1:acc#178.itm(2)} {ACC1:acc#178.itm(3)} {ACC1:acc#178.itm(4)} {ACC1:acc#178.itm(5)} {ACC1:acc#178.itm(6)} {ACC1:acc#178.itm(7)} {ACC1:acc#178.itm(8)} {ACC1:acc#178.itm(9)} {ACC1:acc#178.itm(10)} {ACC1:acc#178.itm(11)} -attr xrf 18212 -attr oid 358 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {conc#342.itm(0)} -attr vt d
-load net {conc#342.itm(1)} -attr vt d
-load net {conc#342.itm(2)} -attr vt d
-load net {conc#342.itm(3)} -attr vt d
-load net {conc#342.itm(4)} -attr vt d
-load net {conc#342.itm(5)} -attr vt d
-load net {conc#342.itm(6)} -attr vt d
-load net {conc#342.itm(7)} -attr vt d
-load net {conc#342.itm(8)} -attr vt d
-load net {conc#342.itm(9)} -attr vt d
-load net {conc#342.itm(10)} -attr vt d
-load netBundle {conc#342.itm} 11 {conc#342.itm(0)} {conc#342.itm(1)} {conc#342.itm(2)} {conc#342.itm(3)} {conc#342.itm(4)} {conc#342.itm(5)} {conc#342.itm(6)} {conc#342.itm(7)} {conc#342.itm(8)} {conc#342.itm(9)} {conc#342.itm(10)} -attr xrf 18213 -attr oid 359 -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(0)} -attr vt d
-load net {ACC1:not#73.itm(1)} -attr vt d
-load net {ACC1:not#73.itm(2)} -attr vt d
-load net {ACC1:not#73.itm(3)} -attr vt d
-load net {ACC1:not#73.itm(4)} -attr vt d
-load net {ACC1:not#73.itm(5)} -attr vt d
-load net {ACC1:not#73.itm(6)} -attr vt d
-load net {ACC1:not#73.itm(7)} -attr vt d
-load net {ACC1:not#73.itm(8)} -attr vt d
-load net {ACC1:not#73.itm(9)} -attr vt d
-load netBundle {ACC1:not#73.itm} 10 {ACC1:not#73.itm(0)} {ACC1:not#73.itm(1)} {ACC1:not#73.itm(2)} {ACC1:not#73.itm(3)} {ACC1:not#73.itm(4)} {ACC1:not#73.itm(5)} {ACC1:not#73.itm(6)} {ACC1:not#73.itm(7)} {ACC1:not#73.itm(8)} {ACC1:not#73.itm(9)} -attr xrf 18214 -attr oid 360 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {conc#343.itm(0)} -attr vt d
-load net {conc#343.itm(1)} -attr vt d
-load net {conc#343.itm(2)} -attr vt d
-load net {conc#343.itm(3)} -attr vt d
-load net {conc#343.itm(4)} -attr vt d
-load net {conc#343.itm(5)} -attr vt d
-load net {conc#343.itm(6)} -attr vt d
-load net {conc#343.itm(7)} -attr vt d
-load net {conc#343.itm(8)} -attr vt d
-load net {conc#343.itm(9)} -attr vt d
-load net {conc#343.itm(10)} -attr vt d
-load netBundle {conc#343.itm} 11 {conc#343.itm(0)} {conc#343.itm(1)} {conc#343.itm(2)} {conc#343.itm(3)} {conc#343.itm(4)} {conc#343.itm(5)} {conc#343.itm(6)} {conc#343.itm(7)} {conc#343.itm(8)} {conc#343.itm(9)} {conc#343.itm(10)} -attr xrf 18215 -attr oid 361 -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {slc(regs.regs(0).sva#4)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#4)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#4)#1.itm} 10 {slc(regs.regs(0).sva#4)#1.itm(0)} {slc(regs.regs(0).sva#4)#1.itm(1)} {slc(regs.regs(0).sva#4)#1.itm(2)} {slc(regs.regs(0).sva#4)#1.itm(3)} {slc(regs.regs(0).sva#4)#1.itm(4)} {slc(regs.regs(0).sva#4)#1.itm(5)} {slc(regs.regs(0).sva#4)#1.itm(6)} {slc(regs.regs(0).sva#4)#1.itm(7)} {slc(regs.regs(0).sva#4)#1.itm(8)} {slc(regs.regs(0).sva#4)#1.itm(9)} -attr xrf 18216 -attr oid 362 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#4)#1.itm}
-load net {ACC1:exs#96.itm(0)} -attr vt d
-load net {ACC1:exs#96.itm(1)} -attr vt d
-load net {ACC1:exs#96.itm(2)} -attr vt d
-load net {ACC1:exs#96.itm(3)} -attr vt d
-load net {ACC1:exs#96.itm(4)} -attr vt d
-load net {ACC1:exs#96.itm(5)} -attr vt d
-load net {ACC1:exs#96.itm(6)} -attr vt d
-load net {ACC1:exs#96.itm(7)} -attr vt d
-load net {ACC1:exs#96.itm(8)} -attr vt d
-load net {ACC1:exs#96.itm(9)} -attr vt d
-load net {ACC1:exs#96.itm(10)} -attr vt d
-load net {ACC1:exs#96.itm(11)} -attr vt d
-load net {ACC1:exs#96.itm(12)} -attr vt d
-load net {ACC1:exs#96.itm(13)} -attr vt d
-load net {ACC1:exs#96.itm(14)} -attr vt d
-load net {ACC1:exs#96.itm(15)} -attr vt d
-load netBundle {ACC1:exs#96.itm} 16 {ACC1:exs#96.itm(0)} {ACC1:exs#96.itm(1)} {ACC1:exs#96.itm(2)} {ACC1:exs#96.itm(3)} {ACC1:exs#96.itm(4)} {ACC1:exs#96.itm(5)} {ACC1:exs#96.itm(6)} {ACC1:exs#96.itm(7)} {ACC1:exs#96.itm(8)} {ACC1:exs#96.itm(9)} {ACC1:exs#96.itm(10)} {ACC1:exs#96.itm(11)} {ACC1:exs#96.itm(12)} {ACC1:exs#96.itm(13)} {ACC1:exs#96.itm(14)} {ACC1:exs#96.itm(15)} -attr xrf 18217 -attr oid 363 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:slc#28.itm(0)} -attr vt d
-load net {ACC1:slc#28.itm(1)} -attr vt d
-load net {ACC1:slc#28.itm(2)} -attr vt d
-load net {ACC1:slc#28.itm(3)} -attr vt d
-load net {ACC1:slc#28.itm(4)} -attr vt d
-load net {ACC1:slc#28.itm(5)} -attr vt d
-load net {ACC1:slc#28.itm(6)} -attr vt d
-load net {ACC1:slc#28.itm(7)} -attr vt d
-load net {ACC1:slc#28.itm(8)} -attr vt d
-load net {ACC1:slc#28.itm(9)} -attr vt d
-load net {ACC1:slc#28.itm(10)} -attr vt d
-load netBundle {ACC1:slc#28.itm} 11 {ACC1:slc#28.itm(0)} {ACC1:slc#28.itm(1)} {ACC1:slc#28.itm(2)} {ACC1:slc#28.itm(3)} {ACC1:slc#28.itm(4)} {ACC1:slc#28.itm(5)} {ACC1:slc#28.itm(6)} {ACC1:slc#28.itm(7)} {ACC1:slc#28.itm(8)} {ACC1:slc#28.itm(9)} {ACC1:slc#28.itm(10)} -attr xrf 18218 -attr oid 364 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#28.itm}
-load net {ACC1:acc#179.itm(0)} -attr vt d
-load net {ACC1:acc#179.itm(1)} -attr vt d
-load net {ACC1:acc#179.itm(2)} -attr vt d
-load net {ACC1:acc#179.itm(3)} -attr vt d
-load net {ACC1:acc#179.itm(4)} -attr vt d
-load net {ACC1:acc#179.itm(5)} -attr vt d
-load net {ACC1:acc#179.itm(6)} -attr vt d
-load net {ACC1:acc#179.itm(7)} -attr vt d
-load net {ACC1:acc#179.itm(8)} -attr vt d
-load net {ACC1:acc#179.itm(9)} -attr vt d
-load net {ACC1:acc#179.itm(10)} -attr vt d
-load net {ACC1:acc#179.itm(11)} -attr vt d
-load netBundle {ACC1:acc#179.itm} 12 {ACC1:acc#179.itm(0)} {ACC1:acc#179.itm(1)} {ACC1:acc#179.itm(2)} {ACC1:acc#179.itm(3)} {ACC1:acc#179.itm(4)} {ACC1:acc#179.itm(5)} {ACC1:acc#179.itm(6)} {ACC1:acc#179.itm(7)} {ACC1:acc#179.itm(8)} {ACC1:acc#179.itm(9)} {ACC1:acc#179.itm(10)} {ACC1:acc#179.itm(11)} -attr xrf 18219 -attr oid 365 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {conc#344.itm(0)} -attr vt d
-load net {conc#344.itm(1)} -attr vt d
-load net {conc#344.itm(2)} -attr vt d
-load net {conc#344.itm(3)} -attr vt d
-load net {conc#344.itm(4)} -attr vt d
-load net {conc#344.itm(5)} -attr vt d
-load net {conc#344.itm(6)} -attr vt d
-load net {conc#344.itm(7)} -attr vt d
-load net {conc#344.itm(8)} -attr vt d
-load net {conc#344.itm(9)} -attr vt d
-load net {conc#344.itm(10)} -attr vt d
-load netBundle {conc#344.itm} 11 {conc#344.itm(0)} {conc#344.itm(1)} {conc#344.itm(2)} {conc#344.itm(3)} {conc#344.itm(4)} {conc#344.itm(5)} {conc#344.itm(6)} {conc#344.itm(7)} {conc#344.itm(8)} {conc#344.itm(9)} {conc#344.itm(10)} -attr xrf 18220 -attr oid 366 -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(0)} -attr vt d
-load net {ACC1:not#74.itm(1)} -attr vt d
-load net {ACC1:not#74.itm(2)} -attr vt d
-load net {ACC1:not#74.itm(3)} -attr vt d
-load net {ACC1:not#74.itm(4)} -attr vt d
-load net {ACC1:not#74.itm(5)} -attr vt d
-load net {ACC1:not#74.itm(6)} -attr vt d
-load net {ACC1:not#74.itm(7)} -attr vt d
-load net {ACC1:not#74.itm(8)} -attr vt d
-load net {ACC1:not#74.itm(9)} -attr vt d
-load netBundle {ACC1:not#74.itm} 10 {ACC1:not#74.itm(0)} {ACC1:not#74.itm(1)} {ACC1:not#74.itm(2)} {ACC1:not#74.itm(3)} {ACC1:not#74.itm(4)} {ACC1:not#74.itm(5)} {ACC1:not#74.itm(6)} {ACC1:not#74.itm(7)} {ACC1:not#74.itm(8)} {ACC1:not#74.itm(9)} -attr xrf 18221 -attr oid 367 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {conc#345.itm(0)} -attr vt d
-load net {conc#345.itm(1)} -attr vt d
-load net {conc#345.itm(2)} -attr vt d
-load net {conc#345.itm(3)} -attr vt d
-load net {conc#345.itm(4)} -attr vt d
-load net {conc#345.itm(5)} -attr vt d
-load net {conc#345.itm(6)} -attr vt d
-load net {conc#345.itm(7)} -attr vt d
-load net {conc#345.itm(8)} -attr vt d
-load net {conc#345.itm(9)} -attr vt d
-load net {conc#345.itm(10)} -attr vt d
-load netBundle {conc#345.itm} 11 {conc#345.itm(0)} {conc#345.itm(1)} {conc#345.itm(2)} {conc#345.itm(3)} {conc#345.itm(4)} {conc#345.itm(5)} {conc#345.itm(6)} {conc#345.itm(7)} {conc#345.itm(8)} {conc#345.itm(9)} {conc#345.itm(10)} -attr xrf 18222 -attr oid 368 -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {slc(regs.regs(0).sva#5)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#5)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#5)#1.itm} 10 {slc(regs.regs(0).sva#5)#1.itm(0)} {slc(regs.regs(0).sva#5)#1.itm(1)} {slc(regs.regs(0).sva#5)#1.itm(2)} {slc(regs.regs(0).sva#5)#1.itm(3)} {slc(regs.regs(0).sva#5)#1.itm(4)} {slc(regs.regs(0).sva#5)#1.itm(5)} {slc(regs.regs(0).sva#5)#1.itm(6)} {slc(regs.regs(0).sva#5)#1.itm(7)} {slc(regs.regs(0).sva#5)#1.itm(8)} {slc(regs.regs(0).sva#5)#1.itm(9)} -attr xrf 18223 -attr oid 369 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#5)#1.itm}
-load net {ACC1:exs#94.itm(0)} -attr vt d
-load net {ACC1:exs#94.itm(1)} -attr vt d
-load net {ACC1:exs#94.itm(2)} -attr vt d
-load net {ACC1:exs#94.itm(3)} -attr vt d
-load net {ACC1:exs#94.itm(4)} -attr vt d
-load net {ACC1:exs#94.itm(5)} -attr vt d
-load net {ACC1:exs#94.itm(6)} -attr vt d
-load net {ACC1:exs#94.itm(7)} -attr vt d
-load net {ACC1:exs#94.itm(8)} -attr vt d
-load net {ACC1:exs#94.itm(9)} -attr vt d
-load net {ACC1:exs#94.itm(10)} -attr vt d
-load net {ACC1:exs#94.itm(11)} -attr vt d
-load net {ACC1:exs#94.itm(12)} -attr vt d
-load net {ACC1:exs#94.itm(13)} -attr vt d
-load net {ACC1:exs#94.itm(14)} -attr vt d
-load net {ACC1:exs#94.itm(15)} -attr vt d
-load netBundle {ACC1:exs#94.itm} 16 {ACC1:exs#94.itm(0)} {ACC1:exs#94.itm(1)} {ACC1:exs#94.itm(2)} {ACC1:exs#94.itm(3)} {ACC1:exs#94.itm(4)} {ACC1:exs#94.itm(5)} {ACC1:exs#94.itm(6)} {ACC1:exs#94.itm(7)} {ACC1:exs#94.itm(8)} {ACC1:exs#94.itm(9)} {ACC1:exs#94.itm(10)} {ACC1:exs#94.itm(11)} {ACC1:exs#94.itm(12)} {ACC1:exs#94.itm(13)} {ACC1:exs#94.itm(14)} {ACC1:exs#94.itm(15)} -attr xrf 18224 -attr oid 370 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:slc#29.itm(0)} -attr vt d
-load net {ACC1:slc#29.itm(1)} -attr vt d
-load net {ACC1:slc#29.itm(2)} -attr vt d
-load net {ACC1:slc#29.itm(3)} -attr vt d
-load net {ACC1:slc#29.itm(4)} -attr vt d
-load net {ACC1:slc#29.itm(5)} -attr vt d
-load net {ACC1:slc#29.itm(6)} -attr vt d
-load net {ACC1:slc#29.itm(7)} -attr vt d
-load net {ACC1:slc#29.itm(8)} -attr vt d
-load net {ACC1:slc#29.itm(9)} -attr vt d
-load net {ACC1:slc#29.itm(10)} -attr vt d
-load netBundle {ACC1:slc#29.itm} 11 {ACC1:slc#29.itm(0)} {ACC1:slc#29.itm(1)} {ACC1:slc#29.itm(2)} {ACC1:slc#29.itm(3)} {ACC1:slc#29.itm(4)} {ACC1:slc#29.itm(5)} {ACC1:slc#29.itm(6)} {ACC1:slc#29.itm(7)} {ACC1:slc#29.itm(8)} {ACC1:slc#29.itm(9)} {ACC1:slc#29.itm(10)} -attr xrf 18225 -attr oid 371 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#29.itm}
-load net {ACC1:acc#180.itm(0)} -attr vt d
-load net {ACC1:acc#180.itm(1)} -attr vt d
-load net {ACC1:acc#180.itm(2)} -attr vt d
-load net {ACC1:acc#180.itm(3)} -attr vt d
-load net {ACC1:acc#180.itm(4)} -attr vt d
-load net {ACC1:acc#180.itm(5)} -attr vt d
-load net {ACC1:acc#180.itm(6)} -attr vt d
-load net {ACC1:acc#180.itm(7)} -attr vt d
-load net {ACC1:acc#180.itm(8)} -attr vt d
-load net {ACC1:acc#180.itm(9)} -attr vt d
-load net {ACC1:acc#180.itm(10)} -attr vt d
-load net {ACC1:acc#180.itm(11)} -attr vt d
-load netBundle {ACC1:acc#180.itm} 12 {ACC1:acc#180.itm(0)} {ACC1:acc#180.itm(1)} {ACC1:acc#180.itm(2)} {ACC1:acc#180.itm(3)} {ACC1:acc#180.itm(4)} {ACC1:acc#180.itm(5)} {ACC1:acc#180.itm(6)} {ACC1:acc#180.itm(7)} {ACC1:acc#180.itm(8)} {ACC1:acc#180.itm(9)} {ACC1:acc#180.itm(10)} {ACC1:acc#180.itm(11)} -attr xrf 18226 -attr oid 372 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {conc#346.itm(0)} -attr vt d
-load net {conc#346.itm(1)} -attr vt d
-load net {conc#346.itm(2)} -attr vt d
-load net {conc#346.itm(3)} -attr vt d
-load net {conc#346.itm(4)} -attr vt d
-load net {conc#346.itm(5)} -attr vt d
-load net {conc#346.itm(6)} -attr vt d
-load net {conc#346.itm(7)} -attr vt d
-load net {conc#346.itm(8)} -attr vt d
-load net {conc#346.itm(9)} -attr vt d
-load net {conc#346.itm(10)} -attr vt d
-load netBundle {conc#346.itm} 11 {conc#346.itm(0)} {conc#346.itm(1)} {conc#346.itm(2)} {conc#346.itm(3)} {conc#346.itm(4)} {conc#346.itm(5)} {conc#346.itm(6)} {conc#346.itm(7)} {conc#346.itm(8)} {conc#346.itm(9)} {conc#346.itm(10)} -attr xrf 18227 -attr oid 373 -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(0)} -attr vt d
-load net {ACC1:not#72.itm(1)} -attr vt d
-load net {ACC1:not#72.itm(2)} -attr vt d
-load net {ACC1:not#72.itm(3)} -attr vt d
-load net {ACC1:not#72.itm(4)} -attr vt d
-load net {ACC1:not#72.itm(5)} -attr vt d
-load net {ACC1:not#72.itm(6)} -attr vt d
-load net {ACC1:not#72.itm(7)} -attr vt d
-load net {ACC1:not#72.itm(8)} -attr vt d
-load net {ACC1:not#72.itm(9)} -attr vt d
-load netBundle {ACC1:not#72.itm} 10 {ACC1:not#72.itm(0)} {ACC1:not#72.itm(1)} {ACC1:not#72.itm(2)} {ACC1:not#72.itm(3)} {ACC1:not#72.itm(4)} {ACC1:not#72.itm(5)} {ACC1:not#72.itm(6)} {ACC1:not#72.itm(7)} {ACC1:not#72.itm(8)} {ACC1:not#72.itm(9)} -attr xrf 18228 -attr oid 374 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {conc#347.itm(0)} -attr vt d
-load net {conc#347.itm(1)} -attr vt d
-load net {conc#347.itm(2)} -attr vt d
-load net {conc#347.itm(3)} -attr vt d
-load net {conc#347.itm(4)} -attr vt d
-load net {conc#347.itm(5)} -attr vt d
-load net {conc#347.itm(6)} -attr vt d
-load net {conc#347.itm(7)} -attr vt d
-load net {conc#347.itm(8)} -attr vt d
-load net {conc#347.itm(9)} -attr vt d
-load net {conc#347.itm(10)} -attr vt d
-load netBundle {conc#347.itm} 11 {conc#347.itm(0)} {conc#347.itm(1)} {conc#347.itm(2)} {conc#347.itm(3)} {conc#347.itm(4)} {conc#347.itm(5)} {conc#347.itm(6)} {conc#347.itm(7)} {conc#347.itm(8)} {conc#347.itm(9)} {conc#347.itm(10)} -attr xrf 18229 -attr oid 375 -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {slc(regs.regs(0).sva#6)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#6)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#6)#1.itm} 10 {slc(regs.regs(0).sva#6)#1.itm(0)} {slc(regs.regs(0).sva#6)#1.itm(1)} {slc(regs.regs(0).sva#6)#1.itm(2)} {slc(regs.regs(0).sva#6)#1.itm(3)} {slc(regs.regs(0).sva#6)#1.itm(4)} {slc(regs.regs(0).sva#6)#1.itm(5)} {slc(regs.regs(0).sva#6)#1.itm(6)} {slc(regs.regs(0).sva#6)#1.itm(7)} {slc(regs.regs(0).sva#6)#1.itm(8)} {slc(regs.regs(0).sva#6)#1.itm(9)} -attr xrf 18230 -attr oid 376 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#6)#1.itm}
-load net {ACC1:acc#192.itm(0)} -attr vt d
-load net {ACC1:acc#192.itm(1)} -attr vt d
-load net {ACC1:acc#192.itm(2)} -attr vt d
-load net {ACC1:acc#192.itm(3)} -attr vt d
-load net {ACC1:acc#192.itm(4)} -attr vt d
-load net {ACC1:acc#192.itm(5)} -attr vt d
-load net {ACC1:acc#192.itm(6)} -attr vt d
-load net {ACC1:acc#192.itm(7)} -attr vt d
-load net {ACC1:acc#192.itm(8)} -attr vt d
-load net {ACC1:acc#192.itm(9)} -attr vt d
-load net {ACC1:acc#192.itm(10)} -attr vt d
-load net {ACC1:acc#192.itm(11)} -attr vt d
-load net {ACC1:acc#192.itm(12)} -attr vt d
-load net {ACC1:acc#192.itm(13)} -attr vt d
-load net {ACC1:acc#192.itm(14)} -attr vt d
-load net {ACC1:acc#192.itm(15)} -attr vt d
-load net {ACC1:acc#192.itm(16)} -attr vt d
-load netBundle {ACC1:acc#192.itm} 17 {ACC1:acc#192.itm(0)} {ACC1:acc#192.itm(1)} {ACC1:acc#192.itm(2)} {ACC1:acc#192.itm(3)} {ACC1:acc#192.itm(4)} {ACC1:acc#192.itm(5)} {ACC1:acc#192.itm(6)} {ACC1:acc#192.itm(7)} {ACC1:acc#192.itm(8)} {ACC1:acc#192.itm(9)} {ACC1:acc#192.itm(10)} {ACC1:acc#192.itm(11)} {ACC1:acc#192.itm(12)} {ACC1:acc#192.itm(13)} {ACC1:acc#192.itm(14)} {ACC1:acc#192.itm(15)} {ACC1:acc#192.itm(16)} -attr xrf 18231 -attr oid 377 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:exs#74.itm(0)} -attr vt d
-load net {ACC1:exs#74.itm(1)} -attr vt d
-load net {ACC1:exs#74.itm(2)} -attr vt d
-load net {ACC1:exs#74.itm(3)} -attr vt d
-load net {ACC1:exs#74.itm(4)} -attr vt d
-load net {ACC1:exs#74.itm(5)} -attr vt d
-load net {ACC1:exs#74.itm(6)} -attr vt d
-load net {ACC1:exs#74.itm(7)} -attr vt d
-load net {ACC1:exs#74.itm(8)} -attr vt d
-load net {ACC1:exs#74.itm(9)} -attr vt d
-load net {ACC1:exs#74.itm(10)} -attr vt d
-load net {ACC1:exs#74.itm(11)} -attr vt d
-load net {ACC1:exs#74.itm(12)} -attr vt d
-load net {ACC1:exs#74.itm(13)} -attr vt d
-load net {ACC1:exs#74.itm(14)} -attr vt d
-load net {ACC1:exs#74.itm(15)} -attr vt d
-load netBundle {ACC1:exs#74.itm} 16 {ACC1:exs#74.itm(0)} {ACC1:exs#74.itm(1)} {ACC1:exs#74.itm(2)} {ACC1:exs#74.itm(3)} {ACC1:exs#74.itm(4)} {ACC1:exs#74.itm(5)} {ACC1:exs#74.itm(6)} {ACC1:exs#74.itm(7)} {ACC1:exs#74.itm(8)} {ACC1:exs#74.itm(9)} {ACC1:exs#74.itm(10)} {ACC1:exs#74.itm(11)} {ACC1:exs#74.itm(12)} {ACC1:exs#74.itm(13)} {ACC1:exs#74.itm(14)} {ACC1:exs#74.itm(15)} -attr xrf 18232 -attr oid 378 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(0)} -attr vt d
-load net {ACC1-3:acc#22.itm(1)} -attr vt d
-load net {ACC1-3:acc#22.itm(2)} -attr vt d
-load net {ACC1-3:acc#22.itm(3)} -attr vt d
-load net {ACC1-3:acc#22.itm(4)} -attr vt d
-load net {ACC1-3:acc#22.itm(5)} -attr vt d
-load net {ACC1-3:acc#22.itm(6)} -attr vt d
-load net {ACC1-3:acc#22.itm(7)} -attr vt d
-load net {ACC1-3:acc#22.itm(8)} -attr vt d
-load net {ACC1-3:acc#22.itm(9)} -attr vt d
-load net {ACC1-3:acc#22.itm(10)} -attr vt d
-load netBundle {ACC1-3:acc#22.itm} 11 {ACC1-3:acc#22.itm(0)} {ACC1-3:acc#22.itm(1)} {ACC1-3:acc#22.itm(2)} {ACC1-3:acc#22.itm(3)} {ACC1-3:acc#22.itm(4)} {ACC1-3:acc#22.itm(5)} {ACC1-3:acc#22.itm(6)} {ACC1-3:acc#22.itm(7)} {ACC1-3:acc#22.itm(8)} {ACC1-3:acc#22.itm(9)} {ACC1-3:acc#22.itm(10)} -attr xrf 18233 -attr oid 379 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {slc(regs.regs(0).sva#1)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#1)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#1)#1.itm} 10 {slc(regs.regs(0).sva#1)#1.itm(0)} {slc(regs.regs(0).sva#1)#1.itm(1)} {slc(regs.regs(0).sva#1)#1.itm(2)} {slc(regs.regs(0).sva#1)#1.itm(3)} {slc(regs.regs(0).sva#1)#1.itm(4)} {slc(regs.regs(0).sva#1)#1.itm(5)} {slc(regs.regs(0).sva#1)#1.itm(6)} {slc(regs.regs(0).sva#1)#1.itm(7)} {slc(regs.regs(0).sva#1)#1.itm(8)} {slc(regs.regs(0).sva#1)#1.itm(9)} -attr xrf 18234 -attr oid 380 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {ACC1:exs#75.itm(0)} -attr vt d
-load net {ACC1:exs#75.itm(1)} -attr vt d
-load net {ACC1:exs#75.itm(2)} -attr vt d
-load net {ACC1:exs#75.itm(3)} -attr vt d
-load net {ACC1:exs#75.itm(4)} -attr vt d
-load net {ACC1:exs#75.itm(5)} -attr vt d
-load net {ACC1:exs#75.itm(6)} -attr vt d
-load net {ACC1:exs#75.itm(7)} -attr vt d
-load net {ACC1:exs#75.itm(8)} -attr vt d
-load net {ACC1:exs#75.itm(9)} -attr vt d
-load net {ACC1:exs#75.itm(10)} -attr vt d
-load net {ACC1:exs#75.itm(11)} -attr vt d
-load net {ACC1:exs#75.itm(12)} -attr vt d
-load net {ACC1:exs#75.itm(13)} -attr vt d
-load net {ACC1:exs#75.itm(14)} -attr vt d
-load net {ACC1:exs#75.itm(15)} -attr vt d
-load netBundle {ACC1:exs#75.itm} 16 {ACC1:exs#75.itm(0)} {ACC1:exs#75.itm(1)} {ACC1:exs#75.itm(2)} {ACC1:exs#75.itm(3)} {ACC1:exs#75.itm(4)} {ACC1:exs#75.itm(5)} {ACC1:exs#75.itm(6)} {ACC1:exs#75.itm(7)} {ACC1:exs#75.itm(8)} {ACC1:exs#75.itm(9)} {ACC1:exs#75.itm(10)} {ACC1:exs#75.itm(11)} {ACC1:exs#75.itm(12)} {ACC1:exs#75.itm(13)} {ACC1:exs#75.itm(14)} {ACC1:exs#75.itm(15)} -attr xrf 18235 -attr oid 381 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(0)} -attr vt d
-load net {ACC1-3:acc#25.itm(1)} -attr vt d
-load net {ACC1-3:acc#25.itm(2)} -attr vt d
-load net {ACC1-3:acc#25.itm(3)} -attr vt d
-load net {ACC1-3:acc#25.itm(4)} -attr vt d
-load net {ACC1-3:acc#25.itm(5)} -attr vt d
-load net {ACC1-3:acc#25.itm(6)} -attr vt d
-load net {ACC1-3:acc#25.itm(7)} -attr vt d
-load net {ACC1-3:acc#25.itm(8)} -attr vt d
-load net {ACC1-3:acc#25.itm(9)} -attr vt d
-load net {ACC1-3:acc#25.itm(10)} -attr vt d
-load netBundle {ACC1-3:acc#25.itm} 11 {ACC1-3:acc#25.itm(0)} {ACC1-3:acc#25.itm(1)} {ACC1-3:acc#25.itm(2)} {ACC1-3:acc#25.itm(3)} {ACC1-3:acc#25.itm(4)} {ACC1-3:acc#25.itm(5)} {ACC1-3:acc#25.itm(6)} {ACC1-3:acc#25.itm(7)} {ACC1-3:acc#25.itm(8)} {ACC1-3:acc#25.itm(9)} {ACC1-3:acc#25.itm(10)} -attr xrf 18236 -attr oid 382 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {slc(regs.regs(0).sva#2)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#2)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#2)#1.itm} 10 {slc(regs.regs(0).sva#2)#1.itm(0)} {slc(regs.regs(0).sva#2)#1.itm(1)} {slc(regs.regs(0).sva#2)#1.itm(2)} {slc(regs.regs(0).sva#2)#1.itm(3)} {slc(regs.regs(0).sva#2)#1.itm(4)} {slc(regs.regs(0).sva#2)#1.itm(5)} {slc(regs.regs(0).sva#2)#1.itm(6)} {slc(regs.regs(0).sva#2)#1.itm(7)} {slc(regs.regs(0).sva#2)#1.itm(8)} {slc(regs.regs(0).sva#2)#1.itm(9)} -attr xrf 18237 -attr oid 383 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {ACC1:exs#73.itm(0)} -attr vt d
-load net {ACC1:exs#73.itm(1)} -attr vt d
-load net {ACC1:exs#73.itm(2)} -attr vt d
-load net {ACC1:exs#73.itm(3)} -attr vt d
-load net {ACC1:exs#73.itm(4)} -attr vt d
-load net {ACC1:exs#73.itm(5)} -attr vt d
-load net {ACC1:exs#73.itm(6)} -attr vt d
-load net {ACC1:exs#73.itm(7)} -attr vt d
-load net {ACC1:exs#73.itm(8)} -attr vt d
-load net {ACC1:exs#73.itm(9)} -attr vt d
-load net {ACC1:exs#73.itm(10)} -attr vt d
-load net {ACC1:exs#73.itm(11)} -attr vt d
-load net {ACC1:exs#73.itm(12)} -attr vt d
-load net {ACC1:exs#73.itm(13)} -attr vt d
-load net {ACC1:exs#73.itm(14)} -attr vt d
-load net {ACC1:exs#73.itm(15)} -attr vt d
-load netBundle {ACC1:exs#73.itm} 16 {ACC1:exs#73.itm(0)} {ACC1:exs#73.itm(1)} {ACC1:exs#73.itm(2)} {ACC1:exs#73.itm(3)} {ACC1:exs#73.itm(4)} {ACC1:exs#73.itm(5)} {ACC1:exs#73.itm(6)} {ACC1:exs#73.itm(7)} {ACC1:exs#73.itm(8)} {ACC1:exs#73.itm(9)} {ACC1:exs#73.itm(10)} {ACC1:exs#73.itm(11)} {ACC1:exs#73.itm(12)} {ACC1:exs#73.itm(13)} {ACC1:exs#73.itm(14)} {ACC1:exs#73.itm(15)} -attr xrf 18238 -attr oid 384 -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(0)} -attr vt d
-load net {ACC1-3:acc#19.itm(1)} -attr vt d
-load net {ACC1-3:acc#19.itm(2)} -attr vt d
-load net {ACC1-3:acc#19.itm(3)} -attr vt d
-load net {ACC1-3:acc#19.itm(4)} -attr vt d
-load net {ACC1-3:acc#19.itm(5)} -attr vt d
-load net {ACC1-3:acc#19.itm(6)} -attr vt d
-load net {ACC1-3:acc#19.itm(7)} -attr vt d
-load net {ACC1-3:acc#19.itm(8)} -attr vt d
-load net {ACC1-3:acc#19.itm(9)} -attr vt d
-load net {ACC1-3:acc#19.itm(10)} -attr vt d
-load netBundle {ACC1-3:acc#19.itm} 11 {ACC1-3:acc#19.itm(0)} {ACC1-3:acc#19.itm(1)} {ACC1-3:acc#19.itm(2)} {ACC1-3:acc#19.itm(3)} {ACC1-3:acc#19.itm(4)} {ACC1-3:acc#19.itm(5)} {ACC1-3:acc#19.itm(6)} {ACC1-3:acc#19.itm(7)} {ACC1-3:acc#19.itm(8)} {ACC1-3:acc#19.itm(9)} {ACC1-3:acc#19.itm(10)} -attr xrf 18239 -attr oid 385 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {slc(regs.regs(0).sva#3)#1.itm(0)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(1)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(2)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(3)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(4)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(5)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(6)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(7)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(8)} -attr vt d
-load net {slc(regs.regs(0).sva#3)#1.itm(9)} -attr vt d
-load netBundle {slc(regs.regs(0).sva#3)#1.itm} 10 {slc(regs.regs(0).sva#3)#1.itm(0)} {slc(regs.regs(0).sva#3)#1.itm(1)} {slc(regs.regs(0).sva#3)#1.itm(2)} {slc(regs.regs(0).sva#3)#1.itm(3)} {slc(regs.regs(0).sva#3)#1.itm(4)} {slc(regs.regs(0).sva#3)#1.itm(5)} {slc(regs.regs(0).sva#3)#1.itm(6)} {slc(regs.regs(0).sva#3)#1.itm(7)} {slc(regs.regs(0).sva#3)#1.itm(8)} {slc(regs.regs(0).sva#3)#1.itm(9)} -attr xrf 18240 -attr oid 386 -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {ACC1:acc#162.itm(0)} -attr vt d
-load net {ACC1:acc#162.itm(1)} -attr vt d
-load net {ACC1:acc#162.itm(2)} -attr vt d
-load net {ACC1:acc#162.itm(3)} -attr vt d
-load net {ACC1:acc#162.itm(4)} -attr vt d
-load net {ACC1:acc#162.itm(5)} -attr vt d
-load netBundle {ACC1:acc#162.itm} 6 {ACC1:acc#162.itm(0)} {ACC1:acc#162.itm(1)} {ACC1:acc#162.itm(2)} {ACC1:acc#162.itm(3)} {ACC1:acc#162.itm(4)} {ACC1:acc#162.itm(5)} -attr xrf 18241 -attr oid 387 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load net {conc#348.itm(0)} -attr vt d
-load net {conc#348.itm(1)} -attr vt d
-load net {conc#348.itm(2)} -attr vt d
-load net {conc#348.itm(3)} -attr vt d
-load net {conc#348.itm(4)} -attr vt d
-load net {conc#348.itm(5)} -attr vt d
-load netBundle {conc#348.itm} 6 {conc#348.itm(0)} {conc#348.itm(1)} {conc#348.itm(2)} {conc#348.itm(3)} {conc#348.itm(4)} {conc#348.itm(5)} -attr xrf 18242 -attr oid 388 -attr vt d -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1:slc#12.itm(0)} -attr vt d
-load net {ACC1:slc#12.itm(1)} -attr vt d
-load net {ACC1:slc#12.itm(2)} -attr vt d
-load net {ACC1:slc#12.itm(3)} -attr vt d
-load net {ACC1:slc#12.itm(4)} -attr vt d
-load netBundle {ACC1:slc#12.itm} 5 {ACC1:slc#12.itm(0)} {ACC1:slc#12.itm(1)} {ACC1:slc#12.itm(2)} {ACC1:slc#12.itm(3)} {ACC1:slc#12.itm(4)} -attr xrf 18243 -attr oid 389 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#12.itm}
-load net {ACC1:acc#161.itm(0)} -attr vt d
-load net {ACC1:acc#161.itm(1)} -attr vt d
-load net {ACC1:acc#161.itm(2)} -attr vt d
-load net {ACC1:acc#161.itm(3)} -attr vt d
-load net {ACC1:acc#161.itm(4)} -attr vt d
-load net {ACC1:acc#161.itm(5)} -attr vt d
-load netBundle {ACC1:acc#161.itm} 6 {ACC1:acc#161.itm(0)} {ACC1:acc#161.itm(1)} {ACC1:acc#161.itm(2)} {ACC1:acc#161.itm(3)} {ACC1:acc#161.itm(4)} {ACC1:acc#161.itm(5)} -attr xrf 18244 -attr oid 390 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load net {conc#349.itm(0)} -attr vt d
-load net {conc#349.itm(1)} -attr vt d
-load net {conc#349.itm(2)} -attr vt d
-load net {conc#349.itm(3)} -attr vt d
-load net {conc#349.itm(4)} -attr vt d
-load netBundle {conc#349.itm} 5 {conc#349.itm(0)} {conc#349.itm(1)} {conc#349.itm(2)} {conc#349.itm(3)} {conc#349.itm(4)} -attr xrf 18245 -attr oid 391 -attr vt d -attr @path {/sobel/sobel:core/conc#349.itm}
-load net {ACC1:slc#11.itm(0)} -attr vt d
-load net {ACC1:slc#11.itm(1)} -attr vt d
-load net {ACC1:slc#11.itm(2)} -attr vt d
-load net {ACC1:slc#11.itm(3)} -attr vt d
-load netBundle {ACC1:slc#11.itm} 4 {ACC1:slc#11.itm(0)} {ACC1:slc#11.itm(1)} {ACC1:slc#11.itm(2)} {ACC1:slc#11.itm(3)} -attr xrf 18246 -attr oid 392 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#11.itm}
-load net {ACC1:acc#160.itm(0)} -attr vt d
-load net {ACC1:acc#160.itm(1)} -attr vt d
-load net {ACC1:acc#160.itm(2)} -attr vt d
-load net {ACC1:acc#160.itm(3)} -attr vt d
-load net {ACC1:acc#160.itm(4)} -attr vt d
-load netBundle {ACC1:acc#160.itm} 5 {ACC1:acc#160.itm(0)} {ACC1:acc#160.itm(1)} {ACC1:acc#160.itm(2)} {ACC1:acc#160.itm(3)} {ACC1:acc#160.itm(4)} -attr xrf 18247 -attr oid 393 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
-load net {conc#350.itm(0)} -attr vt d
-load net {conc#350.itm(1)} -attr vt d
-load net {conc#350.itm(2)} -attr vt d
-load net {conc#350.itm(3)} -attr vt d
-load netBundle {conc#350.itm} 4 {conc#350.itm(0)} {conc#350.itm(1)} {conc#350.itm(2)} {conc#350.itm(3)} -attr xrf 18248 -attr oid 394 -attr vt d -attr @path {/sobel/sobel:core/conc#350.itm}
-load net {ACC1:slc#9.itm(0)} -attr vt d
-load net {ACC1:slc#9.itm(1)} -attr vt d
-load net {ACC1:slc#9.itm(2)} -attr vt d
-load netBundle {ACC1:slc#9.itm} 3 {ACC1:slc#9.itm(0)} {ACC1:slc#9.itm(1)} {ACC1:slc#9.itm(2)} -attr xrf 18249 -attr oid 395 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#9.itm}
-load net {ACC1:acc#158.itm(0)} -attr vt d
-load net {ACC1:acc#158.itm(1)} -attr vt d
-load net {ACC1:acc#158.itm(2)} -attr vt d
-load net {ACC1:acc#158.itm(3)} -attr vt d
-load netBundle {ACC1:acc#158.itm} 4 {ACC1:acc#158.itm(0)} {ACC1:acc#158.itm(1)} {ACC1:acc#158.itm(2)} {ACC1:acc#158.itm(3)} -attr xrf 18250 -attr oid 396 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
-load net {conc#351.itm(0)} -attr vt d
-load net {conc#351.itm(1)} -attr vt d
-load net {conc#351.itm(2)} -attr vt d
-load netBundle {conc#351.itm} 3 {conc#351.itm(0)} {conc#351.itm(1)} {conc#351.itm(2)} -attr xrf 18251 -attr oid 397 -attr vt d -attr @path {/sobel/sobel:core/conc#351.itm}
-load net {ACC1:slc#5.itm(0)} -attr vt d
-load net {ACC1:slc#5.itm(1)} -attr vt d
-load netBundle {ACC1:slc#5.itm} 2 {ACC1:slc#5.itm(0)} {ACC1:slc#5.itm(1)} -attr xrf 18252 -attr oid 398 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#5.itm}
-load net {ACC1:acc#154.itm(0)} -attr vt d
-load net {ACC1:acc#154.itm(1)} -attr vt d
-load net {ACC1:acc#154.itm(2)} -attr vt d
-load netBundle {ACC1:acc#154.itm} 3 {ACC1:acc#154.itm(0)} {ACC1:acc#154.itm(1)} {ACC1:acc#154.itm(2)} -attr xrf 18253 -attr oid 399 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
-load net {conc#352.itm(0)} -attr vt d
-load net {conc#352.itm(1)} -attr vt d
-load netBundle {conc#352.itm} 2 {conc#352.itm(0)} {conc#352.itm(1)} -attr xrf 18254 -attr oid 400 -attr vt d -attr @path {/sobel/sobel:core/conc#352.itm}
-load net {conc#353.itm(0)} -attr vt d
-load net {conc#353.itm(1)} -attr vt d
-load netBundle {conc#353.itm} 2 {conc#353.itm(0)} {conc#353.itm(1)} -attr xrf 18255 -attr oid 401 -attr vt d -attr @path {/sobel/sobel:core/conc#353.itm}
-load net {ACC1:conc#299.itm(0)} -attr vt d
-load net {ACC1:conc#299.itm(1)} -attr vt d
-load netBundle {ACC1:conc#299.itm} 2 {ACC1:conc#299.itm(0)} {ACC1:conc#299.itm(1)} -attr xrf 18256 -attr oid 402 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#299.itm}
-load net {ACC1:conc#303.itm(0)} -attr vt d
-load net {ACC1:conc#303.itm(1)} -attr vt d
-load net {ACC1:conc#303.itm(2)} -attr vt d
-load netBundle {ACC1:conc#303.itm} 3 {ACC1:conc#303.itm(0)} {ACC1:conc#303.itm(1)} {ACC1:conc#303.itm(2)} -attr xrf 18257 -attr oid 403 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#303.itm}
-load net {ACC1:slc#8.itm(0)} -attr vt d
-load net {ACC1:slc#8.itm(1)} -attr vt d
-load netBundle {ACC1:slc#8.itm} 2 {ACC1:slc#8.itm(0)} {ACC1:slc#8.itm(1)} -attr xrf 18258 -attr oid 404 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#8.itm}
-load net {ACC1:acc#157.itm(0)} -attr vt d
-load net {ACC1:acc#157.itm(1)} -attr vt d
-load net {ACC1:acc#157.itm(2)} -attr vt d
-load netBundle {ACC1:acc#157.itm} 3 {ACC1:acc#157.itm(0)} {ACC1:acc#157.itm(1)} {ACC1:acc#157.itm(2)} -attr xrf 18259 -attr oid 405 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
-load net {conc#354.itm(0)} -attr vt d
-load net {conc#354.itm(1)} -attr vt d
-load netBundle {conc#354.itm} 2 {conc#354.itm(0)} {conc#354.itm(1)} -attr xrf 18260 -attr oid 406 -attr vt d -attr @path {/sobel/sobel:core/conc#354.itm}
-load net {ACC1:conc#297.itm(0)} -attr vt d
-load net {ACC1:conc#297.itm(1)} -attr vt d
-load netBundle {ACC1:conc#297.itm} 2 {ACC1:conc#297.itm(0)} {ACC1:conc#297.itm(1)} -attr xrf 18261 -attr oid 407 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#297.itm}
-load net {ACC1:conc#305.itm(0)} -attr vt d
-load net {ACC1:conc#305.itm(1)} -attr vt d
-load net {ACC1:conc#305.itm(2)} -attr vt d
-load net {ACC1:conc#305.itm(3)} -attr vt d
-load netBundle {ACC1:conc#305.itm} 4 {ACC1:conc#305.itm(0)} {ACC1:conc#305.itm(1)} {ACC1:conc#305.itm(2)} {ACC1:conc#305.itm(3)} -attr xrf 18262 -attr oid 408 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#305.itm}
-load net {ACC1:slc#10.itm(0)} -attr vt d
-load net {ACC1:slc#10.itm(1)} -attr vt d
-load net {ACC1:slc#10.itm(2)} -attr vt d
-load netBundle {ACC1:slc#10.itm} 3 {ACC1:slc#10.itm(0)} {ACC1:slc#10.itm(1)} {ACC1:slc#10.itm(2)} -attr xrf 18263 -attr oid 409 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#10.itm}
-load net {ACC1:acc#159.itm(0)} -attr vt d
-load net {ACC1:acc#159.itm(1)} -attr vt d
-load net {ACC1:acc#159.itm(2)} -attr vt d
-load net {ACC1:acc#159.itm(3)} -attr vt d
-load netBundle {ACC1:acc#159.itm} 4 {ACC1:acc#159.itm(0)} {ACC1:acc#159.itm(1)} {ACC1:acc#159.itm(2)} {ACC1:acc#159.itm(3)} -attr xrf 18264 -attr oid 410 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
-load net {conc#355.itm(0)} -attr vt d
-load net {conc#355.itm(1)} -attr vt d
-load net {conc#355.itm(2)} -attr vt d
-load netBundle {conc#355.itm} 3 {conc#355.itm(0)} {conc#355.itm(1)} {conc#355.itm(2)} -attr xrf 18265 -attr oid 411 -attr vt d -attr @path {/sobel/sobel:core/conc#355.itm}
-load net {ACC1:slc#7.itm(0)} -attr vt d
-load net {ACC1:slc#7.itm(1)} -attr vt d
-load netBundle {ACC1:slc#7.itm} 2 {ACC1:slc#7.itm(0)} {ACC1:slc#7.itm(1)} -attr xrf 18266 -attr oid 412 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#7.itm}
-load net {ACC1:acc#156.itm(0)} -attr vt d
-load net {ACC1:acc#156.itm(1)} -attr vt d
-load net {ACC1:acc#156.itm(2)} -attr vt d
-load netBundle {ACC1:acc#156.itm} 3 {ACC1:acc#156.itm(0)} {ACC1:acc#156.itm(1)} {ACC1:acc#156.itm(2)} -attr xrf 18267 -attr oid 413 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
-load net {conc#356.itm(0)} -attr vt d
-load net {conc#356.itm(1)} -attr vt d
-load netBundle {conc#356.itm} 2 {conc#356.itm(0)} {conc#356.itm(1)} -attr xrf 18268 -attr oid 414 -attr vt d -attr @path {/sobel/sobel:core/conc#356.itm}
-load net {ACC1:conc#295.itm(0)} -attr vt d
-load net {ACC1:conc#295.itm(1)} -attr vt d
-load netBundle {ACC1:conc#295.itm} 2 {ACC1:conc#295.itm(0)} {ACC1:conc#295.itm(1)} -attr xrf 18269 -attr oid 415 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#295.itm}
-load net {ACC1:conc#301.itm(0)} -attr vt d
-load net {ACC1:conc#301.itm(1)} -attr vt d
-load net {ACC1:conc#301.itm(2)} -attr vt d
-load netBundle {ACC1:conc#301.itm} 3 {ACC1:conc#301.itm(0)} {ACC1:conc#301.itm(1)} {ACC1:conc#301.itm(2)} -attr xrf 18270 -attr oid 416 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#301.itm}
-load net {ACC1:slc#6.itm(0)} -attr vt d
-load net {ACC1:slc#6.itm(1)} -attr vt d
-load netBundle {ACC1:slc#6.itm} 2 {ACC1:slc#6.itm(0)} {ACC1:slc#6.itm(1)} -attr xrf 18271 -attr oid 417 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#6.itm}
-load net {ACC1:acc#155.itm(0)} -attr vt d
-load net {ACC1:acc#155.itm(1)} -attr vt d
-load net {ACC1:acc#155.itm(2)} -attr vt d
-load netBundle {ACC1:acc#155.itm} 3 {ACC1:acc#155.itm(0)} {ACC1:acc#155.itm(1)} {ACC1:acc#155.itm(2)} -attr xrf 18272 -attr oid 418 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
-load net {conc#357.itm(0)} -attr vt d
-load net {conc#357.itm(1)} -attr vt d
-load netBundle {conc#357.itm} 2 {conc#357.itm(0)} {conc#357.itm(1)} -attr xrf 18273 -attr oid 419 -attr vt d -attr @path {/sobel/sobel:core/conc#357.itm}
-load net {ACC1:conc#293.itm(0)} -attr vt d
-load net {ACC1:conc#293.itm(1)} -attr vt d
-load netBundle {ACC1:conc#293.itm} 2 {ACC1:conc#293.itm(0)} {ACC1:conc#293.itm(1)} -attr xrf 18274 -attr oid 420 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#293.itm}
-load net {conc#358.itm(0)} -attr vt d
-load net {conc#358.itm(1)} -attr vt d
-load net {conc#358.itm(2)} -attr vt d
-load net {conc#358.itm(3)} -attr vt d
-load net {conc#358.itm(4)} -attr vt d
-load net {conc#358.itm(5)} -attr vt d
-load netBundle {conc#358.itm} 6 {conc#358.itm(0)} {conc#358.itm(1)} {conc#358.itm(2)} {conc#358.itm(3)} {conc#358.itm(4)} {conc#358.itm(5)} -attr xrf 18275 -attr oid 421 -attr vt d -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {ACC1:slc#15.itm(0)} -attr vt d
-load net {ACC1:slc#15.itm(1)} -attr vt d
-load net {ACC1:slc#15.itm(2)} -attr vt d
-load netBundle {ACC1:slc#15.itm} 3 {ACC1:slc#15.itm(0)} {ACC1:slc#15.itm(1)} {ACC1:slc#15.itm(2)} -attr xrf 18276 -attr oid 422 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#15.itm}
-load net {ACC1:acc#164.itm(0)} -attr vt d
-load net {ACC1:acc#164.itm(1)} -attr vt d
-load net {ACC1:acc#164.itm(2)} -attr vt d
-load net {ACC1:acc#164.itm(3)} -attr vt d
-load netBundle {ACC1:acc#164.itm} 4 {ACC1:acc#164.itm(0)} {ACC1:acc#164.itm(1)} {ACC1:acc#164.itm(2)} {ACC1:acc#164.itm(3)} -attr xrf 18277 -attr oid 423 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
-load net {conc#359.itm(0)} -attr vt d
-load net {conc#359.itm(1)} -attr vt d
-load net {conc#359.itm(2)} -attr vt d
-load netBundle {conc#359.itm} 3 {conc#359.itm(0)} {conc#359.itm(1)} {conc#359.itm(2)} -attr xrf 18278 -attr oid 424 -attr vt d -attr @path {/sobel/sobel:core/conc#359.itm}
-load net {ACC1:slc#14.itm(0)} -attr vt d
-load net {ACC1:slc#14.itm(1)} -attr vt d
-load netBundle {ACC1:slc#14.itm} 2 {ACC1:slc#14.itm(0)} {ACC1:slc#14.itm(1)} -attr xrf 18279 -attr oid 425 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#14.itm}
-load net {ACC1:acc#163.itm(0)} -attr vt d
-load net {ACC1:acc#163.itm(1)} -attr vt d
-load net {ACC1:acc#163.itm(2)} -attr vt d
-load netBundle {ACC1:acc#163.itm} 3 {ACC1:acc#163.itm(0)} {ACC1:acc#163.itm(1)} {ACC1:acc#163.itm(2)} -attr xrf 18280 -attr oid 426 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
-load net {conc#360.itm(0)} -attr vt d
-load net {conc#360.itm(1)} -attr vt d
-load netBundle {conc#360.itm} 2 {conc#360.itm(0)} {conc#360.itm(1)} -attr xrf 18281 -attr oid 427 -attr vt d -attr @path {/sobel/sobel:core/conc#360.itm}
-load net {conc#361.itm(0)} -attr vt d
-load net {conc#361.itm(1)} -attr vt d
-load netBundle {conc#361.itm} 2 {conc#361.itm(0)} {conc#361.itm(1)} -attr xrf 18282 -attr oid 428 -attr vt d -attr @path {/sobel/sobel:core/conc#361.itm}
-load net {ACC1:conc#312.itm(0)} -attr vt d
-load net {ACC1:conc#312.itm(1)} -attr vt d
-load netBundle {ACC1:conc#312.itm} 2 {ACC1:conc#312.itm(0)} {ACC1:conc#312.itm(1)} -attr xrf 18283 -attr oid 429 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#312.itm}
-load net {conc#362.itm(0)} -attr vt d
-load net {conc#362.itm(1)} -attr vt d
-load net {conc#362.itm(2)} -attr vt d
-load netBundle {conc#362.itm} 3 {conc#362.itm(0)} {conc#362.itm(1)} {conc#362.itm(2)} -attr xrf 18284 -attr oid 430 -attr vt d -attr @path {/sobel/sobel:core/conc#362.itm}
-load net {ACC1:acc#201.itm(0)} -attr vt d
-load net {ACC1:acc#201.itm(1)} -attr vt d
-load net {ACC1:acc#201.itm(2)} -attr vt d
-load net {ACC1:acc#201.itm(3)} -attr vt d
-load net {ACC1:acc#201.itm(4)} -attr vt d
-load net {ACC1:acc#201.itm(5)} -attr vt d
-load netBundle {ACC1:acc#201.itm} 6 {ACC1:acc#201.itm(0)} {ACC1:acc#201.itm(1)} {ACC1:acc#201.itm(2)} {ACC1:acc#201.itm(3)} {ACC1:acc#201.itm(4)} {ACC1:acc#201.itm(5)} -attr xrf 18285 -attr oid 431 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load net {conc#363.itm(0)} -attr vt d
-load net {conc#363.itm(1)} -attr vt d
-load net {conc#363.itm(2)} -attr vt d
-load net {conc#363.itm(3)} -attr vt d
-load net {conc#363.itm(4)} -attr vt d
-load net {conc#363.itm(5)} -attr vt d
-load netBundle {conc#363.itm} 6 {conc#363.itm(0)} {conc#363.itm(1)} {conc#363.itm(2)} {conc#363.itm(3)} {conc#363.itm(4)} {conc#363.itm(5)} -attr xrf 18286 -attr oid 432 -attr vt d -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1:slc#48.itm(0)} -attr vt d
-load net {ACC1:slc#48.itm(1)} -attr vt d
-load net {ACC1:slc#48.itm(2)} -attr vt d
-load net {ACC1:slc#48.itm(3)} -attr vt d
-load net {ACC1:slc#48.itm(4)} -attr vt d
-load netBundle {ACC1:slc#48.itm} 5 {ACC1:slc#48.itm(0)} {ACC1:slc#48.itm(1)} {ACC1:slc#48.itm(2)} {ACC1:slc#48.itm(3)} {ACC1:slc#48.itm(4)} -attr xrf 18287 -attr oid 433 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#48.itm}
-load net {ACC1:acc#200.itm(0)} -attr vt d
-load net {ACC1:acc#200.itm(1)} -attr vt d
-load net {ACC1:acc#200.itm(2)} -attr vt d
-load net {ACC1:acc#200.itm(3)} -attr vt d
-load net {ACC1:acc#200.itm(4)} -attr vt d
-load net {ACC1:acc#200.itm(5)} -attr vt d
-load netBundle {ACC1:acc#200.itm} 6 {ACC1:acc#200.itm(0)} {ACC1:acc#200.itm(1)} {ACC1:acc#200.itm(2)} {ACC1:acc#200.itm(3)} {ACC1:acc#200.itm(4)} {ACC1:acc#200.itm(5)} -attr xrf 18288 -attr oid 434 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load net {conc#364.itm(0)} -attr vt d
-load net {conc#364.itm(1)} -attr vt d
-load net {conc#364.itm(2)} -attr vt d
-load net {conc#364.itm(3)} -attr vt d
-load net {conc#364.itm(4)} -attr vt d
-load netBundle {conc#364.itm} 5 {conc#364.itm(0)} {conc#364.itm(1)} {conc#364.itm(2)} {conc#364.itm(3)} {conc#364.itm(4)} -attr xrf 18289 -attr oid 435 -attr vt d -attr @path {/sobel/sobel:core/conc#364.itm}
-load net {ACC1:slc#47.itm(0)} -attr vt d
-load net {ACC1:slc#47.itm(1)} -attr vt d
-load net {ACC1:slc#47.itm(2)} -attr vt d
-load net {ACC1:slc#47.itm(3)} -attr vt d
-load netBundle {ACC1:slc#47.itm} 4 {ACC1:slc#47.itm(0)} {ACC1:slc#47.itm(1)} {ACC1:slc#47.itm(2)} {ACC1:slc#47.itm(3)} -attr xrf 18290 -attr oid 436 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#47.itm}
-load net {ACC1:acc#199.itm(0)} -attr vt d
-load net {ACC1:acc#199.itm(1)} -attr vt d
-load net {ACC1:acc#199.itm(2)} -attr vt d
-load net {ACC1:acc#199.itm(3)} -attr vt d
-load net {ACC1:acc#199.itm(4)} -attr vt d
-load netBundle {ACC1:acc#199.itm} 5 {ACC1:acc#199.itm(0)} {ACC1:acc#199.itm(1)} {ACC1:acc#199.itm(2)} {ACC1:acc#199.itm(3)} {ACC1:acc#199.itm(4)} -attr xrf 18291 -attr oid 437 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
-load net {conc#365.itm(0)} -attr vt d
-load net {conc#365.itm(1)} -attr vt d
-load net {conc#365.itm(2)} -attr vt d
-load net {conc#365.itm(3)} -attr vt d
-load netBundle {conc#365.itm} 4 {conc#365.itm(0)} {conc#365.itm(1)} {conc#365.itm(2)} {conc#365.itm(3)} -attr xrf 18292 -attr oid 438 -attr vt d -attr @path {/sobel/sobel:core/conc#365.itm}
-load net {ACC1:slc#45.itm(0)} -attr vt d
-load net {ACC1:slc#45.itm(1)} -attr vt d
-load net {ACC1:slc#45.itm(2)} -attr vt d
-load netBundle {ACC1:slc#45.itm} 3 {ACC1:slc#45.itm(0)} {ACC1:slc#45.itm(1)} {ACC1:slc#45.itm(2)} -attr xrf 18293 -attr oid 439 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#45.itm}
-load net {ACC1:acc#197.itm(0)} -attr vt d
-load net {ACC1:acc#197.itm(1)} -attr vt d
-load net {ACC1:acc#197.itm(2)} -attr vt d
-load net {ACC1:acc#197.itm(3)} -attr vt d
-load netBundle {ACC1:acc#197.itm} 4 {ACC1:acc#197.itm(0)} {ACC1:acc#197.itm(1)} {ACC1:acc#197.itm(2)} {ACC1:acc#197.itm(3)} -attr xrf 18294 -attr oid 440 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.itm}
-load net {conc#366.itm(0)} -attr vt d
-load net {conc#366.itm(1)} -attr vt d
-load net {conc#366.itm(2)} -attr vt d
-load netBundle {conc#366.itm} 3 {conc#366.itm(0)} {conc#366.itm(1)} {conc#366.itm(2)} -attr xrf 18295 -attr oid 441 -attr vt d -attr @path {/sobel/sobel:core/conc#366.itm}
-load net {ACC1:slc#41.itm(0)} -attr vt d
-load net {ACC1:slc#41.itm(1)} -attr vt d
-load netBundle {ACC1:slc#41.itm} 2 {ACC1:slc#41.itm(0)} {ACC1:slc#41.itm(1)} -attr xrf 18296 -attr oid 442 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#41.itm}
-load net {ACC1:acc#193.itm(0)} -attr vt d
-load net {ACC1:acc#193.itm(1)} -attr vt d
-load net {ACC1:acc#193.itm(2)} -attr vt d
-load netBundle {ACC1:acc#193.itm} 3 {ACC1:acc#193.itm(0)} {ACC1:acc#193.itm(1)} {ACC1:acc#193.itm(2)} -attr xrf 18297 -attr oid 443 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
-load net {conc#367.itm(0)} -attr vt d
-load net {conc#367.itm(1)} -attr vt d
-load netBundle {conc#367.itm} 2 {conc#367.itm(0)} {conc#367.itm(1)} -attr xrf 18298 -attr oid 444 -attr vt d -attr @path {/sobel/sobel:core/conc#367.itm}
-load net {conc#368.itm(0)} -attr vt d
-load net {conc#368.itm(1)} -attr vt d
-load netBundle {conc#368.itm} 2 {conc#368.itm(0)} {conc#368.itm(1)} -attr xrf 18299 -attr oid 445 -attr vt d -attr @path {/sobel/sobel:core/conc#368.itm}
-load net {ACC1:conc#377.itm(0)} -attr vt d
-load net {ACC1:conc#377.itm(1)} -attr vt d
-load netBundle {ACC1:conc#377.itm} 2 {ACC1:conc#377.itm(0)} {ACC1:conc#377.itm(1)} -attr xrf 18300 -attr oid 446 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#377.itm}
-load net {ACC1:conc#381.itm(0)} -attr vt d
-load net {ACC1:conc#381.itm(1)} -attr vt d
-load net {ACC1:conc#381.itm(2)} -attr vt d
-load netBundle {ACC1:conc#381.itm} 3 {ACC1:conc#381.itm(0)} {ACC1:conc#381.itm(1)} {ACC1:conc#381.itm(2)} -attr xrf 18301 -attr oid 447 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#381.itm}
-load net {ACC1:slc#44.itm(0)} -attr vt d
-load net {ACC1:slc#44.itm(1)} -attr vt d
-load netBundle {ACC1:slc#44.itm} 2 {ACC1:slc#44.itm(0)} {ACC1:slc#44.itm(1)} -attr xrf 18302 -attr oid 448 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#44.itm}
-load net {ACC1:acc#196.itm(0)} -attr vt d
-load net {ACC1:acc#196.itm(1)} -attr vt d
-load net {ACC1:acc#196.itm(2)} -attr vt d
-load netBundle {ACC1:acc#196.itm} 3 {ACC1:acc#196.itm(0)} {ACC1:acc#196.itm(1)} {ACC1:acc#196.itm(2)} -attr xrf 18303 -attr oid 449 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#196.itm}
-load net {conc#369.itm(0)} -attr vt d
-load net {conc#369.itm(1)} -attr vt d
-load netBundle {conc#369.itm} 2 {conc#369.itm(0)} {conc#369.itm(1)} -attr xrf 18304 -attr oid 450 -attr vt d -attr @path {/sobel/sobel:core/conc#369.itm}
-load net {ACC1:conc#375.itm(0)} -attr vt d
-load net {ACC1:conc#375.itm(1)} -attr vt d
-load netBundle {ACC1:conc#375.itm} 2 {ACC1:conc#375.itm(0)} {ACC1:conc#375.itm(1)} -attr xrf 18305 -attr oid 451 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#375.itm}
-load net {ACC1:conc#383.itm(0)} -attr vt d
-load net {ACC1:conc#383.itm(1)} -attr vt d
-load net {ACC1:conc#383.itm(2)} -attr vt d
-load net {ACC1:conc#383.itm(3)} -attr vt d
-load netBundle {ACC1:conc#383.itm} 4 {ACC1:conc#383.itm(0)} {ACC1:conc#383.itm(1)} {ACC1:conc#383.itm(2)} {ACC1:conc#383.itm(3)} -attr xrf 18306 -attr oid 452 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#383.itm}
-load net {ACC1:slc#46.itm(0)} -attr vt d
-load net {ACC1:slc#46.itm(1)} -attr vt d
-load net {ACC1:slc#46.itm(2)} -attr vt d
-load netBundle {ACC1:slc#46.itm} 3 {ACC1:slc#46.itm(0)} {ACC1:slc#46.itm(1)} {ACC1:slc#46.itm(2)} -attr xrf 18307 -attr oid 453 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#46.itm}
-load net {ACC1:acc#198.itm(0)} -attr vt d
-load net {ACC1:acc#198.itm(1)} -attr vt d
-load net {ACC1:acc#198.itm(2)} -attr vt d
-load net {ACC1:acc#198.itm(3)} -attr vt d
-load netBundle {ACC1:acc#198.itm} 4 {ACC1:acc#198.itm(0)} {ACC1:acc#198.itm(1)} {ACC1:acc#198.itm(2)} {ACC1:acc#198.itm(3)} -attr xrf 18308 -attr oid 454 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
-load net {conc#370.itm(0)} -attr vt d
-load net {conc#370.itm(1)} -attr vt d
-load net {conc#370.itm(2)} -attr vt d
-load netBundle {conc#370.itm} 3 {conc#370.itm(0)} {conc#370.itm(1)} {conc#370.itm(2)} -attr xrf 18309 -attr oid 455 -attr vt d -attr @path {/sobel/sobel:core/conc#370.itm}
-load net {ACC1:slc#43.itm(0)} -attr vt d
-load net {ACC1:slc#43.itm(1)} -attr vt d
-load netBundle {ACC1:slc#43.itm} 2 {ACC1:slc#43.itm(0)} {ACC1:slc#43.itm(1)} -attr xrf 18310 -attr oid 456 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#43.itm}
-load net {ACC1:acc#195.itm(0)} -attr vt d
-load net {ACC1:acc#195.itm(1)} -attr vt d
-load net {ACC1:acc#195.itm(2)} -attr vt d
-load netBundle {ACC1:acc#195.itm} 3 {ACC1:acc#195.itm(0)} {ACC1:acc#195.itm(1)} {ACC1:acc#195.itm(2)} -attr xrf 18311 -attr oid 457 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
-load net {conc#371.itm(0)} -attr vt d
-load net {conc#371.itm(1)} -attr vt d
-load netBundle {conc#371.itm} 2 {conc#371.itm(0)} {conc#371.itm(1)} -attr xrf 18312 -attr oid 458 -attr vt d -attr @path {/sobel/sobel:core/conc#371.itm}
-load net {ACC1:conc#373.itm(0)} -attr vt d
-load net {ACC1:conc#373.itm(1)} -attr vt d
-load netBundle {ACC1:conc#373.itm} 2 {ACC1:conc#373.itm(0)} {ACC1:conc#373.itm(1)} -attr xrf 18313 -attr oid 459 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#373.itm}
-load net {ACC1:conc#379.itm(0)} -attr vt d
-load net {ACC1:conc#379.itm(1)} -attr vt d
-load net {ACC1:conc#379.itm(2)} -attr vt d
-load netBundle {ACC1:conc#379.itm} 3 {ACC1:conc#379.itm(0)} {ACC1:conc#379.itm(1)} {ACC1:conc#379.itm(2)} -attr xrf 18314 -attr oid 460 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#379.itm}
-load net {ACC1:slc#42.itm(0)} -attr vt d
-load net {ACC1:slc#42.itm(1)} -attr vt d
-load netBundle {ACC1:slc#42.itm} 2 {ACC1:slc#42.itm(0)} {ACC1:slc#42.itm(1)} -attr xrf 18315 -attr oid 461 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#42.itm}
-load net {ACC1:acc#194.itm(0)} -attr vt d
-load net {ACC1:acc#194.itm(1)} -attr vt d
-load net {ACC1:acc#194.itm(2)} -attr vt d
-load netBundle {ACC1:acc#194.itm} 3 {ACC1:acc#194.itm(0)} {ACC1:acc#194.itm(1)} {ACC1:acc#194.itm(2)} -attr xrf 18316 -attr oid 462 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
-load net {conc#372.itm(0)} -attr vt d
-load net {conc#372.itm(1)} -attr vt d
-load netBundle {conc#372.itm} 2 {conc#372.itm(0)} {conc#372.itm(1)} -attr xrf 18317 -attr oid 463 -attr vt d -attr @path {/sobel/sobel:core/conc#372.itm}
-load net {ACC1:conc#371.itm(0)} -attr vt d
-load net {ACC1:conc#371.itm(1)} -attr vt d
-load netBundle {ACC1:conc#371.itm} 2 {ACC1:conc#371.itm(0)} {ACC1:conc#371.itm(1)} -attr xrf 18318 -attr oid 464 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#371.itm}
-load net {conc#373.itm(0)} -attr vt d
-load net {conc#373.itm(1)} -attr vt d
-load net {conc#373.itm(2)} -attr vt d
-load net {conc#373.itm(3)} -attr vt d
-load net {conc#373.itm(4)} -attr vt d
-load net {conc#373.itm(5)} -attr vt d
-load netBundle {conc#373.itm} 6 {conc#373.itm(0)} {conc#373.itm(1)} {conc#373.itm(2)} {conc#373.itm(3)} {conc#373.itm(4)} {conc#373.itm(5)} -attr xrf 18319 -attr oid 465 -attr vt d -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {ACC1:acc#174.itm(0)} -attr vt d
-load net {ACC1:acc#174.itm(1)} -attr vt d
-load net {ACC1:acc#174.itm(2)} -attr vt d
-load net {ACC1:acc#174.itm(3)} -attr vt d
-load net {ACC1:acc#174.itm(4)} -attr vt d
-load net {ACC1:acc#174.itm(5)} -attr vt d
-load netBundle {ACC1:acc#174.itm} 6 {ACC1:acc#174.itm(0)} {ACC1:acc#174.itm(1)} {ACC1:acc#174.itm(2)} {ACC1:acc#174.itm(3)} {ACC1:acc#174.itm(4)} {ACC1:acc#174.itm(5)} -attr xrf 18320 -attr oid 466 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load net {conc#374.itm(0)} -attr vt d
-load net {conc#374.itm(1)} -attr vt d
-load net {conc#374.itm(2)} -attr vt d
-load net {conc#374.itm(3)} -attr vt d
-load net {conc#374.itm(4)} -attr vt d
-load net {conc#374.itm(5)} -attr vt d
-load netBundle {conc#374.itm} 6 {conc#374.itm(0)} {conc#374.itm(1)} {conc#374.itm(2)} {conc#374.itm(3)} {conc#374.itm(4)} {conc#374.itm(5)} -attr xrf 18321 -attr oid 467 -attr vt d -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1:slc#23.itm(0)} -attr vt d
-load net {ACC1:slc#23.itm(1)} -attr vt d
-load net {ACC1:slc#23.itm(2)} -attr vt d
-load net {ACC1:slc#23.itm(3)} -attr vt d
-load net {ACC1:slc#23.itm(4)} -attr vt d
-load netBundle {ACC1:slc#23.itm} 5 {ACC1:slc#23.itm(0)} {ACC1:slc#23.itm(1)} {ACC1:slc#23.itm(2)} {ACC1:slc#23.itm(3)} {ACC1:slc#23.itm(4)} -attr xrf 18322 -attr oid 468 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#23.itm}
-load net {ACC1:acc#173.itm(0)} -attr vt d
-load net {ACC1:acc#173.itm(1)} -attr vt d
-load net {ACC1:acc#173.itm(2)} -attr vt d
-load net {ACC1:acc#173.itm(3)} -attr vt d
-load net {ACC1:acc#173.itm(4)} -attr vt d
-load net {ACC1:acc#173.itm(5)} -attr vt d
-load netBundle {ACC1:acc#173.itm} 6 {ACC1:acc#173.itm(0)} {ACC1:acc#173.itm(1)} {ACC1:acc#173.itm(2)} {ACC1:acc#173.itm(3)} {ACC1:acc#173.itm(4)} {ACC1:acc#173.itm(5)} -attr xrf 18323 -attr oid 469 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load net {conc#375.itm(0)} -attr vt d
-load net {conc#375.itm(1)} -attr vt d
-load net {conc#375.itm(2)} -attr vt d
-load net {conc#375.itm(3)} -attr vt d
-load net {conc#375.itm(4)} -attr vt d
-load netBundle {conc#375.itm} 5 {conc#375.itm(0)} {conc#375.itm(1)} {conc#375.itm(2)} {conc#375.itm(3)} {conc#375.itm(4)} -attr xrf 18324 -attr oid 470 -attr vt d -attr @path {/sobel/sobel:core/conc#375.itm}
-load net {ACC1:slc#22.itm(0)} -attr vt d
-load net {ACC1:slc#22.itm(1)} -attr vt d
-load net {ACC1:slc#22.itm(2)} -attr vt d
-load net {ACC1:slc#22.itm(3)} -attr vt d
-load netBundle {ACC1:slc#22.itm} 4 {ACC1:slc#22.itm(0)} {ACC1:slc#22.itm(1)} {ACC1:slc#22.itm(2)} {ACC1:slc#22.itm(3)} -attr xrf 18325 -attr oid 471 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#22.itm}
-load net {ACC1:acc#172.itm(0)} -attr vt d
-load net {ACC1:acc#172.itm(1)} -attr vt d
-load net {ACC1:acc#172.itm(2)} -attr vt d
-load net {ACC1:acc#172.itm(3)} -attr vt d
-load net {ACC1:acc#172.itm(4)} -attr vt d
-load netBundle {ACC1:acc#172.itm} 5 {ACC1:acc#172.itm(0)} {ACC1:acc#172.itm(1)} {ACC1:acc#172.itm(2)} {ACC1:acc#172.itm(3)} {ACC1:acc#172.itm(4)} -attr xrf 18326 -attr oid 472 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
-load net {conc#376.itm(0)} -attr vt d
-load net {conc#376.itm(1)} -attr vt d
-load net {conc#376.itm(2)} -attr vt d
-load net {conc#376.itm(3)} -attr vt d
-load netBundle {conc#376.itm} 4 {conc#376.itm(0)} {conc#376.itm(1)} {conc#376.itm(2)} {conc#376.itm(3)} -attr xrf 18327 -attr oid 473 -attr vt d -attr @path {/sobel/sobel:core/conc#376.itm}
-load net {ACC1:slc#20.itm(0)} -attr vt d
-load net {ACC1:slc#20.itm(1)} -attr vt d
-load net {ACC1:slc#20.itm(2)} -attr vt d
-load netBundle {ACC1:slc#20.itm} 3 {ACC1:slc#20.itm(0)} {ACC1:slc#20.itm(1)} {ACC1:slc#20.itm(2)} -attr xrf 18328 -attr oid 474 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#20.itm}
-load net {ACC1:acc#170.itm(0)} -attr vt d
-load net {ACC1:acc#170.itm(1)} -attr vt d
-load net {ACC1:acc#170.itm(2)} -attr vt d
-load net {ACC1:acc#170.itm(3)} -attr vt d
-load netBundle {ACC1:acc#170.itm} 4 {ACC1:acc#170.itm(0)} {ACC1:acc#170.itm(1)} {ACC1:acc#170.itm(2)} {ACC1:acc#170.itm(3)} -attr xrf 18329 -attr oid 475 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
-load net {conc#377.itm(0)} -attr vt d
-load net {conc#377.itm(1)} -attr vt d
-load net {conc#377.itm(2)} -attr vt d
-load netBundle {conc#377.itm} 3 {conc#377.itm(0)} {conc#377.itm(1)} {conc#377.itm(2)} -attr xrf 18330 -attr oid 476 -attr vt d -attr @path {/sobel/sobel:core/conc#377.itm}
-load net {ACC1:slc#16.itm(0)} -attr vt d
-load net {ACC1:slc#16.itm(1)} -attr vt d
-load netBundle {ACC1:slc#16.itm} 2 {ACC1:slc#16.itm(0)} {ACC1:slc#16.itm(1)} -attr xrf 18331 -attr oid 477 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#16.itm}
-load net {ACC1:acc#166.itm(0)} -attr vt d
-load net {ACC1:acc#166.itm(1)} -attr vt d
-load net {ACC1:acc#166.itm(2)} -attr vt d
-load netBundle {ACC1:acc#166.itm} 3 {ACC1:acc#166.itm(0)} {ACC1:acc#166.itm(1)} {ACC1:acc#166.itm(2)} -attr xrf 18332 -attr oid 478 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
-load net {conc#378.itm(0)} -attr vt d
-load net {conc#378.itm(1)} -attr vt d
-load netBundle {conc#378.itm} 2 {conc#378.itm(0)} {conc#378.itm(1)} -attr xrf 18333 -attr oid 479 -attr vt d -attr @path {/sobel/sobel:core/conc#378.itm}
-load net {conc#379.itm(0)} -attr vt d
-load net {conc#379.itm(1)} -attr vt d
-load netBundle {conc#379.itm} 2 {conc#379.itm(0)} {conc#379.itm(1)} -attr xrf 18334 -attr oid 480 -attr vt d -attr @path {/sobel/sobel:core/conc#379.itm}
-load net {ACC1:conc#323.itm(0)} -attr vt d
-load net {ACC1:conc#323.itm(1)} -attr vt d
-load netBundle {ACC1:conc#323.itm} 2 {ACC1:conc#323.itm(0)} {ACC1:conc#323.itm(1)} -attr xrf 18335 -attr oid 481 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#323.itm}
-load net {ACC1:conc#327.itm(0)} -attr vt d
-load net {ACC1:conc#327.itm(1)} -attr vt d
-load net {ACC1:conc#327.itm(2)} -attr vt d
-load netBundle {ACC1:conc#327.itm} 3 {ACC1:conc#327.itm(0)} {ACC1:conc#327.itm(1)} {ACC1:conc#327.itm(2)} -attr xrf 18336 -attr oid 482 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#327.itm}
-load net {ACC1:slc#19.itm(0)} -attr vt d
-load net {ACC1:slc#19.itm(1)} -attr vt d
-load netBundle {ACC1:slc#19.itm} 2 {ACC1:slc#19.itm(0)} {ACC1:slc#19.itm(1)} -attr xrf 18337 -attr oid 483 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#19.itm}
-load net {ACC1:acc#169.itm(0)} -attr vt d
-load net {ACC1:acc#169.itm(1)} -attr vt d
-load net {ACC1:acc#169.itm(2)} -attr vt d
-load netBundle {ACC1:acc#169.itm} 3 {ACC1:acc#169.itm(0)} {ACC1:acc#169.itm(1)} {ACC1:acc#169.itm(2)} -attr xrf 18338 -attr oid 484 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
-load net {conc#380.itm(0)} -attr vt d
-load net {conc#380.itm(1)} -attr vt d
-load netBundle {conc#380.itm} 2 {conc#380.itm(0)} {conc#380.itm(1)} -attr xrf 18339 -attr oid 485 -attr vt d -attr @path {/sobel/sobel:core/conc#380.itm}
-load net {ACC1:conc#321.itm(0)} -attr vt d
-load net {ACC1:conc#321.itm(1)} -attr vt d
-load netBundle {ACC1:conc#321.itm} 2 {ACC1:conc#321.itm(0)} {ACC1:conc#321.itm(1)} -attr xrf 18340 -attr oid 486 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#321.itm}
-load net {ACC1:conc#329.itm(0)} -attr vt d
-load net {ACC1:conc#329.itm(1)} -attr vt d
-load net {ACC1:conc#329.itm(2)} -attr vt d
-load net {ACC1:conc#329.itm(3)} -attr vt d
-load netBundle {ACC1:conc#329.itm} 4 {ACC1:conc#329.itm(0)} {ACC1:conc#329.itm(1)} {ACC1:conc#329.itm(2)} {ACC1:conc#329.itm(3)} -attr xrf 18341 -attr oid 487 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#329.itm}
-load net {ACC1:slc#21.itm(0)} -attr vt d
-load net {ACC1:slc#21.itm(1)} -attr vt d
-load net {ACC1:slc#21.itm(2)} -attr vt d
-load netBundle {ACC1:slc#21.itm} 3 {ACC1:slc#21.itm(0)} {ACC1:slc#21.itm(1)} {ACC1:slc#21.itm(2)} -attr xrf 18342 -attr oid 488 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#21.itm}
-load net {ACC1:acc#171.itm(0)} -attr vt d
-load net {ACC1:acc#171.itm(1)} -attr vt d
-load net {ACC1:acc#171.itm(2)} -attr vt d
-load net {ACC1:acc#171.itm(3)} -attr vt d
-load netBundle {ACC1:acc#171.itm} 4 {ACC1:acc#171.itm(0)} {ACC1:acc#171.itm(1)} {ACC1:acc#171.itm(2)} {ACC1:acc#171.itm(3)} -attr xrf 18343 -attr oid 489 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
-load net {conc#381.itm(0)} -attr vt d
-load net {conc#381.itm(1)} -attr vt d
-load net {conc#381.itm(2)} -attr vt d
-load netBundle {conc#381.itm} 3 {conc#381.itm(0)} {conc#381.itm(1)} {conc#381.itm(2)} -attr xrf 18344 -attr oid 490 -attr vt d -attr @path {/sobel/sobel:core/conc#381.itm}
-load net {ACC1:slc#18.itm(0)} -attr vt d
-load net {ACC1:slc#18.itm(1)} -attr vt d
-load netBundle {ACC1:slc#18.itm} 2 {ACC1:slc#18.itm(0)} {ACC1:slc#18.itm(1)} -attr xrf 18345 -attr oid 491 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#18.itm}
-load net {ACC1:acc#168.itm(0)} -attr vt d
-load net {ACC1:acc#168.itm(1)} -attr vt d
-load net {ACC1:acc#168.itm(2)} -attr vt d
-load netBundle {ACC1:acc#168.itm} 3 {ACC1:acc#168.itm(0)} {ACC1:acc#168.itm(1)} {ACC1:acc#168.itm(2)} -attr xrf 18346 -attr oid 492 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
-load net {conc#382.itm(0)} -attr vt d
-load net {conc#382.itm(1)} -attr vt d
-load netBundle {conc#382.itm} 2 {conc#382.itm(0)} {conc#382.itm(1)} -attr xrf 18347 -attr oid 493 -attr vt d -attr @path {/sobel/sobel:core/conc#382.itm}
-load net {ACC1:conc#319.itm(0)} -attr vt d
-load net {ACC1:conc#319.itm(1)} -attr vt d
-load netBundle {ACC1:conc#319.itm} 2 {ACC1:conc#319.itm(0)} {ACC1:conc#319.itm(1)} -attr xrf 18348 -attr oid 494 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#319.itm}
-load net {ACC1:conc#325.itm(0)} -attr vt d
-load net {ACC1:conc#325.itm(1)} -attr vt d
-load net {ACC1:conc#325.itm(2)} -attr vt d
-load netBundle {ACC1:conc#325.itm} 3 {ACC1:conc#325.itm(0)} {ACC1:conc#325.itm(1)} {ACC1:conc#325.itm(2)} -attr xrf 18349 -attr oid 495 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#325.itm}
-load net {ACC1:slc#17.itm(0)} -attr vt d
-load net {ACC1:slc#17.itm(1)} -attr vt d
-load netBundle {ACC1:slc#17.itm} 2 {ACC1:slc#17.itm(0)} {ACC1:slc#17.itm(1)} -attr xrf 18350 -attr oid 496 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#17.itm}
-load net {ACC1:acc#167.itm(0)} -attr vt d
-load net {ACC1:acc#167.itm(1)} -attr vt d
-load net {ACC1:acc#167.itm(2)} -attr vt d
-load netBundle {ACC1:acc#167.itm} 3 {ACC1:acc#167.itm(0)} {ACC1:acc#167.itm(1)} {ACC1:acc#167.itm(2)} -attr xrf 18351 -attr oid 497 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
-load net {conc#383.itm(0)} -attr vt d
-load net {conc#383.itm(1)} -attr vt d
-load netBundle {conc#383.itm} 2 {conc#383.itm(0)} {conc#383.itm(1)} -attr xrf 18352 -attr oid 498 -attr vt d -attr @path {/sobel/sobel:core/conc#383.itm}
-load net {ACC1:conc#317.itm(0)} -attr vt d
-load net {ACC1:conc#317.itm(1)} -attr vt d
-load netBundle {ACC1:conc#317.itm} 2 {ACC1:conc#317.itm(0)} {ACC1:conc#317.itm(1)} -attr xrf 18353 -attr oid 499 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#317.itm}
-load net {conc#384.itm(0)} -attr vt d
-load net {conc#384.itm(1)} -attr vt d
-load net {conc#384.itm(2)} -attr vt d
-load net {conc#384.itm(3)} -attr vt d
-load net {conc#384.itm(4)} -attr vt d
-load net {conc#384.itm(5)} -attr vt d
-load netBundle {conc#384.itm} 6 {conc#384.itm(0)} {conc#384.itm(1)} {conc#384.itm(2)} {conc#384.itm(3)} {conc#384.itm(4)} {conc#384.itm(5)} -attr xrf 18354 -attr oid 500 -attr vt d -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {ACC1:slc#51.itm(0)} -attr vt d
-load net {ACC1:slc#51.itm(1)} -attr vt d
-load net {ACC1:slc#51.itm(2)} -attr vt d
-load netBundle {ACC1:slc#51.itm} 3 {ACC1:slc#51.itm(0)} {ACC1:slc#51.itm(1)} {ACC1:slc#51.itm(2)} -attr xrf 18355 -attr oid 501 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
-load net {ACC1:acc#203.itm(0)} -attr vt d
-load net {ACC1:acc#203.itm(1)} -attr vt d
-load net {ACC1:acc#203.itm(2)} -attr vt d
-load net {ACC1:acc#203.itm(3)} -attr vt d
-load netBundle {ACC1:acc#203.itm} 4 {ACC1:acc#203.itm(0)} {ACC1:acc#203.itm(1)} {ACC1:acc#203.itm(2)} {ACC1:acc#203.itm(3)} -attr xrf 18356 -attr oid 502 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
-load net {conc#385.itm(0)} -attr vt d
-load net {conc#385.itm(1)} -attr vt d
-load net {conc#385.itm(2)} -attr vt d
-load netBundle {conc#385.itm} 3 {conc#385.itm(0)} {conc#385.itm(1)} {conc#385.itm(2)} -attr xrf 18357 -attr oid 503 -attr vt d -attr @path {/sobel/sobel:core/conc#385.itm}
-load net {ACC1:slc#50.itm(0)} -attr vt d
-load net {ACC1:slc#50.itm(1)} -attr vt d
-load netBundle {ACC1:slc#50.itm} 2 {ACC1:slc#50.itm(0)} {ACC1:slc#50.itm(1)} -attr xrf 18358 -attr oid 504 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#50.itm}
-load net {ACC1:acc#202.itm(0)} -attr vt d
-load net {ACC1:acc#202.itm(1)} -attr vt d
-load net {ACC1:acc#202.itm(2)} -attr vt d
-load netBundle {ACC1:acc#202.itm} 3 {ACC1:acc#202.itm(0)} {ACC1:acc#202.itm(1)} {ACC1:acc#202.itm(2)} -attr xrf 18359 -attr oid 505 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
-load net {conc#386.itm(0)} -attr vt d
-load net {conc#386.itm(1)} -attr vt d
-load netBundle {conc#386.itm} 2 {conc#386.itm(0)} {conc#386.itm(1)} -attr xrf 18360 -attr oid 506 -attr vt d -attr @path {/sobel/sobel:core/conc#386.itm}
-load net {conc#387.itm(0)} -attr vt d
-load net {conc#387.itm(1)} -attr vt d
-load netBundle {conc#387.itm} 2 {conc#387.itm(0)} {conc#387.itm(1)} -attr xrf 18361 -attr oid 507 -attr vt d -attr @path {/sobel/sobel:core/conc#387.itm}
-load net {ACC1:conc#390.itm(0)} -attr vt d
-load net {ACC1:conc#390.itm(1)} -attr vt d
-load netBundle {ACC1:conc#390.itm} 2 {ACC1:conc#390.itm(0)} {ACC1:conc#390.itm(1)} -attr xrf 18362 -attr oid 508 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#390.itm}
-load net {conc#388.itm(0)} -attr vt d
-load net {conc#388.itm(1)} -attr vt d
-load net {conc#388.itm(2)} -attr vt d
-load netBundle {conc#388.itm} 3 {conc#388.itm(0)} {conc#388.itm(1)} {conc#388.itm(2)} -attr xrf 18363 -attr oid 509 -attr vt d -attr @path {/sobel/sobel:core/conc#388.itm}
-load net {ACC1:acc#205.itm(0)} -attr vt d
-load net {ACC1:acc#205.itm(1)} -attr vt d
-load net {ACC1:acc#205.itm(2)} -attr vt d
-load netBundle {ACC1:acc#205.itm} 3 {ACC1:acc#205.itm(0)} {ACC1:acc#205.itm(1)} {ACC1:acc#205.itm(2)} -attr xrf 18364 -attr oid 510 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load net {ACC1:conc#270.itm(0)} -attr vt d
-load net {ACC1:conc#270.itm(1)} -attr vt d
-load netBundle {ACC1:conc#270.itm} 2 {ACC1:conc#270.itm(0)} {ACC1:conc#270.itm(1)} -attr xrf 18365 -attr oid 511 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#270.itm}
-load net {conc#389.itm(0)} -attr vt d
-load net {conc#389.itm(1)} -attr vt d
-load netBundle {conc#389.itm} 2 {conc#389.itm(0)} {conc#389.itm(1)} -attr xrf 18366 -attr oid 512 -attr vt d -attr @path {/sobel/sobel:core/conc#389.itm}
-load net {ACC1:acc#204.itm(0)} -attr vt d
-load net {ACC1:acc#204.itm(1)} -attr vt d
-load net {ACC1:acc#204.itm(2)} -attr vt d
-load netBundle {ACC1:acc#204.itm} 3 {ACC1:acc#204.itm(0)} {ACC1:acc#204.itm(1)} {ACC1:acc#204.itm(2)} -attr xrf 18367 -attr oid 513 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load net {ACC1:conc#272.itm(0)} -attr vt d
-load net {ACC1:conc#272.itm(1)} -attr vt d
-load netBundle {ACC1:conc#272.itm} 2 {ACC1:conc#272.itm(0)} {ACC1:conc#272.itm(1)} -attr xrf 18368 -attr oid 514 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#272.itm}
-load net {ACC1:conc#273.itm(0)} -attr vt d
-load net {ACC1:conc#273.itm(1)} -attr vt d
-load netBundle {ACC1:conc#273.itm} 2 {ACC1:conc#273.itm(0)} {ACC1:conc#273.itm(1)} -attr xrf 18369 -attr oid 515 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#273.itm}
-load net {conc#390.itm(0)} -attr vt d
-load net {conc#390.itm(1)} -attr vt d
-load net {conc#390.itm(2)} -attr vt d
-load netBundle {conc#390.itm} 3 {conc#390.itm(0)} {conc#390.itm(1)} {conc#390.itm(2)} -attr xrf 18370 -attr oid 516 -attr vt d -attr @path {/sobel/sobel:core/conc#390.itm}
-load net {ACC1:conc#397.itm(0)} -attr vt d
-load net {ACC1:conc#397.itm(1)} -attr vt d
-load netBundle {ACC1:conc#397.itm} 2 {ACC1:conc#397.itm(0)} {ACC1:conc#397.itm(1)} -attr xrf 18371 -attr oid 517 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#397.itm}
-load net {conc#391.itm(0)} -attr vt d
-load net {conc#391.itm(1)} -attr vt d
-load net {conc#391.itm(2)} -attr vt d
-load netBundle {conc#391.itm} 3 {conc#391.itm(0)} {conc#391.itm(1)} {conc#391.itm(2)} -attr xrf 18372 -attr oid 518 -attr vt d -attr @path {/sobel/sobel:core/conc#391.itm}
-load net {ACC1:conc#400.itm(0)} -attr vt d
-load net {ACC1:conc#400.itm(1)} -attr vt d
-load netBundle {ACC1:conc#400.itm} 2 {ACC1:conc#400.itm(0)} {ACC1:conc#400.itm(1)} -attr xrf 18373 -attr oid 519 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#400.itm}
-load net {ACC1:slc#40.itm(0)} -attr vt d
-load net {ACC1:slc#40.itm(1)} -attr vt d
-load net {ACC1:slc#40.itm(2)} -attr vt d
-load netBundle {ACC1:slc#40.itm} 3 {ACC1:slc#40.itm(0)} {ACC1:slc#40.itm(1)} {ACC1:slc#40.itm(2)} -attr xrf 18374 -attr oid 520 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
-load net {ACC1:acc#191.itm(0)} -attr vt d
-load net {ACC1:acc#191.itm(1)} -attr vt d
-load net {ACC1:acc#191.itm(2)} -attr vt d
-load net {ACC1:acc#191.itm(3)} -attr vt d
-load netBundle {ACC1:acc#191.itm} 4 {ACC1:acc#191.itm(0)} {ACC1:acc#191.itm(1)} {ACC1:acc#191.itm(2)} {ACC1:acc#191.itm(3)} -attr xrf 18375 -attr oid 521 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
-load net {conc#392.itm(0)} -attr vt d
-load net {conc#392.itm(1)} -attr vt d
-load net {conc#392.itm(2)} -attr vt d
-load netBundle {conc#392.itm} 3 {conc#392.itm(0)} {conc#392.itm(1)} {conc#392.itm(2)} -attr xrf 18376 -attr oid 522 -attr vt d -attr @path {/sobel/sobel:core/conc#392.itm}
-load net {ACC1:slc#39.itm(0)} -attr vt d
-load net {ACC1:slc#39.itm(1)} -attr vt d
-load netBundle {ACC1:slc#39.itm} 2 {ACC1:slc#39.itm(0)} {ACC1:slc#39.itm(1)} -attr xrf 18377 -attr oid 523 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#39.itm}
-load net {ACC1:acc#190.itm(0)} -attr vt d
-load net {ACC1:acc#190.itm(1)} -attr vt d
-load net {ACC1:acc#190.itm(2)} -attr vt d
-load netBundle {ACC1:acc#190.itm} 3 {ACC1:acc#190.itm(0)} {ACC1:acc#190.itm(1)} {ACC1:acc#190.itm(2)} -attr xrf 18378 -attr oid 524 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
-load net {conc#393.itm(0)} -attr vt d
-load net {conc#393.itm(1)} -attr vt d
-load netBundle {conc#393.itm} 2 {conc#393.itm(0)} {conc#393.itm(1)} -attr xrf 18379 -attr oid 525 -attr vt d -attr @path {/sobel/sobel:core/conc#393.itm}
-load net {conc#394.itm(0)} -attr vt d
-load net {conc#394.itm(1)} -attr vt d
-load netBundle {conc#394.itm} 2 {conc#394.itm(0)} {conc#394.itm(1)} -attr xrf 18380 -attr oid 526 -attr vt d -attr @path {/sobel/sobel:core/conc#394.itm}
-load net {ACC1:conc#366.itm(0)} -attr vt d
-load net {ACC1:conc#366.itm(1)} -attr vt d
-load netBundle {ACC1:conc#366.itm} 2 {ACC1:conc#366.itm(0)} {ACC1:conc#366.itm(1)} -attr xrf 18381 -attr oid 527 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#366.itm}
-load net {conc#395.itm(0)} -attr vt d
-load net {conc#395.itm(1)} -attr vt d
-load net {conc#395.itm(2)} -attr vt d
-load netBundle {conc#395.itm} 3 {conc#395.itm(0)} {conc#395.itm(1)} {conc#395.itm(2)} -attr xrf 18382 -attr oid 528 -attr vt d -attr @path {/sobel/sobel:core/conc#395.itm}
-load net {ACC1:slc#26.itm(0)} -attr vt d
-load net {ACC1:slc#26.itm(1)} -attr vt d
-load net {ACC1:slc#26.itm(2)} -attr vt d
-load netBundle {ACC1:slc#26.itm} 3 {ACC1:slc#26.itm(0)} {ACC1:slc#26.itm(1)} {ACC1:slc#26.itm(2)} -attr xrf 18383 -attr oid 529 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
-load net {ACC1:acc#176.itm(0)} -attr vt d
-load net {ACC1:acc#176.itm(1)} -attr vt d
-load net {ACC1:acc#176.itm(2)} -attr vt d
-load net {ACC1:acc#176.itm(3)} -attr vt d
-load netBundle {ACC1:acc#176.itm} 4 {ACC1:acc#176.itm(0)} {ACC1:acc#176.itm(1)} {ACC1:acc#176.itm(2)} {ACC1:acc#176.itm(3)} -attr xrf 18384 -attr oid 530 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
-load net {conc#396.itm(0)} -attr vt d
-load net {conc#396.itm(1)} -attr vt d
-load net {conc#396.itm(2)} -attr vt d
-load netBundle {conc#396.itm} 3 {conc#396.itm(0)} {conc#396.itm(1)} {conc#396.itm(2)} -attr xrf 18385 -attr oid 531 -attr vt d -attr @path {/sobel/sobel:core/conc#396.itm}
-load net {ACC1:slc#25.itm(0)} -attr vt d
-load net {ACC1:slc#25.itm(1)} -attr vt d
-load netBundle {ACC1:slc#25.itm} 2 {ACC1:slc#25.itm(0)} {ACC1:slc#25.itm(1)} -attr xrf 18386 -attr oid 532 -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#25.itm}
-load net {ACC1:acc#175.itm(0)} -attr vt d
-load net {ACC1:acc#175.itm(1)} -attr vt d
-load net {ACC1:acc#175.itm(2)} -attr vt d
-load netBundle {ACC1:acc#175.itm} 3 {ACC1:acc#175.itm(0)} {ACC1:acc#175.itm(1)} {ACC1:acc#175.itm(2)} -attr xrf 18387 -attr oid 533 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
-load net {conc#397.itm(0)} -attr vt d
-load net {conc#397.itm(1)} -attr vt d
-load netBundle {conc#397.itm} 2 {conc#397.itm(0)} {conc#397.itm(1)} -attr xrf 18388 -attr oid 534 -attr vt d -attr @path {/sobel/sobel:core/conc#397.itm}
-load net {conc#398.itm(0)} -attr vt d
-load net {conc#398.itm(1)} -attr vt d
-load netBundle {conc#398.itm} 2 {conc#398.itm(0)} {conc#398.itm(1)} -attr xrf 18389 -attr oid 535 -attr vt d -attr @path {/sobel/sobel:core/conc#398.itm}
-load net {ACC1:conc#336.itm(0)} -attr vt d
-load net {ACC1:conc#336.itm(1)} -attr vt d
-load netBundle {ACC1:conc#336.itm} 2 {ACC1:conc#336.itm(0)} {ACC1:conc#336.itm(1)} -attr xrf 18390 -attr oid 536 -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#336.itm}
-load net {conc#399.itm(0)} -attr vt d
-load net {conc#399.itm(1)} -attr vt d
-load net {conc#399.itm(2)} -attr vt d
-load netBundle {conc#399.itm} 3 {conc#399.itm(0)} {conc#399.itm(1)} {conc#399.itm(2)} -attr xrf 18391 -attr oid 537 -attr vt d -attr @path {/sobel/sobel:core/conc#399.itm}
-load net {clk} -attr xrf 18392 -attr oid 538
-load net {clk} -port {clk} -attr xrf 18393 -attr oid 539
-load net {en} -attr xrf 18394 -attr oid 540
-load net {en} -port {en} -attr xrf 18395 -attr oid 541
-load net {arst_n} -attr xrf 18396 -attr oid 542
-load net {arst_n} -port {arst_n} -attr xrf 18397 -attr oid 543
-load net {vin:rsc:mgc_in_wire.d(0)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(1)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(2)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(3)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(4)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(5)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(6)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(7)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(8)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(9)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(10)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(11)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(12)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(13)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(14)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(15)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(16)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(17)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(18)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(19)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(20)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(21)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(22)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(23)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(24)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(25)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(26)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(27)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(28)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(29)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(30)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(31)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(32)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(33)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(34)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(35)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(36)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(37)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(38)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(39)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(40)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(41)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(42)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(43)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(44)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(45)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(46)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(47)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(48)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(49)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(50)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(51)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(52)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(53)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(54)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(55)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(56)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(57)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(58)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(59)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(60)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(61)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(62)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(63)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(64)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(65)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(66)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(67)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(68)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(69)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(70)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(71)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(72)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(73)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(74)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(75)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(76)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(77)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(78)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(79)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(80)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(81)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(82)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(83)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(84)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(85)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(86)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(87)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(88)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(89)} -attr vt d
-load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 18398 -attr oid 544 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d(0)} -port {vin:rsc:mgc_in_wire.d(0)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(1)} -port {vin:rsc:mgc_in_wire.d(1)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(2)} -port {vin:rsc:mgc_in_wire.d(2)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(3)} -port {vin:rsc:mgc_in_wire.d(3)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(4)} -port {vin:rsc:mgc_in_wire.d(4)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(5)} -port {vin:rsc:mgc_in_wire.d(5)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(6)} -port {vin:rsc:mgc_in_wire.d(6)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(7)} -port {vin:rsc:mgc_in_wire.d(7)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(8)} -port {vin:rsc:mgc_in_wire.d(8)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(9)} -port {vin:rsc:mgc_in_wire.d(9)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(10)} -port {vin:rsc:mgc_in_wire.d(10)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(11)} -port {vin:rsc:mgc_in_wire.d(11)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(12)} -port {vin:rsc:mgc_in_wire.d(12)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(13)} -port {vin:rsc:mgc_in_wire.d(13)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(14)} -port {vin:rsc:mgc_in_wire.d(14)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(15)} -port {vin:rsc:mgc_in_wire.d(15)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(16)} -port {vin:rsc:mgc_in_wire.d(16)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(17)} -port {vin:rsc:mgc_in_wire.d(17)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(18)} -port {vin:rsc:mgc_in_wire.d(18)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(19)} -port {vin:rsc:mgc_in_wire.d(19)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(20)} -port {vin:rsc:mgc_in_wire.d(20)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(21)} -port {vin:rsc:mgc_in_wire.d(21)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(22)} -port {vin:rsc:mgc_in_wire.d(22)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(23)} -port {vin:rsc:mgc_in_wire.d(23)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(24)} -port {vin:rsc:mgc_in_wire.d(24)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(25)} -port {vin:rsc:mgc_in_wire.d(25)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(26)} -port {vin:rsc:mgc_in_wire.d(26)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(27)} -port {vin:rsc:mgc_in_wire.d(27)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(28)} -port {vin:rsc:mgc_in_wire.d(28)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(29)} -port {vin:rsc:mgc_in_wire.d(29)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(30)} -port {vin:rsc:mgc_in_wire.d(30)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(31)} -port {vin:rsc:mgc_in_wire.d(31)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(32)} -port {vin:rsc:mgc_in_wire.d(32)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(33)} -port {vin:rsc:mgc_in_wire.d(33)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(34)} -port {vin:rsc:mgc_in_wire.d(34)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(35)} -port {vin:rsc:mgc_in_wire.d(35)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(36)} -port {vin:rsc:mgc_in_wire.d(36)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(37)} -port {vin:rsc:mgc_in_wire.d(37)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(38)} -port {vin:rsc:mgc_in_wire.d(38)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(39)} -port {vin:rsc:mgc_in_wire.d(39)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(40)} -port {vin:rsc:mgc_in_wire.d(40)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(41)} -port {vin:rsc:mgc_in_wire.d(41)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(42)} -port {vin:rsc:mgc_in_wire.d(42)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(43)} -port {vin:rsc:mgc_in_wire.d(43)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(44)} -port {vin:rsc:mgc_in_wire.d(44)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(45)} -port {vin:rsc:mgc_in_wire.d(45)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(46)} -port {vin:rsc:mgc_in_wire.d(46)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(47)} -port {vin:rsc:mgc_in_wire.d(47)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(48)} -port {vin:rsc:mgc_in_wire.d(48)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(49)} -port {vin:rsc:mgc_in_wire.d(49)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(50)} -port {vin:rsc:mgc_in_wire.d(50)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(51)} -port {vin:rsc:mgc_in_wire.d(51)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(52)} -port {vin:rsc:mgc_in_wire.d(52)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(53)} -port {vin:rsc:mgc_in_wire.d(53)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(54)} -port {vin:rsc:mgc_in_wire.d(54)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(55)} -port {vin:rsc:mgc_in_wire.d(55)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(56)} -port {vin:rsc:mgc_in_wire.d(56)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(57)} -port {vin:rsc:mgc_in_wire.d(57)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(58)} -port {vin:rsc:mgc_in_wire.d(58)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(59)} -port {vin:rsc:mgc_in_wire.d(59)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(60)} -port {vin:rsc:mgc_in_wire.d(60)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(61)} -port {vin:rsc:mgc_in_wire.d(61)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(62)} -port {vin:rsc:mgc_in_wire.d(62)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(63)} -port {vin:rsc:mgc_in_wire.d(63)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(64)} -port {vin:rsc:mgc_in_wire.d(64)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(65)} -port {vin:rsc:mgc_in_wire.d(65)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(66)} -port {vin:rsc:mgc_in_wire.d(66)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(67)} -port {vin:rsc:mgc_in_wire.d(67)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(68)} -port {vin:rsc:mgc_in_wire.d(68)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(69)} -port {vin:rsc:mgc_in_wire.d(69)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(70)} -port {vin:rsc:mgc_in_wire.d(70)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(71)} -port {vin:rsc:mgc_in_wire.d(71)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(72)} -port {vin:rsc:mgc_in_wire.d(72)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(73)} -port {vin:rsc:mgc_in_wire.d(73)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(74)} -port {vin:rsc:mgc_in_wire.d(74)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(75)} -port {vin:rsc:mgc_in_wire.d(75)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(76)} -port {vin:rsc:mgc_in_wire.d(76)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(77)} -port {vin:rsc:mgc_in_wire.d(77)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(78)} -port {vin:rsc:mgc_in_wire.d(78)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(79)} -port {vin:rsc:mgc_in_wire.d(79)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(80)} -port {vin:rsc:mgc_in_wire.d(80)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(81)} -port {vin:rsc:mgc_in_wire.d(81)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(82)} -port {vin:rsc:mgc_in_wire.d(82)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(83)} -port {vin:rsc:mgc_in_wire.d(83)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(84)} -port {vin:rsc:mgc_in_wire.d(84)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(85)} -port {vin:rsc:mgc_in_wire.d(85)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(86)} -port {vin:rsc:mgc_in_wire.d(86)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(87)} -port {vin:rsc:mgc_in_wire.d(87)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(88)} -port {vin:rsc:mgc_in_wire.d(88)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d(89)} -port {vin:rsc:mgc_in_wire.d(89)} -attr vt d
-load netBundle {vin:rsc:mgc_in_wire.d} 90 {vin:rsc:mgc_in_wire.d(0)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(89)} -attr xrf 18399 -attr oid 545 -attr vt d -attr @path {/sobel/sobel:core/vin:rsc:mgc_in_wire.d}
-load net {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d
-load netBundle {vout:rsc:mgc_out_stdreg.d} 30 {vout:rsc:mgc_out_stdreg.d(0)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(29)} -attr xrf 18400 -attr oid 546 -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(0)} -port {vout:rsc:mgc_out_stdreg.d(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(1)} -port {vout:rsc:mgc_out_stdreg.d(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(2)} -port {vout:rsc:mgc_out_stdreg.d(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(3)} -port {vout:rsc:mgc_out_stdreg.d(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(4)} -port {vout:rsc:mgc_out_stdreg.d(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(5)} -port {vout:rsc:mgc_out_stdreg.d(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(6)} -port {vout:rsc:mgc_out_stdreg.d(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(7)} -port {vout:rsc:mgc_out_stdreg.d(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(8)} -port {vout:rsc:mgc_out_stdreg.d(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(9)} -port {vout:rsc:mgc_out_stdreg.d(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(10)} -port {vout:rsc:mgc_out_stdreg.d(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(11)} -port {vout:rsc:mgc_out_stdreg.d(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(12)} -port {vout:rsc:mgc_out_stdreg.d(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(13)} -port {vout:rsc:mgc_out_stdreg.d(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(14)} -port {vout:rsc:mgc_out_stdreg.d(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(15)} -port {vout:rsc:mgc_out_stdreg.d(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(16)} -port {vout:rsc:mgc_out_stdreg.d(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(17)} -port {vout:rsc:mgc_out_stdreg.d(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(18)} -port {vout:rsc:mgc_out_stdreg.d(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(19)} -port {vout:rsc:mgc_out_stdreg.d(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(20)} -port {vout:rsc:mgc_out_stdreg.d(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(21)} -port {vout:rsc:mgc_out_stdreg.d(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(22)} -port {vout:rsc:mgc_out_stdreg.d(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(23)} -port {vout:rsc:mgc_out_stdreg.d(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(24)} -port {vout:rsc:mgc_out_stdreg.d(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(25)} -port {vout:rsc:mgc_out_stdreg.d(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(26)} -port {vout:rsc:mgc_out_stdreg.d(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(27)} -port {vout:rsc:mgc_out_stdreg.d(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(28)} -port {vout:rsc:mgc_out_stdreg.d(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(29)} -port {vout:rsc:mgc_out_stdreg.d(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load inst "FRAME:or" "or(2,10)" "INTERFACE" -attr xrf 18401 -attr oid 547 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or} -attr area 7.298324 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(10,2)"
-load net {FRAME:acc#5.psp.sva(0)} -pin "FRAME:or" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(1)} -pin "FRAME:or" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(2)} -pin "FRAME:or" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(3)} -pin "FRAME:or" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(4)} -pin "FRAME:or" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(5)} -pin "FRAME:or" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(6)} -pin "FRAME:or" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(7)} -pin "FRAME:or" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(8)} -pin "FRAME:or" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(9)} -pin "FRAME:or" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#4.itm}
-load net {FRAME:acc#5.psp.sva(10)} -pin "FRAME:or" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {FRAME:acc#5.psp.sva(11)} -pin "FRAME:or" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(2)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(3)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(4)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(5)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(6)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(7)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(8)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {GND} -pin "FRAME:or" {A1(9)} -attr @path {/sobel/sobel:core/conc#309.itm}
-load net {FRAME:or.itm(0)} -pin "FRAME:or" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(1)} -pin "FRAME:or" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(2)} -pin "FRAME:or" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(3)} -pin "FRAME:or" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(4)} -pin "FRAME:or" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(5)} -pin "FRAME:or" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(6)} -pin "FRAME:or" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(7)} -pin "FRAME:or" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(8)} -pin "FRAME:or" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load net {FRAME:or.itm(9)} -pin "FRAME:or" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or.itm}
-load inst "FRAME:or#3" "or(2,6)" "INTERFACE" -attr xrf 18402 -attr oid 548 -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3} -attr area 4.378994 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_or(6,2)"
-load net {FRAME:acc#5.psp.sva(0)} -pin "FRAME:or#3" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(1)} -pin "FRAME:or#3" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(2)} -pin "FRAME:or#3" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(3)} -pin "FRAME:or#3" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(4)} -pin "FRAME:or#3" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(5)} -pin "FRAME:or#3" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(FRAME:acc#5.psp.sva)#3.itm}
-load net {FRAME:acc#5.psp.sva(10)} -pin "FRAME:or#3" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {FRAME:acc#5.psp.sva(11)} -pin "FRAME:or#3" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {GND} -pin "FRAME:or#3" {A1(2)} -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {GND} -pin "FRAME:or#3" {A1(3)} -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {GND} -pin "FRAME:or#3" {A1(4)} -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {GND} -pin "FRAME:or#3" {A1(5)} -attr @path {/sobel/sobel:core/conc#310.itm}
-load net {FRAME:or#3.itm(0)} -pin "FRAME:or#3" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(1)} -pin "FRAME:or#3" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(2)} -pin "FRAME:or#3" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(3)} -pin "FRAME:or#3" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(4)} -pin "FRAME:or#3" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load net {FRAME:or#3.itm(5)} -pin "FRAME:or#3" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:or#3.itm}
-load inst "mux" "mux(2,30)" "INTERFACE" -attr xrf 18403 -attr oid 549 -attr vt d -attr @path {/sobel/sobel:core/mux} -attr area 27.583690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mux(30,1,2)"
-load net {FRAME:acc#5.psp.sva(0)} -pin "mux" {A0(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(1)} -pin "mux" {A0(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(2)} -pin "mux" {A0(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(3)} -pin "mux" {A0(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(4)} -pin "mux" {A0(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(5)} -pin "mux" {A0(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(6)} -pin "mux" {A0(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(7)} -pin "mux" {A0(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(8)} -pin "mux" {A0(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(9)} -pin "mux" {A0(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or#3.itm(0)} -pin "mux" {A0(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or#3.itm(1)} -pin "mux" {A0(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or#3.itm(2)} -pin "mux" {A0(12)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or#3.itm(3)} -pin "mux" {A0(13)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or#3.itm(4)} -pin "mux" {A0(14)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or#3.itm(5)} -pin "mux" {A0(15)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(6)} -pin "mux" {A0(16)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(7)} -pin "mux" {A0(17)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(8)} -pin "mux" {A0(18)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:acc#5.psp.sva(9)} -pin "mux" {A0(19)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(0)} -pin "mux" {A0(20)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(1)} -pin "mux" {A0(21)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(2)} -pin "mux" {A0(22)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(3)} -pin "mux" {A0(23)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(4)} -pin "mux" {A0(24)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(5)} -pin "mux" {A0(25)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(6)} -pin "mux" {A0(26)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(7)} -pin "mux" {A0(27)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(8)} -pin "mux" {A0(28)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {FRAME:or.itm(9)} -pin "mux" {A0(29)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#22.itm}
-load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "mux" {A1(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "mux" {A1(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "mux" {A1(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "mux" {A1(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "mux" {A1(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "mux" {A1(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "mux" {A1(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "mux" {A1(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "mux" {A1(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "mux" {A1(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "mux" {A1(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "mux" {A1(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "mux" {A1(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "mux" {A1(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "mux" {A1(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "mux" {A1(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "mux" {A1(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "mux" {A1(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "mux" {A1(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "mux" {A1(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "mux" {A1(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "mux" {A1(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "mux" {A1(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "mux" {A1(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "mux" {A1(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "mux" {A1(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "mux" {A1(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "mux" {A1(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "mux" {A1(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "mux" {A1(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {main.stage_0#3} -pin "mux" {S(0)} -attr @path {/sobel/sobel:core/main.stage_0#3}
-load net {mux.itm(0)} -pin "mux" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(1)} -pin "mux" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(2)} -pin "mux" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(3)} -pin "mux" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(4)} -pin "mux" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(5)} -pin "mux" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(6)} -pin "mux" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(7)} -pin "mux" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(8)} -pin "mux" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(9)} -pin "mux" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(10)} -pin "mux" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(11)} -pin "mux" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(12)} -pin "mux" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(13)} -pin "mux" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(14)} -pin "mux" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(15)} -pin "mux" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(16)} -pin "mux" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(17)} -pin "mux" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(18)} -pin "mux" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(19)} -pin "mux" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(20)} -pin "mux" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(21)} -pin "mux" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(22)} -pin "mux" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(23)} -pin "mux" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(24)} -pin "mux" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(25)} -pin "mux" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(26)} -pin "mux" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(27)} -pin "mux" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(28)} -pin "mux" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(29)} -pin "mux" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load inst "reg(vout:rsc:mgc_out_stdreg.d)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 18404 -attr oid 550 -attr vt d -attr @path {/sobel/sobel:core/reg(vout:rsc:mgc_out_stdreg.d)}
-load net {mux.itm(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {mux.itm(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/mux.itm}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(0)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(1)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(2)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(3)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(4)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(5)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(6)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(7)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(8)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(9)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(10)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(11)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(12)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(13)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(14)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(15)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(16)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(17)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(18)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(19)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(20)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(21)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(22)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(23)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(24)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(25)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(26)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(27)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(28)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {GND} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {DRa(29)} -attr @path {/sobel/sobel:core/regs.regs_decl#7}
-load net {clk} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {clk} -attr xrf 18405 -attr oid 551 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {vout:rsc:mgc_out_stdreg.d(0)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(1)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(2)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(3)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(4)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(5)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(6)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(7)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(8)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(9)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(10)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(11)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(12)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(13)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(14)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(15)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(16)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(17)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(18)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(19)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(20)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(21)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(22)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(23)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(24)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(25)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(26)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(27)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(28)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d(29)} -pin "reg(vout:rsc:mgc_out_stdreg.d)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/vout:rsc:mgc_out_stdreg.d}
-load inst "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" "reg(2,1,1,-1,0)" "INTERFACE" -attr xrf 18406 -attr oid 552 -attr vt d -attr @path {/sobel/sobel:core/reg(intensity:slc(intensity#2.sg1)#9.itm#1)}
-load net {ACC1:acc.itm(13)} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#4.itm}
-load net {ACC1:acc.itm(14)} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#4.itm}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_2}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_2}
-load net {clk} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {clk} -attr xrf 18407 -attr oid 553 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {intensity:slc(intensity#2.sg1)#9.itm#1(0)} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#9.itm#1}
-load net {intensity:slc(intensity#2.sg1)#9.itm#1(1)} -pin "reg(intensity:slc(intensity#2.sg1)#9.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#9.itm#1}
-load inst "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" "reg(3,1,1,-1,0)" "INTERFACE" -attr xrf 18408 -attr oid 554 -attr vt d -attr @path {/sobel/sobel:core/reg(intensity:slc(intensity#2.sg1)#11.itm#1)}
-load net {ACC1:acc.itm(10)} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
-load net {ACC1:acc.itm(11)} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
-load net {ACC1:acc.itm(12)} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#3.itm}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_3#1}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_3#1}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_3#1}
-load net {clk} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {clk} -attr xrf 18409 -attr oid 555 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(0)} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(1)} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(2)} -pin "reg(intensity:slc(intensity#2.sg1)#11.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load inst "reg(intensity:slc(intensity#2.sg1).itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 18410 -attr oid 556 -attr vt d -attr @path {/sobel/sobel:core/reg(intensity:slc(intensity#2.sg1).itm#1)}
-load net {ACC1:acc.itm(4)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {ACC1:acc.itm(5)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {ACC1:acc.itm(6)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {ACC1:acc.itm(7)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {ACC1:acc.itm(8)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {ACC1:acc.itm(9)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#2.itm}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
-load net {clk} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {clk} -attr xrf 18411 -attr oid 557 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {intensity:slc(intensity#2.sg1).itm#1(0)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(1)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(2)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(3)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(4)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(5)} -pin "reg(intensity:slc(intensity#2.sg1).itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load inst "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18412 -attr oid 558 -attr @path {/sobel/sobel:core/reg(intensity:slc(intensity#2.sg1)#12.itm#1)}
-load net {ACC1:acc.itm(15)} -pin "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva).itm}
-load net {GND} -pin "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" {clk} -attr xrf 18413 -attr oid 559 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "reg(intensity:slc(intensity#2.sg1)#12.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#12.itm#1}
-load inst "FRAME:not#26" "not(3)" "INTERFACE" -attr xrf 18414 -attr oid 560 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
-load net {ACC1:acc.itm(10)} -pin "FRAME:not#26" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#9.itm}
-load net {ACC1:acc.itm(11)} -pin "FRAME:not#26" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#9.itm}
-load net {ACC1:acc.itm(12)} -pin "FRAME:not#26" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#9.itm}
-load net {FRAME:not#26.itm(0)} -pin "FRAME:not#26" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load net {FRAME:not#26.itm(1)} -pin "FRAME:not#26" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load net {FRAME:not#26.itm(2)} -pin "FRAME:not#26" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load inst "FRAME:acc#9" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18415 -attr oid 561 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc.itm(7)} -pin "FRAME:acc#9" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#8.itm}
-load net {ACC1:acc.itm(8)} -pin "FRAME:acc#9" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#8.itm}
-load net {ACC1:acc.itm(9)} -pin "FRAME:acc#9" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#8.itm}
-load net {FRAME:not#26.itm(0)} -pin "FRAME:acc#9" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load net {FRAME:not#26.itm(1)} -pin "FRAME:acc#9" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load net {FRAME:not#26.itm(2)} -pin "FRAME:acc#9" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#26.itm}
-load net {FRAME:acc#9.itm(0)} -pin "FRAME:acc#9" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#9.itm(1)} -pin "FRAME:acc#9" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#9.itm(2)} -pin "FRAME:acc#9" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#9.itm(3)} -pin "FRAME:acc#9" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load inst "FRAME:not#36" "not(1)" "INTERFACE" -attr xrf 18416 -attr oid 562 -attr @path {/sobel/sobel:core/FRAME:not#36} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc.itm(15)} -pin "FRAME:not#36" {A(0)} -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#12.itm}
-load net {FRAME:not#36.itm} -pin "FRAME:not#36" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#36.itm}
-load inst "FRAME:not#38" "not(1)" "INTERFACE" -attr xrf 18417 -attr oid 563 -attr @path {/sobel/sobel:core/FRAME:not#38} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc.itm(15)} -pin "FRAME:not#38" {A(0)} -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#7.itm}
-load net {FRAME:not#38.itm} -pin "FRAME:not#38" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#38.itm}
-load inst "FRAME:acc#8" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18418 -attr oid 564 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {FRAME:not#38.itm} -pin "FRAME:acc#8" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#311.itm}
-load net {PWR} -pin "FRAME:acc#8" {A(1)} -attr @path {/sobel/sobel:core/conc#311.itm}
-load net {FRAME:not#36.itm} -pin "FRAME:acc#8" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#311.itm}
-load net {ACC1:acc.itm(13)} -pin "FRAME:acc#8" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#10.itm}
-load net {ACC1:acc.itm(14)} -pin "FRAME:acc#8" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#10.itm}
-load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#8" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#8" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#8" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#8" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load inst "FRAME:acc#11" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18419 -attr oid 565 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {FRAME:acc#9.itm(0)} -pin "FRAME:acc#11" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#9.itm(1)} -pin "FRAME:acc#11" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#9.itm(2)} -pin "FRAME:acc#11" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#9.itm(3)} -pin "FRAME:acc#11" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#9.itm}
-load net {FRAME:acc#8.itm(0)} -pin "FRAME:acc#11" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#8.itm(1)} -pin "FRAME:acc#11" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#8.itm(2)} -pin "FRAME:acc#11" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#8.itm(3)} -pin "FRAME:acc#11" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#8.itm}
-load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#11" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#11" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#11" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#11" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#11" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load inst "FRAME:not#25" "not(3)" "INTERFACE" -attr xrf 18420 -attr oid 566 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
-load net {ACC1:acc.itm(4)} -pin "FRAME:not#25" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
-load net {ACC1:acc.itm(5)} -pin "FRAME:not#25" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
-load net {ACC1:acc.itm(6)} -pin "FRAME:not#25" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#6.itm}
-load net {FRAME:not#25.itm(0)} -pin "FRAME:not#25" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load net {FRAME:not#25.itm(1)} -pin "FRAME:not#25" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load net {FRAME:not#25.itm(2)} -pin "FRAME:not#25" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load inst "FRAME:acc#10" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18421 -attr oid 567 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc.itm(1)} -pin "FRAME:acc#10" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
-load net {ACC1:acc.itm(2)} -pin "FRAME:acc#10" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
-load net {ACC1:acc.itm(3)} -pin "FRAME:acc#10" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity#2.sg1.sva)#5.itm}
-load net {FRAME:not#25.itm(0)} -pin "FRAME:acc#10" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load net {FRAME:not#25.itm(1)} -pin "FRAME:acc#10" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load net {FRAME:not#25.itm(2)} -pin "FRAME:acc#10" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#25.itm}
-load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#10" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#10" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#10" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#10" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load inst "FRAME:acc#12" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 18422 -attr oid 568 -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {FRAME:acc#11.itm(0)} -pin "FRAME:acc#12" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(1)} -pin "FRAME:acc#12" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(2)} -pin "FRAME:acc#12" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(3)} -pin "FRAME:acc#12" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#11.itm(4)} -pin "FRAME:acc#12" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#11.itm}
-load net {FRAME:acc#10.itm(0)} -pin "FRAME:acc#12" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#10.itm(1)} -pin "FRAME:acc#12" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#10.itm(2)} -pin "FRAME:acc#12" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#10.itm(3)} -pin "FRAME:acc#12" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#10.itm}
-load net {FRAME:acc#12.itm(0)} -pin "FRAME:acc#12" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(1)} -pin "FRAME:acc#12" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(2)} -pin "FRAME:acc#12" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(3)} -pin "FRAME:acc#12" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(4)} -pin "FRAME:acc#12" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(5)} -pin "FRAME:acc#12" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load inst "reg(FRAME:acc#12.itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 18423 -attr oid 569 -attr vt dc -attr @path {/sobel/sobel:core/reg(FRAME:acc#12.itm#1)}
-load net {FRAME:acc#12.itm(0)} -pin "reg(FRAME:acc#12.itm#1)" {D(0)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(1)} -pin "reg(FRAME:acc#12.itm#1)" {D(1)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(2)} -pin "reg(FRAME:acc#12.itm#1)" {D(2)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(3)} -pin "reg(FRAME:acc#12.itm#1)" {D(3)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(4)} -pin "reg(FRAME:acc#12.itm#1)" {D(4)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {FRAME:acc#12.itm(5)} -pin "reg(FRAME:acc#12.itm#1)" {D(5)} -attr vt dc -attr @path {/sobel/sobel:core/FRAME:acc#12.itm}
-load net {GND} -pin "reg(FRAME:acc#12.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(FRAME:acc#12.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(FRAME:acc#12.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(FRAME:acc#12.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(FRAME:acc#12.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(FRAME:acc#12.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
-load net {clk} -pin "reg(FRAME:acc#12.itm#1)" {clk} -attr xrf 18424 -attr oid 570 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(FRAME:acc#12.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(FRAME:acc#12.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {FRAME:acc#12.itm#1(0)} -pin "reg(FRAME:acc#12.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(1)} -pin "reg(FRAME:acc#12.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(2)} -pin "reg(FRAME:acc#12.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(3)} -pin "reg(FRAME:acc#12.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(4)} -pin "reg(FRAME:acc#12.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(5)} -pin "reg(FRAME:acc#12.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load inst "reg(main.stage_0#2)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18425 -attr oid 571 -attr @path {/sobel/sobel:core/reg(main.stage_0#2)}
-load net {PWR} -pin "reg(main.stage_0#2)" {D(0)} -attr @path {/sobel/sobel:core/C1365_11#67}
-load net {GND} -pin "reg(main.stage_0#2)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
-load net {clk} -pin "reg(main.stage_0#2)" {clk} -attr xrf 18426 -attr oid 572 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(main.stage_0#2)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(main.stage_0#2)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {main.stage_0#2} -pin "reg(main.stage_0#2)" {Z(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
-load inst "reg(main.stage_0#3)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18427 -attr oid 573 -attr @path {/sobel/sobel:core/reg(main.stage_0#3)}
-load net {main.stage_0#2} -pin "reg(main.stage_0#3)" {D(0)} -attr @path {/sobel/sobel:core/main.stage_0#2}
-load net {GND} -pin "reg(main.stage_0#3)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1#1}
-load net {clk} -pin "reg(main.stage_0#3)" {clk} -attr xrf 18428 -attr oid 574 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(main.stage_0#3)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(main.stage_0#3)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {main.stage_0#3} -pin "reg(main.stage_0#3)" {Z(0)} -attr @path {/sobel/sobel:core/main.stage_0#3}
-load inst "ACC1:acc#144" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18429 -attr oid 575 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(15)} -pin "ACC1:acc#144" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#9.itm}
-load net {acc.idiv#2.sva(15)} -pin "ACC1:acc#144" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#37.itm}
-load net {ACC1:acc#144.itm(0)} -pin "ACC1:acc#144" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
-load net {ACC1:acc#144.itm(1)} -pin "ACC1:acc#144" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
-load inst "ACC1:mul#101" "mul(2,0,11,0,12)" "INTERFACE" -attr xrf 18430 -attr oid 576 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,11,0,12)"
-load net {ACC1:acc#144.itm(0)} -pin "ACC1:mul#101" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
-load net {ACC1:acc#144.itm(1)} -pin "ACC1:mul#101" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#144.itm}
-load net {PWR} -pin "ACC1:mul#101" {B(0)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#101" {B(1)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#101" {B(2)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#101" {B(3)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#101" {B(4)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#101" {B(5)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#101" {B(6)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#101" {B(7)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#101" {B(8)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#101" {B(9)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#101" {B(10)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {ACC1:mul#101.itm(0)} -pin "ACC1:mul#101" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(1)} -pin "ACC1:mul#101" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(2)} -pin "ACC1:mul#101" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(3)} -pin "ACC1:mul#101" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(4)} -pin "ACC1:mul#101" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(5)} -pin "ACC1:mul#101" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(6)} -pin "ACC1:mul#101" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(7)} -pin "ACC1:mul#101" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(8)} -pin "ACC1:mul#101" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(9)} -pin "ACC1:mul#101" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(10)} -pin "ACC1:mul#101" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load net {ACC1:mul#101.itm(11)} -pin "ACC1:mul#101" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#101.itm}
-load inst "ACC1:acc#138" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18431 -attr oid 577 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(12)} -pin "ACC1:acc#138" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#33.itm}
-load net {acc.idiv#7.sva(12)} -pin "ACC1:acc#138" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#25.itm}
-load net {ACC1:acc#138.itm(0)} -pin "ACC1:acc#138" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
-load net {ACC1:acc#138.itm(1)} -pin "ACC1:acc#138" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
-load inst "ACC1:mul#95" "mul(2,0,11,0,12)" "INTERFACE" -attr xrf 18432 -attr oid 578 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,11,0,12)"
-load net {ACC1:acc#138.itm(0)} -pin "ACC1:mul#95" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
-load net {ACC1:acc#138.itm(1)} -pin "ACC1:mul#95" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#138.itm}
-load net {PWR} -pin "ACC1:mul#95" {B(0)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#95" {B(1)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#95" {B(2)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#95" {B(3)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#95" {B(4)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#95" {B(5)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#95" {B(6)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#95" {B(7)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#95" {B(8)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#95" {B(9)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#95" {B(10)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {ACC1:mul#95.itm(0)} -pin "ACC1:mul#95" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(1)} -pin "ACC1:mul#95" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(2)} -pin "ACC1:mul#95" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(3)} -pin "ACC1:mul#95" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(4)} -pin "ACC1:mul#95" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(5)} -pin "ACC1:mul#95" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(6)} -pin "ACC1:mul#95" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(7)} -pin "ACC1:mul#95" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(8)} -pin "ACC1:mul#95" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(9)} -pin "ACC1:mul#95" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(10)} -pin "ACC1:mul#95" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load net {ACC1:mul#95.itm(11)} -pin "ACC1:mul#95" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#95.itm}
-load inst "ACC1:acc#143" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18433 -attr oid 579 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(13)} -pin "ACC1:acc#143" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#8.itm}
-load net {acc.idiv#2.sva(13)} -pin "ACC1:acc#143" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#21.itm}
-load net {ACC1:acc#143.itm(0)} -pin "ACC1:acc#143" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
-load net {ACC1:acc#143.itm(1)} -pin "ACC1:acc#143" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
-load inst "ACC1:mul#100" "mul(2,0,9,0,10)" "INTERFACE" -attr xrf 18434 -attr oid 580 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
-load net {ACC1:acc#143.itm(0)} -pin "ACC1:mul#100" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
-load net {ACC1:acc#143.itm(1)} -pin "ACC1:mul#100" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#143.itm}
-load net {PWR} -pin "ACC1:mul#100" {B(0)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#100" {B(1)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#100" {B(2)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#100" {B(3)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#100" {B(4)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#100" {B(5)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#100" {B(6)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#100" {B(7)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#100" {B(8)} -attr @path {/sobel/sobel:core/C341_9}
-load net {ACC1:mul#100.itm(0)} -pin "ACC1:mul#100" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(1)} -pin "ACC1:mul#100" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(2)} -pin "ACC1:mul#100" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(3)} -pin "ACC1:mul#100" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(4)} -pin "ACC1:mul#100" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(5)} -pin "ACC1:mul#100" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(6)} -pin "ACC1:mul#100" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(7)} -pin "ACC1:mul#100" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(8)} -pin "ACC1:mul#100" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load net {ACC1:mul#100.itm(9)} -pin "ACC1:mul#100" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#100.itm}
-load inst "ACC1:acc#272" "add(13,0,13,0,14)" "INTERFACE" -attr xrf 18435 -attr oid 581 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272} -attr area 14.215154 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(13,0,13,0,14)"
-load net {ACC1:acc#189.itm(3)} -pin "ACC1:acc#272" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(0)} -pin "ACC1:acc#272" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(1)} -pin "ACC1:acc#272" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(2)} -pin "ACC1:acc#272" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(3)} -pin "ACC1:acc#272" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(4)} -pin "ACC1:acc#272" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(5)} -pin "ACC1:acc#272" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(6)} -pin "ACC1:acc#272" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(7)} -pin "ACC1:acc#272" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(8)} -pin "ACC1:acc#272" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(9)} -pin "ACC1:acc#272" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(10)} -pin "ACC1:acc#272" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {ACC1:mul#95.itm(11)} -pin "ACC1:acc#272" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#253.itm}
-load net {acc.idiv#3.sva(5)} -pin "ACC1:acc#272" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {acc.idiv#3.sva(5)} -pin "ACC1:acc#272" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {acc.idiv#7.sva(3)} -pin "ACC1:acc#272" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(0)} -pin "ACC1:acc#272" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(1)} -pin "ACC1:acc#272" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(2)} -pin "ACC1:acc#272" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(3)} -pin "ACC1:acc#272" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(4)} -pin "ACC1:acc#272" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(5)} -pin "ACC1:acc#272" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(6)} -pin "ACC1:acc#272" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(7)} -pin "ACC1:acc#272" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(8)} -pin "ACC1:acc#272" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:mul#100.itm(9)} -pin "ACC1:acc#272" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#276.itm}
-load net {ACC1:acc#272.itm(0)} -pin "ACC1:acc#272" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(1)} -pin "ACC1:acc#272" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(2)} -pin "ACC1:acc#272" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(3)} -pin "ACC1:acc#272" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(4)} -pin "ACC1:acc#272" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(5)} -pin "ACC1:acc#272" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(6)} -pin "ACC1:acc#272" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(7)} -pin "ACC1:acc#272" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(8)} -pin "ACC1:acc#272" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(9)} -pin "ACC1:acc#272" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(10)} -pin "ACC1:acc#272" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(11)} -pin "ACC1:acc#272" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(12)} -pin "ACC1:acc#272" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(13)} -pin "ACC1:acc#272" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load inst "ACC1:acc#275" "add(15,0,14,0,16)" "INTERFACE" -attr xrf 18436 -attr oid 582 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275} -attr area 16.197770 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,15,0,16)"
-load net {acc.idiv.sva(17)} -pin "ACC1:acc#275" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {acc.idiv.sva(17)} -pin "ACC1:acc#275" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:acc#174.itm(4)} -pin "ACC1:acc#275" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(0)} -pin "ACC1:acc#275" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(1)} -pin "ACC1:acc#275" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(2)} -pin "ACC1:acc#275" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(3)} -pin "ACC1:acc#275" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(4)} -pin "ACC1:acc#275" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(5)} -pin "ACC1:acc#275" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(6)} -pin "ACC1:acc#275" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(7)} -pin "ACC1:acc#275" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(8)} -pin "ACC1:acc#275" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(9)} -pin "ACC1:acc#275" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(10)} -pin "ACC1:acc#275" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:mul#101.itm(11)} -pin "ACC1:acc#275" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#277.itm}
-load net {ACC1:acc#272.itm(0)} -pin "ACC1:acc#275" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(1)} -pin "ACC1:acc#275" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(2)} -pin "ACC1:acc#275" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(3)} -pin "ACC1:acc#275" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(4)} -pin "ACC1:acc#275" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(5)} -pin "ACC1:acc#275" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(6)} -pin "ACC1:acc#275" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(7)} -pin "ACC1:acc#275" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(8)} -pin "ACC1:acc#275" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(9)} -pin "ACC1:acc#275" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(10)} -pin "ACC1:acc#275" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(11)} -pin "ACC1:acc#275" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(12)} -pin "ACC1:acc#275" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#272.itm(13)} -pin "ACC1:acc#275" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#272.itm}
-load net {ACC1:acc#275.itm(0)} -pin "ACC1:acc#275" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(1)} -pin "ACC1:acc#275" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(2)} -pin "ACC1:acc#275" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(3)} -pin "ACC1:acc#275" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(4)} -pin "ACC1:acc#275" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(5)} -pin "ACC1:acc#275" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(6)} -pin "ACC1:acc#275" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(7)} -pin "ACC1:acc#275" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(8)} -pin "ACC1:acc#275" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(9)} -pin "ACC1:acc#275" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(10)} -pin "ACC1:acc#275" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(11)} -pin "ACC1:acc#275" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(12)} -pin "ACC1:acc#275" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(13)} -pin "ACC1:acc#275" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(14)} -pin "ACC1:acc#275" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(15)} -pin "ACC1:acc#275" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load inst "ACC1:acc#140" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18437 -attr oid 583 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(16)} -pin "ACC1:acc#140" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#38.itm}
-load net {acc.idiv#7.sva(16)} -pin "ACC1:acc#140" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#32.itm}
-load net {ACC1:acc#140.itm(0)} -pin "ACC1:acc#140" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
-load net {ACC1:acc#140.itm(1)} -pin "ACC1:acc#140" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
-load inst "ACC1:mul#97" "mul(2,0,15,-1,15)" "INTERFACE" -attr xrf 18438 -attr oid 584 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,15,0,16)"
-load net {ACC1:acc#140.itm(0)} -pin "ACC1:mul#97" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
-load net {ACC1:acc#140.itm(1)} -pin "ACC1:mul#97" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#140.itm}
-load net {PWR} -pin "ACC1:mul#97" {B(0)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(1)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(2)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(3)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(4)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(5)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(6)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(7)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(8)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(9)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(10)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(11)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(12)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {GND} -pin "ACC1:mul#97" {B(13)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {PWR} -pin "ACC1:mul#97" {B(14)} -attr @path {/sobel/sobel:core/Cn10923_15}
-load net {ACC1:mul#97.itm(0)} -pin "ACC1:mul#97" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(1)} -pin "ACC1:mul#97" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(2)} -pin "ACC1:mul#97" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(3)} -pin "ACC1:mul#97" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(4)} -pin "ACC1:mul#97" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(5)} -pin "ACC1:mul#97" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(6)} -pin "ACC1:mul#97" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(7)} -pin "ACC1:mul#97" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(8)} -pin "ACC1:mul#97" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(9)} -pin "ACC1:mul#97" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(10)} -pin "ACC1:mul#97" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(11)} -pin "ACC1:mul#97" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(12)} -pin "ACC1:mul#97" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(13)} -pin "ACC1:mul#97" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load net {ACC1:mul#97.itm(14)} -pin "ACC1:mul#97" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#97.itm}
-load inst "ACC1:acc#279" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 18439 -attr oid 585 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {ACC1:acc#275.itm(0)} -pin "ACC1:acc#279" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(1)} -pin "ACC1:acc#279" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(2)} -pin "ACC1:acc#279" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(3)} -pin "ACC1:acc#279" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(4)} -pin "ACC1:acc#279" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(5)} -pin "ACC1:acc#279" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(6)} -pin "ACC1:acc#279" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(7)} -pin "ACC1:acc#279" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(8)} -pin "ACC1:acc#279" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(9)} -pin "ACC1:acc#279" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(10)} -pin "ACC1:acc#279" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(11)} -pin "ACC1:acc#279" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(12)} -pin "ACC1:acc#279" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(13)} -pin "ACC1:acc#279" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(14)} -pin "ACC1:acc#279" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {ACC1:acc#275.itm(15)} -pin "ACC1:acc#279" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#275.itm}
-load net {acc.imod#1.sva(1)} -pin "ACC1:acc#279" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(0)} -pin "ACC1:acc#279" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(1)} -pin "ACC1:acc#279" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(2)} -pin "ACC1:acc#279" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(3)} -pin "ACC1:acc#279" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(4)} -pin "ACC1:acc#279" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(5)} -pin "ACC1:acc#279" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(6)} -pin "ACC1:acc#279" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(7)} -pin "ACC1:acc#279" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(8)} -pin "ACC1:acc#279" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(9)} -pin "ACC1:acc#279" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(10)} -pin "ACC1:acc#279" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(11)} -pin "ACC1:acc#279" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(12)} -pin "ACC1:acc#279" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(13)} -pin "ACC1:acc#279" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:mul#97.itm(14)} -pin "ACC1:acc#279" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#255.itm}
-load net {ACC1:acc#279.itm(0)} -pin "ACC1:acc#279" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(1)} -pin "ACC1:acc#279" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(2)} -pin "ACC1:acc#279" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(3)} -pin "ACC1:acc#279" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(4)} -pin "ACC1:acc#279" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(5)} -pin "ACC1:acc#279" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(6)} -pin "ACC1:acc#279" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(7)} -pin "ACC1:acc#279" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(8)} -pin "ACC1:acc#279" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(9)} -pin "ACC1:acc#279" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(10)} -pin "ACC1:acc#279" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(11)} -pin "ACC1:acc#279" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(12)} -pin "ACC1:acc#279" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(13)} -pin "ACC1:acc#279" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(14)} -pin "ACC1:acc#279" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(15)} -pin "ACC1:acc#279" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load inst "ACC1:acc#150" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18440 -attr oid 586 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(15)} -pin "ACC1:acc#150" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#8.itm}
-load net {acc.idiv#7.sva(15)} -pin "ACC1:acc#150" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#23.itm}
-load net {ACC1:acc#150.itm(0)} -pin "ACC1:acc#150" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
-load net {ACC1:acc#150.itm(1)} -pin "ACC1:acc#150" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
-load inst "ACC1:acc#149" "add(2,-1,1,0,2)" "INTERFACE" -attr xrf 18441 -attr oid 587 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {ACC1:acc#150.itm(0)} -pin "ACC1:acc#149" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
-load net {ACC1:acc#150.itm(1)} -pin "ACC1:acc#149" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#150.itm}
-load net {acc.idiv#7.sva(17)} -pin "ACC1:acc#149" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#44.itm}
-load net {ACC1:acc#149.itm(0)} -pin "ACC1:acc#149" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
-load net {ACC1:acc#149.itm(1)} -pin "ACC1:acc#149" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
-load inst "ACC1:acc#148" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 18442 -attr oid 588 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {ACC1:acc#149.itm(0)} -pin "ACC1:acc#148" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
-load net {ACC1:acc#149.itm(1)} -pin "ACC1:acc#148" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#149.itm}
-load net {acc.idiv#3.sva(17)} -pin "ACC1:acc#148" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#6.itm}
-load net {ACC1:acc#148.itm(0)} -pin "ACC1:acc#148" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load net {ACC1:acc#148.itm(1)} -pin "ACC1:acc#148" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load net {ACC1:acc#148.itm(2)} -pin "ACC1:acc#148" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load inst "ACC1:mul#105" "mul(3,0,11,0,12)" "INTERFACE" -attr xrf 18443 -attr oid 589 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,11,0,12)"
-load net {ACC1:acc#148.itm(0)} -pin "ACC1:mul#105" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load net {ACC1:acc#148.itm(1)} -pin "ACC1:mul#105" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load net {ACC1:acc#148.itm(2)} -pin "ACC1:mul#105" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#148.itm}
-load net {PWR} -pin "ACC1:mul#105" {B(0)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#105" {B(1)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#105" {B(2)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#105" {B(3)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#105" {B(4)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#105" {B(5)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#105" {B(6)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#105" {B(7)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#105" {B(8)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#105" {B(9)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#105" {B(10)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {ACC1:mul#105.itm(0)} -pin "ACC1:mul#105" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(1)} -pin "ACC1:mul#105" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(2)} -pin "ACC1:mul#105" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(3)} -pin "ACC1:mul#105" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(4)} -pin "ACC1:mul#105" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(5)} -pin "ACC1:mul#105" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(6)} -pin "ACC1:mul#105" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(7)} -pin "ACC1:mul#105" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(8)} -pin "ACC1:mul#105" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(9)} -pin "ACC1:mul#105" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(10)} -pin "ACC1:mul#105" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load net {ACC1:mul#105.itm(11)} -pin "ACC1:mul#105" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#105.itm}
-load inst "ACC1:acc#151" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18444 -attr oid 590 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(16)} -pin "ACC1:acc#151" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#25.itm}
-load net {acc.idiv#2.sva(16)} -pin "ACC1:acc#151" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#22.itm}
-load net {ACC1:acc#151.itm(0)} -pin "ACC1:acc#151" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
-load net {ACC1:acc#151.itm(1)} -pin "ACC1:acc#151" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
-load inst "mul" "mul(2,0,15,0,16)" "INTERFACE" -attr xrf 18445 -attr oid 591 -attr vt d -attr @path {/sobel/sobel:core/mul} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,15,0,16)"
-load net {ACC1:acc#151.itm(0)} -pin "mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
-load net {ACC1:acc#151.itm(1)} -pin "mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#151.itm}
-load net {PWR} -pin "mul" {B(0)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(1)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(2)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(3)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(4)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(5)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(6)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(7)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(8)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(9)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(10)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(11)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(12)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {GND} -pin "mul" {B(13)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {PWR} -pin "mul" {B(14)} -attr @path {/sobel/sobel:core/C21845_15}
-load net {mul.itm(0)} -pin "mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(1)} -pin "mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(2)} -pin "mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(3)} -pin "mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(4)} -pin "mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(5)} -pin "mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(6)} -pin "mul" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(7)} -pin "mul" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(8)} -pin "mul" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(9)} -pin "mul" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(10)} -pin "mul" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(11)} -pin "mul" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(12)} -pin "mul" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(13)} -pin "mul" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(14)} -pin "mul" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(15)} -pin "mul" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load inst "ACC1:acc#278" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 18446 -attr oid 592 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {acc.idiv#3.sva(7)} -pin "ACC1:acc#278" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {acc.idiv#3.sva(7)} -pin "ACC1:acc#278" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {GND} -pin "ACC1:acc#278" {A(2)} -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {acc.idiv#2.sva(7)} -pin "ACC1:acc#278" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(0)} -pin "ACC1:acc#278" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(1)} -pin "ACC1:acc#278" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(2)} -pin "ACC1:acc#278" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(3)} -pin "ACC1:acc#278" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(4)} -pin "ACC1:acc#278" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(5)} -pin "ACC1:acc#278" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(6)} -pin "ACC1:acc#278" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(7)} -pin "ACC1:acc#278" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(8)} -pin "ACC1:acc#278" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(9)} -pin "ACC1:acc#278" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(10)} -pin "ACC1:acc#278" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {ACC1:mul#105.itm(11)} -pin "ACC1:acc#278" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/conc#312.itm}
-load net {mul.itm(0)} -pin "ACC1:acc#278" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(1)} -pin "ACC1:acc#278" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(2)} -pin "ACC1:acc#278" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(3)} -pin "ACC1:acc#278" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(4)} -pin "ACC1:acc#278" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(5)} -pin "ACC1:acc#278" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(6)} -pin "ACC1:acc#278" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(7)} -pin "ACC1:acc#278" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(8)} -pin "ACC1:acc#278" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(9)} -pin "ACC1:acc#278" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(10)} -pin "ACC1:acc#278" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(11)} -pin "ACC1:acc#278" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(12)} -pin "ACC1:acc#278" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(13)} -pin "ACC1:acc#278" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(14)} -pin "ACC1:acc#278" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {mul.itm(15)} -pin "ACC1:acc#278" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/mul.itm}
-load net {ACC1:acc#278.itm(0)} -pin "ACC1:acc#278" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(1)} -pin "ACC1:acc#278" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(2)} -pin "ACC1:acc#278" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(3)} -pin "ACC1:acc#278" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(4)} -pin "ACC1:acc#278" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(5)} -pin "ACC1:acc#278" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(6)} -pin "ACC1:acc#278" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(7)} -pin "ACC1:acc#278" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(8)} -pin "ACC1:acc#278" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(9)} -pin "ACC1:acc#278" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(10)} -pin "ACC1:acc#278" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(11)} -pin "ACC1:acc#278" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(12)} -pin "ACC1:acc#278" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(13)} -pin "ACC1:acc#278" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(14)} -pin "ACC1:acc#278" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(15)} -pin "ACC1:acc#278" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load inst "ACC1:acc#281" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 18447 -attr oid 593 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {ACC1:acc#279.itm(0)} -pin "ACC1:acc#281" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(1)} -pin "ACC1:acc#281" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(2)} -pin "ACC1:acc#281" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(3)} -pin "ACC1:acc#281" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(4)} -pin "ACC1:acc#281" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(5)} -pin "ACC1:acc#281" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(6)} -pin "ACC1:acc#281" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(7)} -pin "ACC1:acc#281" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(8)} -pin "ACC1:acc#281" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(9)} -pin "ACC1:acc#281" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(10)} -pin "ACC1:acc#281" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(11)} -pin "ACC1:acc#281" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(12)} -pin "ACC1:acc#281" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(13)} -pin "ACC1:acc#281" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(14)} -pin "ACC1:acc#281" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#279.itm(15)} -pin "ACC1:acc#281" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#279.itm}
-load net {ACC1:acc#278.itm(0)} -pin "ACC1:acc#281" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(1)} -pin "ACC1:acc#281" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(2)} -pin "ACC1:acc#281" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(3)} -pin "ACC1:acc#281" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(4)} -pin "ACC1:acc#281" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(5)} -pin "ACC1:acc#281" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(6)} -pin "ACC1:acc#281" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(7)} -pin "ACC1:acc#281" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(8)} -pin "ACC1:acc#281" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(9)} -pin "ACC1:acc#281" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(10)} -pin "ACC1:acc#281" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(11)} -pin "ACC1:acc#281" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(12)} -pin "ACC1:acc#281" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(13)} -pin "ACC1:acc#281" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(14)} -pin "ACC1:acc#281" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#278.itm(15)} -pin "ACC1:acc#281" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#278.itm}
-load net {ACC1:acc#281.itm(0)} -pin "ACC1:acc#281" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(1)} -pin "ACC1:acc#281" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(2)} -pin "ACC1:acc#281" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(3)} -pin "ACC1:acc#281" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(4)} -pin "ACC1:acc#281" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(5)} -pin "ACC1:acc#281" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(6)} -pin "ACC1:acc#281" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(7)} -pin "ACC1:acc#281" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(8)} -pin "ACC1:acc#281" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(9)} -pin "ACC1:acc#281" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(10)} -pin "ACC1:acc#281" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(11)} -pin "ACC1:acc#281" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(12)} -pin "ACC1:acc#281" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(13)} -pin "ACC1:acc#281" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(14)} -pin "ACC1:acc#281" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(15)} -pin "ACC1:acc#281" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load inst "reg(ACC1:acc#281.itm#1)" "reg(16,1,1,-1,0)" "INTERFACE" -attr xrf 18448 -attr oid 594 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#281.itm#1)}
-load net {ACC1:acc#281.itm(0)} -pin "reg(ACC1:acc#281.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(1)} -pin "reg(ACC1:acc#281.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(2)} -pin "reg(ACC1:acc#281.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(3)} -pin "reg(ACC1:acc#281.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(4)} -pin "reg(ACC1:acc#281.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(5)} -pin "reg(ACC1:acc#281.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(6)} -pin "reg(ACC1:acc#281.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(7)} -pin "reg(ACC1:acc#281.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(8)} -pin "reg(ACC1:acc#281.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(9)} -pin "reg(ACC1:acc#281.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(10)} -pin "reg(ACC1:acc#281.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(11)} -pin "reg(ACC1:acc#281.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(12)} -pin "reg(ACC1:acc#281.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(13)} -pin "reg(ACC1:acc#281.itm#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(14)} -pin "reg(ACC1:acc#281.itm#1)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {ACC1:acc#281.itm(15)} -pin "reg(ACC1:acc#281.itm#1)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_16}
-load net {GND} -pin "reg(ACC1:acc#281.itm#1)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_16}
-load net {clk} -pin "reg(ACC1:acc#281.itm#1)" {clk} -attr xrf 18449 -attr oid 595 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:acc#281.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:acc#281.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:acc#281.itm#1(0)} -pin "reg(ACC1:acc#281.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(1)} -pin "reg(ACC1:acc#281.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(2)} -pin "reg(ACC1:acc#281.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(3)} -pin "reg(ACC1:acc#281.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(4)} -pin "reg(ACC1:acc#281.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(5)} -pin "reg(ACC1:acc#281.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(6)} -pin "reg(ACC1:acc#281.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(7)} -pin "reg(ACC1:acc#281.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(8)} -pin "reg(ACC1:acc#281.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(9)} -pin "reg(ACC1:acc#281.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(10)} -pin "reg(ACC1:acc#281.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(11)} -pin "reg(ACC1:acc#281.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(12)} -pin "reg(ACC1:acc#281.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(13)} -pin "reg(ACC1:acc#281.itm#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(14)} -pin "reg(ACC1:acc#281.itm#1)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(15)} -pin "reg(ACC1:acc#281.itm#1)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load inst "ACC1:acc#152" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18450 -attr oid 596 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(17)} -pin "ACC1:acc#152" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#33.itm}
-load net {acc.idiv#2.sva(17)} -pin "ACC1:acc#152" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#29.itm}
-load net {ACC1:acc#152.itm(0)} -pin "ACC1:acc#152" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
-load net {ACC1:acc#152.itm(1)} -pin "ACC1:acc#152" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
-load inst "mul#1" "mul(2,0,13,-1,13)" "INTERFACE" -attr xrf 18451 -attr oid 597 -attr vt d -attr @path {/sobel/sobel:core/mul#1} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,13,0,14)"
-load net {ACC1:acc#152.itm(0)} -pin "mul#1" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
-load net {ACC1:acc#152.itm(1)} -pin "mul#1" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#152.itm}
-load net {PWR} -pin "mul#1" {B(0)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {GND} -pin "mul#1" {B(1)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {PWR} -pin "mul#1" {B(2)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {GND} -pin "mul#1" {B(3)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {PWR} -pin "mul#1" {B(4)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {GND} -pin "mul#1" {B(5)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {PWR} -pin "mul#1" {B(6)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {GND} -pin "mul#1" {B(7)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {PWR} -pin "mul#1" {B(8)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {GND} -pin "mul#1" {B(9)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {PWR} -pin "mul#1" {B(10)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {GND} -pin "mul#1" {B(11)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {PWR} -pin "mul#1" {B(12)} -attr @path {/sobel/sobel:core/Cn2731_13}
-load net {mul#1.itm(0)} -pin "mul#1" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(1)} -pin "mul#1" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(2)} -pin "mul#1" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(3)} -pin "mul#1" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(4)} -pin "mul#1" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(5)} -pin "mul#1" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(6)} -pin "mul#1" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(7)} -pin "mul#1" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(8)} -pin "mul#1" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(9)} -pin "mul#1" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(10)} -pin "mul#1" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(11)} -pin "mul#1" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(12)} -pin "mul#1" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load inst "reg(mul#1.itm#1)" "reg(13,1,1,-1,0)" "INTERFACE" -attr xrf 18452 -attr oid 598 -attr vt d -attr @path {/sobel/sobel:core/reg(mul#1.itm#1)}
-load net {mul#1.itm(0)} -pin "reg(mul#1.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(1)} -pin "reg(mul#1.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(2)} -pin "reg(mul#1.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(3)} -pin "reg(mul#1.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(4)} -pin "reg(mul#1.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(5)} -pin "reg(mul#1.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(6)} -pin "reg(mul#1.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(7)} -pin "reg(mul#1.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(8)} -pin "reg(mul#1.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(9)} -pin "reg(mul#1.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(10)} -pin "reg(mul#1.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(11)} -pin "reg(mul#1.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {mul#1.itm(12)} -pin "reg(mul#1.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_13}
-load net {GND} -pin "reg(mul#1.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_13}
-load net {clk} -pin "reg(mul#1.itm#1)" {clk} -attr xrf 18453 -attr oid 599 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(mul#1.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(mul#1.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {mul#1.itm#1(0)} -pin "reg(mul#1.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(1)} -pin "reg(mul#1.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(2)} -pin "reg(mul#1.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(3)} -pin "reg(mul#1.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(4)} -pin "reg(mul#1.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(5)} -pin "reg(mul#1.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(6)} -pin "reg(mul#1.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(7)} -pin "reg(mul#1.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(8)} -pin "reg(mul#1.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(9)} -pin "reg(mul#1.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(10)} -pin "reg(mul#1.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(11)} -pin "reg(mul#1.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load net {mul#1.itm#1(12)} -pin "reg(mul#1.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/mul#1.itm#1}
-load inst "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18454 -attr oid 600 -attr @path {/sobel/sobel:core/reg(ACC1-2:slc(acc.idiv)#131.itm#1)}
-load net {acc.idiv#3.sva(13)} -pin "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#27.itm}
-load net {GND} -pin "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" {clk} -attr xrf 18455 -attr oid 601 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1-2:slc(acc.idiv)#131.itm#1} -pin "reg(ACC1-2:slc(acc.idiv)#131.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:slc(acc.idiv)#131.itm#1}
-load inst "ACC1:acc#142" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18456 -attr oid 602 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(11)} -pin "ACC1:acc#142" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#31.itm}
-load net {acc.idiv#2.sva(11)} -pin "ACC1:acc#142" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#26.itm}
-load net {ACC1:acc#142.itm(0)} -pin "ACC1:acc#142" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
-load net {ACC1:acc#142.itm(1)} -pin "ACC1:acc#142" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
-load inst "ACC1:mul#99" "mul(2,0,7,0,8)" "INTERFACE" -attr xrf 18457 -attr oid 603 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,7,0,8)"
-load net {ACC1:acc#142.itm(0)} -pin "ACC1:mul#99" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
-load net {ACC1:acc#142.itm(1)} -pin "ACC1:mul#99" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#142.itm}
-load net {PWR} -pin "ACC1:mul#99" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#99" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#99" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#99" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#99" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#99" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#99" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
-load net {ACC1:mul#99.itm(0)} -pin "ACC1:mul#99" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(1)} -pin "ACC1:mul#99" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(2)} -pin "ACC1:mul#99" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(3)} -pin "ACC1:mul#99" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(4)} -pin "ACC1:mul#99" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(5)} -pin "ACC1:mul#99" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(6)} -pin "ACC1:mul#99" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(7)} -pin "ACC1:mul#99" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load inst "reg(ACC1:mul#99.itm#1)" "reg(8,1,1,-1,0)" "INTERFACE" -attr xrf 18458 -attr oid 604 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#99.itm#1)}
-load net {ACC1:mul#99.itm(0)} -pin "reg(ACC1:mul#99.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(1)} -pin "reg(ACC1:mul#99.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(2)} -pin "reg(ACC1:mul#99.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(3)} -pin "reg(ACC1:mul#99.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(4)} -pin "reg(ACC1:mul#99.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(5)} -pin "reg(ACC1:mul#99.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(6)} -pin "reg(ACC1:mul#99.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {ACC1:mul#99.itm(7)} -pin "reg(ACC1:mul#99.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#99.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_8}
-load net {clk} -pin "reg(ACC1:mul#99.itm#1)" {clk} -attr xrf 18459 -attr oid 605 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#99.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#99.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#99.itm#1(0)} -pin "reg(ACC1:mul#99.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(1)} -pin "reg(ACC1:mul#99.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(2)} -pin "reg(ACC1:mul#99.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(3)} -pin "reg(ACC1:mul#99.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(4)} -pin "reg(ACC1:mul#99.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(5)} -pin "reg(ACC1:mul#99.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(6)} -pin "reg(ACC1:mul#99.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load net {ACC1:mul#99.itm#1(7)} -pin "reg(ACC1:mul#99.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#99.itm#1}
-load inst "reg(ACC1:slc(acc.imod#17)#8.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18460 -attr oid 606 -attr @path {/sobel/sobel:core/reg(ACC1:slc(acc.imod#17)#8.itm#1)}
-load net {ACC1:acc#162.itm(4)} -pin "reg(ACC1:slc(acc.imod#17)#8.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#17.sva)#2.itm}
-load net {GND} -pin "reg(ACC1:slc(acc.imod#17)#8.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1:slc(acc.imod#17)#8.itm#1)" {clk} -attr xrf 18461 -attr oid 607 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(acc.imod#17)#8.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(acc.imod#17)#8.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(acc.imod#17)#8.itm#1} -pin "reg(ACC1:slc(acc.imod#17)#8.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:slc(acc.imod#17)#8.itm#1}
-load inst "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18462 -attr oid 608 -attr @path {/sobel/sobel:core/reg(ACC1-2:slc(acc.idiv)#106.itm#1)}
-load net {acc.idiv#3.sva(17)} -pin "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#19.itm}
-load net {GND} -pin "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" {clk} -attr xrf 18463 -attr oid 609 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1-2:slc(acc.idiv)#106.itm#1} -pin "reg(ACC1-2:slc(acc.idiv)#106.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:slc(acc.idiv)#106.itm#1}
-load inst "ACC1:acc#135" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18464 -attr oid 610 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(6)} -pin "ACC1:acc#135" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#37.itm}
-load net {acc.idiv#7.sva(6)} -pin "ACC1:acc#135" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#29.itm}
-load net {ACC1:acc#135.itm(0)} -pin "ACC1:acc#135" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
-load net {ACC1:acc#135.itm(1)} -pin "ACC1:acc#135" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
-load inst "ACC1:mul#92" "mul(2,0,5,0,6)" "INTERFACE" -attr xrf 18465 -attr oid 611 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
-load net {ACC1:acc#135.itm(0)} -pin "ACC1:mul#92" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
-load net {ACC1:acc#135.itm(1)} -pin "ACC1:mul#92" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#135.itm}
-load net {PWR} -pin "ACC1:mul#92" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul#92" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul#92" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul#92" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul#92" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
-load net {ACC1:mul#92.itm(0)} -pin "ACC1:mul#92" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load net {ACC1:mul#92.itm(1)} -pin "ACC1:mul#92" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load net {ACC1:mul#92.itm(2)} -pin "ACC1:mul#92" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load net {ACC1:mul#92.itm(3)} -pin "ACC1:mul#92" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load net {ACC1:mul#92.itm(4)} -pin "ACC1:mul#92" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load net {ACC1:mul#92.itm(5)} -pin "ACC1:mul#92" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#92.itm}
-load inst "ACC1:acc#259" "add(7,-1,7,-1,7)" "INTERFACE" -attr xrf 18466 -attr oid 612 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
-load net {acc.idiv.sva(9)} -pin "ACC1:acc#259" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {acc.idiv.sva(9)} -pin "ACC1:acc#259" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {PWR} -pin "ACC1:acc#259" {A(2)} -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {GND} -pin "ACC1:acc#259" {A(3)} -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {PWR} -pin "ACC1:acc#259" {A(4)} -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {GND} -pin "ACC1:acc#259" {A(5)} -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {PWR} -pin "ACC1:acc#259" {A(6)} -attr @path {/sobel/sobel:core/conc#313.itm}
-load net {acc.idiv#2.sva(3)} -pin "ACC1:acc#259" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(0)} -pin "ACC1:acc#259" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(1)} -pin "ACC1:acc#259" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(2)} -pin "ACC1:acc#259" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(3)} -pin "ACC1:acc#259" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(4)} -pin "ACC1:acc#259" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:mul#92.itm(5)} -pin "ACC1:acc#259" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#250.itm}
-load net {ACC1:acc#259.itm(0)} -pin "ACC1:acc#259" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(1)} -pin "ACC1:acc#259" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(2)} -pin "ACC1:acc#259" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(3)} -pin "ACC1:acc#259" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(4)} -pin "ACC1:acc#259" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(5)} -pin "ACC1:acc#259" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(6)} -pin "ACC1:acc#259" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load inst "ACC1:acc#227" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18467 -attr oid 613 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#3.sva(11)} -pin "ACC1:acc#227" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#3.itm}
-load net {acc.idiv#3.sva(11)} -pin "ACC1:acc#227" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#3.itm}
-load net {acc.idiv#3.sva(13)} -pin "ACC1:acc#227" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#4.itm}
-load net {acc.idiv#3.sva(13)} -pin "ACC1:acc#227" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#4.itm}
-load net {ACC1:acc#227.itm(0)} -pin "ACC1:acc#227" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load net {ACC1:acc#227.itm(1)} -pin "ACC1:acc#227" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load net {ACC1:acc#227.itm(2)} -pin "ACC1:acc#227" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load inst "ACC1:acc#226" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18468 -attr oid 614 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#3.sva(15)} -pin "ACC1:acc#226" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#5.itm}
-load net {acc.idiv#3.sva(15)} -pin "ACC1:acc#226" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#5.itm}
-load net {acc.idiv#7.sva(17)} -pin "ACC1:acc#226" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#40.itm}
-load net {acc.idiv#7.sva(17)} -pin "ACC1:acc#226" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#40.itm}
-load net {ACC1:acc#226.itm(0)} -pin "ACC1:acc#226" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load net {ACC1:acc#226.itm(1)} -pin "ACC1:acc#226" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load net {ACC1:acc#226.itm(2)} -pin "ACC1:acc#226" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load inst "ACC1:acc#241" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18469 -attr oid 615 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#227.itm(0)} -pin "ACC1:acc#241" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load net {ACC1:acc#227.itm(1)} -pin "ACC1:acc#241" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load net {ACC1:acc#227.itm(2)} -pin "ACC1:acc#241" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#227.itm}
-load net {ACC1:acc#226.itm(0)} -pin "ACC1:acc#241" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load net {ACC1:acc#226.itm(1)} -pin "ACC1:acc#241" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load net {ACC1:acc#226.itm(2)} -pin "ACC1:acc#241" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#226.itm}
-load net {ACC1:acc#241.itm(0)} -pin "ACC1:acc#241" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#241.itm(1)} -pin "ACC1:acc#241" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#241.itm(2)} -pin "ACC1:acc#241" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#241.itm(3)} -pin "ACC1:acc#241" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load inst "ACC1:acc#225" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18470 -attr oid 616 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(5)} -pin "ACC1:acc#225" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#35.itm}
-load net {acc.idiv#7.sva(5)} -pin "ACC1:acc#225" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#35.itm}
-load net {acc.idiv#7.sva(7)} -pin "ACC1:acc#225" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#39.itm}
-load net {acc.idiv#7.sva(7)} -pin "ACC1:acc#225" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#39.itm}
-load net {ACC1:acc#225.itm(0)} -pin "ACC1:acc#225" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load net {ACC1:acc#225.itm(1)} -pin "ACC1:acc#225" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load net {ACC1:acc#225.itm(2)} -pin "ACC1:acc#225" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load inst "ACC1:acc#224" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18471 -attr oid 617 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(9)} -pin "ACC1:acc#224" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#37.itm}
-load net {acc.idiv#7.sva(9)} -pin "ACC1:acc#224" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#37.itm}
-load net {acc.idiv#7.sva(11)} -pin "ACC1:acc#224" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#41.itm}
-load net {acc.idiv#7.sva(11)} -pin "ACC1:acc#224" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#41.itm}
-load net {ACC1:acc#224.itm(0)} -pin "ACC1:acc#224" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load net {ACC1:acc#224.itm(1)} -pin "ACC1:acc#224" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load net {ACC1:acc#224.itm(2)} -pin "ACC1:acc#224" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load inst "ACC1:acc#240" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18472 -attr oid 618 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#225.itm(0)} -pin "ACC1:acc#240" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load net {ACC1:acc#225.itm(1)} -pin "ACC1:acc#240" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load net {ACC1:acc#225.itm(2)} -pin "ACC1:acc#240" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#225.itm}
-load net {ACC1:acc#224.itm(0)} -pin "ACC1:acc#240" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load net {ACC1:acc#224.itm(1)} -pin "ACC1:acc#240" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load net {ACC1:acc#224.itm(2)} -pin "ACC1:acc#240" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#224.itm}
-load net {ACC1:acc#240.itm(0)} -pin "ACC1:acc#240" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#240.itm(1)} -pin "ACC1:acc#240" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#240.itm(2)} -pin "ACC1:acc#240" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#240.itm(3)} -pin "ACC1:acc#240" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load inst "ACC1:acc#249" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18473 -attr oid 619 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {ACC1:acc#241.itm(0)} -pin "ACC1:acc#249" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#241.itm(1)} -pin "ACC1:acc#249" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#241.itm(2)} -pin "ACC1:acc#249" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#241.itm(3)} -pin "ACC1:acc#249" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#241.itm}
-load net {ACC1:acc#240.itm(0)} -pin "ACC1:acc#249" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#240.itm(1)} -pin "ACC1:acc#249" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#240.itm(2)} -pin "ACC1:acc#249" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#240.itm(3)} -pin "ACC1:acc#249" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#240.itm}
-load net {ACC1:acc#249.itm(0)} -pin "ACC1:acc#249" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(1)} -pin "ACC1:acc#249" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(2)} -pin "ACC1:acc#249" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(3)} -pin "ACC1:acc#249" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(4)} -pin "ACC1:acc#249" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load inst "ACC1:acc#223" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18474 -attr oid 620 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(13)} -pin "ACC1:acc#223" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#38.itm}
-load net {acc.idiv#7.sva(13)} -pin "ACC1:acc#223" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#38.itm}
-load net {acc.idiv#7.sva(15)} -pin "ACC1:acc#223" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#36.itm}
-load net {acc.idiv#7.sva(15)} -pin "ACC1:acc#223" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#36.itm}
-load net {ACC1:acc#223.itm(0)} -pin "ACC1:acc#223" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load net {ACC1:acc#223.itm(1)} -pin "ACC1:acc#223" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load net {ACC1:acc#223.itm(2)} -pin "ACC1:acc#223" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load inst "ACC1:acc#222" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18475 -attr oid 621 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#2.sva(5)} -pin "ACC1:acc#222" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#14.itm}
-load net {acc.idiv#2.sva(5)} -pin "ACC1:acc#222" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#14.itm}
-load net {acc.idiv#2.sva(7)} -pin "ACC1:acc#222" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#15.itm}
-load net {acc.idiv#2.sva(7)} -pin "ACC1:acc#222" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#15.itm}
-load net {ACC1:acc#222.itm(0)} -pin "ACC1:acc#222" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load net {ACC1:acc#222.itm(1)} -pin "ACC1:acc#222" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load net {ACC1:acc#222.itm(2)} -pin "ACC1:acc#222" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load inst "ACC1:acc#239" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18476 -attr oid 622 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#223.itm(0)} -pin "ACC1:acc#239" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load net {ACC1:acc#223.itm(1)} -pin "ACC1:acc#239" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load net {ACC1:acc#223.itm(2)} -pin "ACC1:acc#239" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#223.itm}
-load net {ACC1:acc#222.itm(0)} -pin "ACC1:acc#239" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load net {ACC1:acc#222.itm(1)} -pin "ACC1:acc#239" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load net {ACC1:acc#222.itm(2)} -pin "ACC1:acc#239" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#222.itm}
-load net {ACC1:acc#239.itm(0)} -pin "ACC1:acc#239" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#239.itm(1)} -pin "ACC1:acc#239" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#239.itm(2)} -pin "ACC1:acc#239" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#239.itm(3)} -pin "ACC1:acc#239" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load inst "ACC1:acc#221" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18477 -attr oid 623 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#2.sva(9)} -pin "ACC1:acc#221" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#16.itm}
-load net {acc.idiv#2.sva(9)} -pin "ACC1:acc#221" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#16.itm}
-load net {acc.idiv#2.sva(11)} -pin "ACC1:acc#221" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#17.itm}
-load net {acc.idiv#2.sva(11)} -pin "ACC1:acc#221" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#17.itm}
-load net {ACC1:acc#221.itm(0)} -pin "ACC1:acc#221" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load net {ACC1:acc#221.itm(1)} -pin "ACC1:acc#221" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load net {ACC1:acc#221.itm(2)} -pin "ACC1:acc#221" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load inst "ACC1:acc#220" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18478 -attr oid 624 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#2.sva(13)} -pin "ACC1:acc#220" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#18.itm}
-load net {acc.idiv#2.sva(13)} -pin "ACC1:acc#220" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#18.itm}
-load net {acc.idiv#2.sva(15)} -pin "ACC1:acc#220" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#19.itm}
-load net {acc.idiv#2.sva(15)} -pin "ACC1:acc#220" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#19.itm}
-load net {ACC1:acc#220.itm(0)} -pin "ACC1:acc#220" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load net {ACC1:acc#220.itm(1)} -pin "ACC1:acc#220" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load net {ACC1:acc#220.itm(2)} -pin "ACC1:acc#220" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load inst "ACC1:acc#238" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18479 -attr oid 625 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#221.itm(0)} -pin "ACC1:acc#238" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load net {ACC1:acc#221.itm(1)} -pin "ACC1:acc#238" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load net {ACC1:acc#221.itm(2)} -pin "ACC1:acc#238" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#221.itm}
-load net {ACC1:acc#220.itm(0)} -pin "ACC1:acc#238" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load net {ACC1:acc#220.itm(1)} -pin "ACC1:acc#238" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load net {ACC1:acc#220.itm(2)} -pin "ACC1:acc#238" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#220.itm}
-load net {ACC1:acc#238.itm(0)} -pin "ACC1:acc#238" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#238.itm(1)} -pin "ACC1:acc#238" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#238.itm(2)} -pin "ACC1:acc#238" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#238.itm(3)} -pin "ACC1:acc#238" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load inst "ACC1:acc#248" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18480 -attr oid 626 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {ACC1:acc#239.itm(0)} -pin "ACC1:acc#248" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#239.itm(1)} -pin "ACC1:acc#248" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#239.itm(2)} -pin "ACC1:acc#248" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#239.itm(3)} -pin "ACC1:acc#248" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#239.itm}
-load net {ACC1:acc#238.itm(0)} -pin "ACC1:acc#248" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#238.itm(1)} -pin "ACC1:acc#248" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#238.itm(2)} -pin "ACC1:acc#248" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#238.itm(3)} -pin "ACC1:acc#248" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#238.itm}
-load net {ACC1:acc#248.itm(0)} -pin "ACC1:acc#248" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(1)} -pin "ACC1:acc#248" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(2)} -pin "ACC1:acc#248" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(3)} -pin "ACC1:acc#248" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(4)} -pin "ACC1:acc#248" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load inst "ACC1:acc#254" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 18481 -attr oid 627 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {ACC1:acc#249.itm(0)} -pin "ACC1:acc#254" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(1)} -pin "ACC1:acc#254" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(2)} -pin "ACC1:acc#254" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(3)} -pin "ACC1:acc#254" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#249.itm(4)} -pin "ACC1:acc#254" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#249.itm}
-load net {ACC1:acc#248.itm(0)} -pin "ACC1:acc#254" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(1)} -pin "ACC1:acc#254" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(2)} -pin "ACC1:acc#254" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(3)} -pin "ACC1:acc#254" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#248.itm(4)} -pin "ACC1:acc#254" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#248.itm}
-load net {ACC1:acc#254.itm(0)} -pin "ACC1:acc#254" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(1)} -pin "ACC1:acc#254" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(2)} -pin "ACC1:acc#254" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(3)} -pin "ACC1:acc#254" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(4)} -pin "ACC1:acc#254" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(5)} -pin "ACC1:acc#254" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load inst "ACC1:acc#219" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18482 -attr oid 628 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(7)} -pin "ACC1:acc#219" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#29.itm}
-load net {acc.idiv#7.sva(7)} -pin "ACC1:acc#219" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#29.itm}
-load net {acc.idiv#7.sva(9)} -pin "ACC1:acc#219" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#25.itm}
-load net {acc.idiv#7.sva(9)} -pin "ACC1:acc#219" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#25.itm}
-load net {ACC1:acc#219.itm(0)} -pin "ACC1:acc#219" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load net {ACC1:acc#219.itm(1)} -pin "ACC1:acc#219" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load net {ACC1:acc#219.itm(2)} -pin "ACC1:acc#219" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load inst "ACC1:acc#218" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18483 -attr oid 629 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(11)} -pin "ACC1:acc#218" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#33.itm}
-load net {acc.idiv#7.sva(11)} -pin "ACC1:acc#218" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#33.itm}
-load net {acc.idiv#7.sva(13)} -pin "ACC1:acc#218" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#27.itm}
-load net {acc.idiv#7.sva(13)} -pin "ACC1:acc#218" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#27.itm}
-load net {ACC1:acc#218.itm(0)} -pin "ACC1:acc#218" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load net {ACC1:acc#218.itm(1)} -pin "ACC1:acc#218" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load net {ACC1:acc#218.itm(2)} -pin "ACC1:acc#218" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load inst "ACC1:acc#237" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18484 -attr oid 630 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#219.itm(0)} -pin "ACC1:acc#237" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load net {ACC1:acc#219.itm(1)} -pin "ACC1:acc#237" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load net {ACC1:acc#219.itm(2)} -pin "ACC1:acc#237" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#219.itm}
-load net {ACC1:acc#218.itm(0)} -pin "ACC1:acc#237" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load net {ACC1:acc#218.itm(1)} -pin "ACC1:acc#237" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load net {ACC1:acc#218.itm(2)} -pin "ACC1:acc#237" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#218.itm}
-load net {ACC1:acc#237.itm(0)} -pin "ACC1:acc#237" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#237.itm(1)} -pin "ACC1:acc#237" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#237.itm(2)} -pin "ACC1:acc#237" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#237.itm(3)} -pin "ACC1:acc#237" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load inst "ACC1:acc#217" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18485 -attr oid 631 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(15)} -pin "ACC1:acc#217" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#23.itm}
-load net {acc.idiv#7.sva(15)} -pin "ACC1:acc#217" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#23.itm}
-load net {acc.idiv#7.sva(17)} -pin "ACC1:acc#217" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#31.itm}
-load net {acc.idiv#7.sva(17)} -pin "ACC1:acc#217" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#31.itm}
-load net {ACC1:acc#217.itm(0)} -pin "ACC1:acc#217" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load net {ACC1:acc#217.itm(1)} -pin "ACC1:acc#217" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load net {ACC1:acc#217.itm(2)} -pin "ACC1:acc#217" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load inst "ACC1:acc#216" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18486 -attr oid 632 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#7.sva(5)} -pin "ACC1:acc#216" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#21.itm}
-load net {acc.idiv#7.sva(5)} -pin "ACC1:acc#216" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#21.itm}
-load net {acc.idiv#2.sva(17)} -pin "ACC1:acc#216" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#20.itm}
-load net {acc.idiv#2.sva(17)} -pin "ACC1:acc#216" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:exs#20.itm}
-load net {ACC1:acc#216.itm(0)} -pin "ACC1:acc#216" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load net {ACC1:acc#216.itm(1)} -pin "ACC1:acc#216" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load net {ACC1:acc#216.itm(2)} -pin "ACC1:acc#216" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load inst "ACC1:acc#236" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18487 -attr oid 633 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#217.itm(0)} -pin "ACC1:acc#236" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load net {ACC1:acc#217.itm(1)} -pin "ACC1:acc#236" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load net {ACC1:acc#217.itm(2)} -pin "ACC1:acc#236" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#217.itm}
-load net {ACC1:acc#216.itm(0)} -pin "ACC1:acc#236" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load net {ACC1:acc#216.itm(1)} -pin "ACC1:acc#236" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load net {ACC1:acc#216.itm(2)} -pin "ACC1:acc#236" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#216.itm}
-load net {ACC1:acc#236.itm(0)} -pin "ACC1:acc#236" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#236.itm(1)} -pin "ACC1:acc#236" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#236.itm(2)} -pin "ACC1:acc#236" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#236.itm(3)} -pin "ACC1:acc#236" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load inst "ACC1:acc#247" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18488 -attr oid 634 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {ACC1:acc#237.itm(0)} -pin "ACC1:acc#247" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#237.itm(1)} -pin "ACC1:acc#247" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#237.itm(2)} -pin "ACC1:acc#247" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#237.itm(3)} -pin "ACC1:acc#247" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#237.itm}
-load net {ACC1:acc#236.itm(0)} -pin "ACC1:acc#247" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#236.itm(1)} -pin "ACC1:acc#247" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#236.itm(2)} -pin "ACC1:acc#247" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#236.itm(3)} -pin "ACC1:acc#247" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#236.itm}
-load net {ACC1:acc#247.itm(0)} -pin "ACC1:acc#247" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(1)} -pin "ACC1:acc#247" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(2)} -pin "ACC1:acc#247" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(3)} -pin "ACC1:acc#247" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(4)} -pin "ACC1:acc#247" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load inst "ACC1:acc#215" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18489 -attr oid 635 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv.sva(1)} -pin "ACC1:acc#215" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
-load net {acc.idiv.sva(3)} -pin "ACC1:acc#215" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc.itm}
-load net {acc.idiv.sva(2)} -pin "ACC1:acc#215" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#245.itm}
-load net {acc.idiv#2.sva(3)} -pin "ACC1:acc#215" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#245.itm}
-load net {ACC1:acc#215.itm(0)} -pin "ACC1:acc#215" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load net {ACC1:acc#215.itm(1)} -pin "ACC1:acc#215" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load net {ACC1:acc#215.itm(2)} -pin "ACC1:acc#215" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load inst "ACC1:acc#214" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18490 -attr oid 636 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv.sva(3)} -pin "ACC1:acc#214" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#246.itm}
-load net {acc.idiv#3.sva(1)} -pin "ACC1:acc#214" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#246.itm}
-load net {acc.idiv.sva(4)} -pin "ACC1:acc#214" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#247.itm}
-load net {acc.idiv#3.sva(2)} -pin "ACC1:acc#214" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#247.itm}
-load net {ACC1:acc#214.itm(0)} -pin "ACC1:acc#214" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load net {ACC1:acc#214.itm(1)} -pin "ACC1:acc#214" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load net {ACC1:acc#214.itm(2)} -pin "ACC1:acc#214" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load inst "ACC1:acc#235" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18491 -attr oid 637 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#215.itm(0)} -pin "ACC1:acc#235" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load net {ACC1:acc#215.itm(1)} -pin "ACC1:acc#235" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load net {ACC1:acc#215.itm(2)} -pin "ACC1:acc#235" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#215.itm}
-load net {ACC1:acc#214.itm(0)} -pin "ACC1:acc#235" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load net {ACC1:acc#214.itm(1)} -pin "ACC1:acc#235" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load net {ACC1:acc#214.itm(2)} -pin "ACC1:acc#235" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#214.itm}
-load net {ACC1:acc#235.itm(0)} -pin "ACC1:acc#235" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#235.itm(1)} -pin "ACC1:acc#235" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#235.itm(2)} -pin "ACC1:acc#235" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#235.itm(3)} -pin "ACC1:acc#235" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load inst "ACC1:acc#213" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18492 -attr oid 638 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#2.sva(1)} -pin "ACC1:acc#213" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#248.itm}
-load net {acc.idiv#3.sva(3)} -pin "ACC1:acc#213" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#248.itm}
-load net {acc.idiv#2.sva(2)} -pin "ACC1:acc#213" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#249.itm}
-load net {acc.idiv#3.sva(4)} -pin "ACC1:acc#213" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#249.itm}
-load net {ACC1:acc#213.itm(0)} -pin "ACC1:acc#213" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load net {ACC1:acc#213.itm(1)} -pin "ACC1:acc#213" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load net {ACC1:acc#213.itm(2)} -pin "ACC1:acc#213" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load inst "ACC1:acc#212" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18493 -attr oid 639 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {ACC1:acc#201.itm(2)} -pin "ACC1:acc#212" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#256.itm}
-load net {ACC1:acc#189.itm(4)} -pin "ACC1:acc#212" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#256.itm}
-load net {ACC1:acc#201.itm(3)} -pin "ACC1:acc#212" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#257.itm}
-load net {ACC1:acc#162.itm(2)} -pin "ACC1:acc#212" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#257.itm}
-load net {ACC1:acc#212.itm(0)} -pin "ACC1:acc#212" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load net {ACC1:acc#212.itm(1)} -pin "ACC1:acc#212" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load net {ACC1:acc#212.itm(2)} -pin "ACC1:acc#212" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load inst "ACC1:acc#234" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18494 -attr oid 640 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#213.itm(0)} -pin "ACC1:acc#234" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load net {ACC1:acc#213.itm(1)} -pin "ACC1:acc#234" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load net {ACC1:acc#213.itm(2)} -pin "ACC1:acc#234" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#213.itm}
-load net {ACC1:acc#212.itm(0)} -pin "ACC1:acc#234" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load net {ACC1:acc#212.itm(1)} -pin "ACC1:acc#234" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load net {ACC1:acc#212.itm(2)} -pin "ACC1:acc#234" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#212.itm}
-load net {ACC1:acc#234.itm(0)} -pin "ACC1:acc#234" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#234.itm(1)} -pin "ACC1:acc#234" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#234.itm(2)} -pin "ACC1:acc#234" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#234.itm(3)} -pin "ACC1:acc#234" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load inst "ACC1:acc#246" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18495 -attr oid 641 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {ACC1:acc#235.itm(0)} -pin "ACC1:acc#246" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#235.itm(1)} -pin "ACC1:acc#246" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#235.itm(2)} -pin "ACC1:acc#246" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#235.itm(3)} -pin "ACC1:acc#246" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#235.itm}
-load net {ACC1:acc#234.itm(0)} -pin "ACC1:acc#246" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#234.itm(1)} -pin "ACC1:acc#246" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#234.itm(2)} -pin "ACC1:acc#246" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#234.itm(3)} -pin "ACC1:acc#246" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#234.itm}
-load net {ACC1:acc#246.itm(0)} -pin "ACC1:acc#246" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(1)} -pin "ACC1:acc#246" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(2)} -pin "ACC1:acc#246" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(3)} -pin "ACC1:acc#246" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(4)} -pin "ACC1:acc#246" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load inst "ACC1:acc#253" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 18496 -attr oid 642 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {ACC1:acc#247.itm(0)} -pin "ACC1:acc#253" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(1)} -pin "ACC1:acc#253" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(2)} -pin "ACC1:acc#253" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(3)} -pin "ACC1:acc#253" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#247.itm(4)} -pin "ACC1:acc#253" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#247.itm}
-load net {ACC1:acc#246.itm(0)} -pin "ACC1:acc#253" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(1)} -pin "ACC1:acc#253" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(2)} -pin "ACC1:acc#253" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(3)} -pin "ACC1:acc#253" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#246.itm(4)} -pin "ACC1:acc#253" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#246.itm}
-load net {ACC1:acc#253.itm(0)} -pin "ACC1:acc#253" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(1)} -pin "ACC1:acc#253" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(2)} -pin "ACC1:acc#253" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(3)} -pin "ACC1:acc#253" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(4)} -pin "ACC1:acc#253" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(5)} -pin "ACC1:acc#253" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load inst "ACC1:acc#257" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 18497 -attr oid 643 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
-load net {ACC1:acc#254.itm(0)} -pin "ACC1:acc#257" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(1)} -pin "ACC1:acc#257" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(2)} -pin "ACC1:acc#257" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(3)} -pin "ACC1:acc#257" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(4)} -pin "ACC1:acc#257" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#254.itm(5)} -pin "ACC1:acc#257" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#254.itm}
-load net {ACC1:acc#253.itm(0)} -pin "ACC1:acc#257" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(1)} -pin "ACC1:acc#257" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(2)} -pin "ACC1:acc#257" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(3)} -pin "ACC1:acc#257" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(4)} -pin "ACC1:acc#257" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#253.itm(5)} -pin "ACC1:acc#257" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#253.itm}
-load net {ACC1:acc#257.itm(0)} -pin "ACC1:acc#257" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(1)} -pin "ACC1:acc#257" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(2)} -pin "ACC1:acc#257" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(3)} -pin "ACC1:acc#257" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(4)} -pin "ACC1:acc#257" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(5)} -pin "ACC1:acc#257" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(6)} -pin "ACC1:acc#257" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load inst "ACC1:acc#261" "add(7,1,7,0,9)" "INTERFACE" -attr xrf 18498 -attr oid 644 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261} -attr area 8.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,1,9)"
-load net {ACC1:acc#259.itm(0)} -pin "ACC1:acc#261" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(1)} -pin "ACC1:acc#261" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(2)} -pin "ACC1:acc#261" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(3)} -pin "ACC1:acc#261" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(4)} -pin "ACC1:acc#261" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(5)} -pin "ACC1:acc#261" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#259.itm(6)} -pin "ACC1:acc#261" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#259.itm}
-load net {ACC1:acc#257.itm(0)} -pin "ACC1:acc#261" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(1)} -pin "ACC1:acc#261" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(2)} -pin "ACC1:acc#261" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(3)} -pin "ACC1:acc#261" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(4)} -pin "ACC1:acc#261" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(5)} -pin "ACC1:acc#261" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#257.itm(6)} -pin "ACC1:acc#261" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#257.itm}
-load net {ACC1:acc#261.itm(0)} -pin "ACC1:acc#261" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(1)} -pin "ACC1:acc#261" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(2)} -pin "ACC1:acc#261" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(3)} -pin "ACC1:acc#261" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(4)} -pin "ACC1:acc#261" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(5)} -pin "ACC1:acc#261" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(6)} -pin "ACC1:acc#261" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(7)} -pin "ACC1:acc#261" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(8)} -pin "ACC1:acc#261" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load inst "ACC1:acc#136" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18499 -attr oid 645 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(8)} -pin "ACC1:acc#136" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#51.itm}
-load net {acc.idiv#7.sva(8)} -pin "ACC1:acc#136" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#51.itm}
-load net {ACC1:acc#136.itm(0)} -pin "ACC1:acc#136" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
-load net {ACC1:acc#136.itm(1)} -pin "ACC1:acc#136" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
-load inst "ACC1:mul#93" "mul(2,0,7,0,8)" "INTERFACE" -attr xrf 18500 -attr oid 646 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,7,0,8)"
-load net {ACC1:acc#136.itm(0)} -pin "ACC1:mul#93" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
-load net {ACC1:acc#136.itm(1)} -pin "ACC1:mul#93" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#136.itm}
-load net {PWR} -pin "ACC1:mul#93" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#93" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#93" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#93" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#93" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#93" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#93" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
-load net {ACC1:mul#93.itm(0)} -pin "ACC1:mul#93" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(1)} -pin "ACC1:mul#93" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(2)} -pin "ACC1:mul#93" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(3)} -pin "ACC1:mul#93" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(4)} -pin "ACC1:mul#93" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(5)} -pin "ACC1:mul#93" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(6)} -pin "ACC1:mul#93" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load net {ACC1:mul#93.itm(7)} -pin "ACC1:mul#93" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#93.itm}
-load inst "ACC1:acc#264" "add(9,1,9,0,10)" "INTERFACE" -attr xrf 18501 -attr oid 647 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,10)"
-load net {ACC1:acc#261.itm(0)} -pin "ACC1:acc#264" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(1)} -pin "ACC1:acc#264" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(2)} -pin "ACC1:acc#264" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(3)} -pin "ACC1:acc#264" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(4)} -pin "ACC1:acc#264" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(5)} -pin "ACC1:acc#264" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(6)} -pin "ACC1:acc#264" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(7)} -pin "ACC1:acc#264" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {ACC1:acc#261.itm(8)} -pin "ACC1:acc#264" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#261.itm}
-load net {acc.idiv#2.sva(4)} -pin "ACC1:acc#264" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(0)} -pin "ACC1:acc#264" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(1)} -pin "ACC1:acc#264" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(2)} -pin "ACC1:acc#264" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(3)} -pin "ACC1:acc#264" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(4)} -pin "ACC1:acc#264" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(5)} -pin "ACC1:acc#264" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(6)} -pin "ACC1:acc#264" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:mul#93.itm(7)} -pin "ACC1:acc#264" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#251.itm}
-load net {ACC1:acc#264.itm(0)} -pin "ACC1:acc#264" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(1)} -pin "ACC1:acc#264" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(2)} -pin "ACC1:acc#264" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(3)} -pin "ACC1:acc#264" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(4)} -pin "ACC1:acc#264" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(5)} -pin "ACC1:acc#264" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(6)} -pin "ACC1:acc#264" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(7)} -pin "ACC1:acc#264" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(8)} -pin "ACC1:acc#264" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(9)} -pin "ACC1:acc#264" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load inst "reg(ACC1:acc#264.itm#1)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18502 -attr oid 648 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#264.itm#1)}
-load net {ACC1:acc#264.itm(0)} -pin "reg(ACC1:acc#264.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(1)} -pin "reg(ACC1:acc#264.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(2)} -pin "reg(ACC1:acc#264.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(3)} -pin "reg(ACC1:acc#264.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(4)} -pin "reg(ACC1:acc#264.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(5)} -pin "reg(ACC1:acc#264.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(6)} -pin "reg(ACC1:acc#264.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(7)} -pin "reg(ACC1:acc#264.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(8)} -pin "reg(ACC1:acc#264.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {ACC1:acc#264.itm(9)} -pin "reg(ACC1:acc#264.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:acc#264.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10}
-load net {clk} -pin "reg(ACC1:acc#264.itm#1)" {clk} -attr xrf 18503 -attr oid 649 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:acc#264.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:acc#264.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:acc#264.itm#1(0)} -pin "reg(ACC1:acc#264.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(1)} -pin "reg(ACC1:acc#264.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(2)} -pin "reg(ACC1:acc#264.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(3)} -pin "reg(ACC1:acc#264.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(4)} -pin "reg(ACC1:acc#264.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(5)} -pin "reg(ACC1:acc#264.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(6)} -pin "reg(ACC1:acc#264.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(7)} -pin "reg(ACC1:acc#264.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(8)} -pin "reg(ACC1:acc#264.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(9)} -pin "reg(ACC1:acc#264.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load inst "ACC1:acc#133" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18504 -attr oid 650 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(12)} -pin "ACC1:acc#133" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#40.itm}
-load net {acc.idiv#2.sva(12)} -pin "ACC1:acc#133" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#35.itm}
-load net {ACC1:acc#133.itm(0)} -pin "ACC1:acc#133" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
-load net {ACC1:acc#133.itm(1)} -pin "ACC1:acc#133" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
-load inst "ACC1:mul#90" "mul(2,0,11,0,12)" "INTERFACE" -attr xrf 18505 -attr oid 651 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,11,0,12)"
-load net {ACC1:acc#133.itm(0)} -pin "ACC1:mul#90" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
-load net {ACC1:acc#133.itm(1)} -pin "ACC1:mul#90" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#133.itm}
-load net {PWR} -pin "ACC1:mul#90" {B(0)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#90" {B(1)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#90" {B(2)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#90" {B(3)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#90" {B(4)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#90" {B(5)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#90" {B(6)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#90" {B(7)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#90" {B(8)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {GND} -pin "ACC1:mul#90" {B(9)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {PWR} -pin "ACC1:mul#90" {B(10)} -attr @path {/sobel/sobel:core/C1365_11}
-load net {ACC1:mul#90.itm(0)} -pin "ACC1:mul#90" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(1)} -pin "ACC1:mul#90" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(2)} -pin "ACC1:mul#90" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(3)} -pin "ACC1:mul#90" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(4)} -pin "ACC1:mul#90" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(5)} -pin "ACC1:mul#90" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(6)} -pin "ACC1:mul#90" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(7)} -pin "ACC1:mul#90" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(8)} -pin "ACC1:mul#90" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(9)} -pin "ACC1:mul#90" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(10)} -pin "ACC1:mul#90" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(11)} -pin "ACC1:mul#90" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load inst "reg(ACC1:mul#90.itm#1)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 18506 -attr oid 652 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#90.itm#1)}
-load net {ACC1:mul#90.itm(0)} -pin "reg(ACC1:mul#90.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(1)} -pin "reg(ACC1:mul#90.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(2)} -pin "reg(ACC1:mul#90.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(3)} -pin "reg(ACC1:mul#90.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(4)} -pin "reg(ACC1:mul#90.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(5)} -pin "reg(ACC1:mul#90.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(6)} -pin "reg(ACC1:mul#90.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(7)} -pin "reg(ACC1:mul#90.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(8)} -pin "reg(ACC1:mul#90.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(9)} -pin "reg(ACC1:mul#90.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(10)} -pin "reg(ACC1:mul#90.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {ACC1:mul#90.itm(11)} -pin "reg(ACC1:mul#90.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:mul#90.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
-load net {clk} -pin "reg(ACC1:mul#90.itm#1)" {clk} -attr xrf 18507 -attr oid 653 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#90.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#90.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#90.itm#1(0)} -pin "reg(ACC1:mul#90.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(1)} -pin "reg(ACC1:mul#90.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(2)} -pin "reg(ACC1:mul#90.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(3)} -pin "reg(ACC1:mul#90.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(4)} -pin "reg(ACC1:mul#90.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(5)} -pin "reg(ACC1:mul#90.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(6)} -pin "reg(ACC1:mul#90.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(7)} -pin "reg(ACC1:mul#90.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(8)} -pin "reg(ACC1:mul#90.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(9)} -pin "reg(ACC1:mul#90.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(10)} -pin "reg(ACC1:mul#90.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(11)} -pin "reg(ACC1:mul#90.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load inst "ACC1:acc#134" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18508 -attr oid 654 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(14)} -pin "ACC1:acc#134" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#32.itm}
-load net {acc.idiv#2.sva(14)} -pin "ACC1:acc#134" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#27.itm}
-load net {ACC1:acc#134.itm(0)} -pin "ACC1:acc#134" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
-load net {ACC1:acc#134.itm(1)} -pin "ACC1:acc#134" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
-load inst "ACC1:mul#91" "mul(2,0,13,0,14)" "INTERFACE" -attr xrf 18509 -attr oid 655 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,13,0,14)"
-load net {ACC1:acc#134.itm(0)} -pin "ACC1:mul#91" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
-load net {ACC1:acc#134.itm(1)} -pin "ACC1:mul#91" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#134.itm}
-load net {PWR} -pin "ACC1:mul#91" {B(0)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#91" {B(1)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#91" {B(2)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#91" {B(3)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#91" {B(4)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#91" {B(5)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#91" {B(6)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#91" {B(7)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#91" {B(8)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#91" {B(9)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#91" {B(10)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#91" {B(11)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#91" {B(12)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {ACC1:mul#91.itm(0)} -pin "ACC1:mul#91" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(1)} -pin "ACC1:mul#91" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(2)} -pin "ACC1:mul#91" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(3)} -pin "ACC1:mul#91" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(4)} -pin "ACC1:mul#91" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(5)} -pin "ACC1:mul#91" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(6)} -pin "ACC1:mul#91" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(7)} -pin "ACC1:mul#91" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(8)} -pin "ACC1:mul#91" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(9)} -pin "ACC1:mul#91" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(10)} -pin "ACC1:mul#91" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(11)} -pin "ACC1:mul#91" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(12)} -pin "ACC1:mul#91" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(13)} -pin "ACC1:mul#91" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load inst "reg(ACC1:mul#91.itm#1)" "reg(14,1,1,-1,0)" "INTERFACE" -attr xrf 18510 -attr oid 656 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#91.itm#1)}
-load net {ACC1:mul#91.itm(0)} -pin "reg(ACC1:mul#91.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(1)} -pin "reg(ACC1:mul#91.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(2)} -pin "reg(ACC1:mul#91.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(3)} -pin "reg(ACC1:mul#91.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(4)} -pin "reg(ACC1:mul#91.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(5)} -pin "reg(ACC1:mul#91.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(6)} -pin "reg(ACC1:mul#91.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(7)} -pin "reg(ACC1:mul#91.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(8)} -pin "reg(ACC1:mul#91.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(9)} -pin "reg(ACC1:mul#91.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(10)} -pin "reg(ACC1:mul#91.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(11)} -pin "reg(ACC1:mul#91.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(12)} -pin "reg(ACC1:mul#91.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {ACC1:mul#91.itm(13)} -pin "reg(ACC1:mul#91.itm#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#91.itm#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_14}
-load net {clk} -pin "reg(ACC1:mul#91.itm#1)" {clk} -attr xrf 18511 -attr oid 657 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#91.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#91.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#91.itm#1(0)} -pin "reg(ACC1:mul#91.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(1)} -pin "reg(ACC1:mul#91.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(2)} -pin "reg(ACC1:mul#91.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(3)} -pin "reg(ACC1:mul#91.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(4)} -pin "reg(ACC1:mul#91.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(5)} -pin "reg(ACC1:mul#91.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(6)} -pin "reg(ACC1:mul#91.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(7)} -pin "reg(ACC1:mul#91.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(8)} -pin "reg(ACC1:mul#91.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(9)} -pin "reg(ACC1:mul#91.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(10)} -pin "reg(ACC1:mul#91.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(11)} -pin "reg(ACC1:mul#91.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(12)} -pin "reg(ACC1:mul#91.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(13)} -pin "reg(ACC1:mul#91.itm#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load inst "ACC1:acc#147" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18512 -attr oid 658 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(13)} -pin "ACC1:acc#147" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#28.itm}
-load net {acc.idiv#7.sva(13)} -pin "ACC1:acc#147" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#33.itm}
-load net {ACC1:acc#147.itm(0)} -pin "ACC1:acc#147" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
-load net {ACC1:acc#147.itm(1)} -pin "ACC1:acc#147" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
-load inst "ACC1:mul#104" "mul(2,0,9,0,10)" "INTERFACE" -attr xrf 18513 -attr oid 659 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
-load net {ACC1:acc#147.itm(0)} -pin "ACC1:mul#104" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
-load net {ACC1:acc#147.itm(1)} -pin "ACC1:mul#104" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#147.itm}
-load net {PWR} -pin "ACC1:mul#104" {B(0)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#104" {B(1)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#104" {B(2)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#104" {B(3)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#104" {B(4)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#104" {B(5)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#104" {B(6)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#104" {B(7)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#104" {B(8)} -attr @path {/sobel/sobel:core/C341_9}
-load net {ACC1:mul#104.itm(0)} -pin "ACC1:mul#104" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(1)} -pin "ACC1:mul#104" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(2)} -pin "ACC1:mul#104" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(3)} -pin "ACC1:mul#104" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(4)} -pin "ACC1:mul#104" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(5)} -pin "ACC1:mul#104" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(6)} -pin "ACC1:mul#104" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(7)} -pin "ACC1:mul#104" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(8)} -pin "ACC1:mul#104" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(9)} -pin "ACC1:mul#104" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load inst "reg(ACC1:mul#104.itm#1)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18514 -attr oid 660 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#104.itm#1)}
-load net {ACC1:mul#104.itm(0)} -pin "reg(ACC1:mul#104.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(1)} -pin "reg(ACC1:mul#104.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(2)} -pin "reg(ACC1:mul#104.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(3)} -pin "reg(ACC1:mul#104.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(4)} -pin "reg(ACC1:mul#104.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(5)} -pin "reg(ACC1:mul#104.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(6)} -pin "reg(ACC1:mul#104.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(7)} -pin "reg(ACC1:mul#104.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(8)} -pin "reg(ACC1:mul#104.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {ACC1:mul#104.itm(9)} -pin "reg(ACC1:mul#104.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#104.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10}
-load net {clk} -pin "reg(ACC1:mul#104.itm#1)" {clk} -attr xrf 18515 -attr oid 661 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#104.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#104.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#104.itm#1(0)} -pin "reg(ACC1:mul#104.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(1)} -pin "reg(ACC1:mul#104.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(2)} -pin "reg(ACC1:mul#104.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(3)} -pin "reg(ACC1:mul#104.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(4)} -pin "reg(ACC1:mul#104.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(5)} -pin "reg(ACC1:mul#104.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(6)} -pin "reg(ACC1:mul#104.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(7)} -pin "reg(ACC1:mul#104.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(8)} -pin "reg(ACC1:mul#104.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load net {ACC1:mul#104.itm#1(9)} -pin "reg(ACC1:mul#104.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#104.itm#1}
-load inst "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18516 -attr oid 662 -attr @path {/sobel/sobel:core/reg(ACC1:slc(acc.idiv#2)#90.itm#1)}
-load net {acc.idiv#2.sva(5)} -pin "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#19.itm}
-load net {GND} -pin "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" {clk} -attr xrf 18517 -attr oid 663 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(acc.idiv#2)#90.itm#1} -pin "reg(ACC1:slc(acc.idiv#2)#90.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:slc(acc.idiv#2)#90.itm#1}
-load inst "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18518 -attr oid 664 -attr @path {/sobel/sobel:core/reg(ACC1-3:slc(acc.idiv)#132.itm#1)}
-load net {acc.idiv.sva(15)} -pin "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#24.itm}
-load net {GND} -pin "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" {clk} -attr xrf 18519 -attr oid 665 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1-3:slc(acc.idiv)#132.itm#1} -pin "reg(ACC1-3:slc(acc.idiv)#132.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:slc(acc.idiv)#132.itm#1}
-load inst "ACC1:acc#146" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18520 -attr oid 666 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(11)} -pin "ACC1:acc#146" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#49.itm}
-load net {acc.idiv#7.sva(11)} -pin "ACC1:acc#146" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#48.itm}
-load net {ACC1:acc#146.itm(0)} -pin "ACC1:acc#146" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
-load net {ACC1:acc#146.itm(1)} -pin "ACC1:acc#146" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
-load inst "ACC1:mul#103" "mul(2,0,7,0,8)" "INTERFACE" -attr xrf 18521 -attr oid 667 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,7,0,8)"
-load net {ACC1:acc#146.itm(0)} -pin "ACC1:mul#103" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
-load net {ACC1:acc#146.itm(1)} -pin "ACC1:mul#103" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#146.itm}
-load net {PWR} -pin "ACC1:mul#103" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#103" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#103" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#103" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#103" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#103" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#103" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
-load net {ACC1:mul#103.itm(0)} -pin "ACC1:mul#103" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(1)} -pin "ACC1:mul#103" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(2)} -pin "ACC1:mul#103" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(3)} -pin "ACC1:mul#103" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(4)} -pin "ACC1:mul#103" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(5)} -pin "ACC1:mul#103" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(6)} -pin "ACC1:mul#103" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(7)} -pin "ACC1:mul#103" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load inst "reg(ACC1:mul#103.itm#1)" "reg(8,1,1,-1,0)" "INTERFACE" -attr xrf 18522 -attr oid 668 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#103.itm#1)}
-load net {ACC1:mul#103.itm(0)} -pin "reg(ACC1:mul#103.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(1)} -pin "reg(ACC1:mul#103.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(2)} -pin "reg(ACC1:mul#103.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(3)} -pin "reg(ACC1:mul#103.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(4)} -pin "reg(ACC1:mul#103.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(5)} -pin "reg(ACC1:mul#103.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(6)} -pin "reg(ACC1:mul#103.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {ACC1:mul#103.itm(7)} -pin "reg(ACC1:mul#103.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_8}
-load net {GND} -pin "reg(ACC1:mul#103.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_8}
-load net {clk} -pin "reg(ACC1:mul#103.itm#1)" {clk} -attr xrf 18523 -attr oid 669 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#103.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#103.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#103.itm#1(0)} -pin "reg(ACC1:mul#103.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(1)} -pin "reg(ACC1:mul#103.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(2)} -pin "reg(ACC1:mul#103.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(3)} -pin "reg(ACC1:mul#103.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(4)} -pin "reg(ACC1:mul#103.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(5)} -pin "reg(ACC1:mul#103.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(6)} -pin "reg(ACC1:mul#103.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load net {ACC1:mul#103.itm#1(7)} -pin "reg(ACC1:mul#103.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#103.itm#1}
-load inst "reg(ACC1:slc(acc.idiv)#91.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18524 -attr oid 670 -attr @path {/sobel/sobel:core/reg(ACC1:slc(acc.idiv)#91.itm#1)}
-load net {acc.idiv.sva(7)} -pin "reg(ACC1:slc(acc.idiv)#91.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#19.itm}
-load net {GND} -pin "reg(ACC1:slc(acc.idiv)#91.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1:slc(acc.idiv)#91.itm#1)" {clk} -attr xrf 18525 -attr oid 671 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(acc.idiv)#91.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(acc.idiv)#91.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(acc.idiv)#91.itm#1} -pin "reg(ACC1:slc(acc.idiv)#91.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:slc(acc.idiv)#91.itm#1}
-load inst "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18526 -attr oid 672 -attr @path {/sobel/sobel:core/reg(ACC1-3:slc(acc.idiv)#131.itm#1)}
-load net {acc.idiv.sva(13)} -pin "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#22.itm}
-load net {GND} -pin "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" {clk} -attr xrf 18527 -attr oid 673 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1-3:slc(acc.idiv)#131.itm#1} -pin "reg(ACC1-3:slc(acc.idiv)#131.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:slc(acc.idiv)#131.itm#1}
-load inst "ACC1:acc#141" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18528 -attr oid 674 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(9)} -pin "ACC1:acc#141" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#38.itm}
-load net {acc.idiv#2.sva(9)} -pin "ACC1:acc#141" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#33.itm}
-load net {ACC1:acc#141.itm(0)} -pin "ACC1:acc#141" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
-load net {ACC1:acc#141.itm(1)} -pin "ACC1:acc#141" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
-load inst "ACC1:mul#98" "mul(2,0,5,0,6)" "INTERFACE" -attr xrf 18529 -attr oid 675 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
-load net {ACC1:acc#141.itm(0)} -pin "ACC1:mul#98" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
-load net {ACC1:acc#141.itm(1)} -pin "ACC1:mul#98" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#141.itm}
-load net {PWR} -pin "ACC1:mul#98" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul#98" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul#98" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul#98" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul#98" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
-load net {ACC1:mul#98.itm(0)} -pin "ACC1:mul#98" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(1)} -pin "ACC1:mul#98" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(2)} -pin "ACC1:mul#98" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(3)} -pin "ACC1:mul#98" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(4)} -pin "ACC1:mul#98" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(5)} -pin "ACC1:mul#98" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load inst "reg(ACC1:mul#98.itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 18530 -attr oid 676 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#98.itm#1)}
-load net {ACC1:mul#98.itm(0)} -pin "reg(ACC1:mul#98.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(1)} -pin "reg(ACC1:mul#98.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(2)} -pin "reg(ACC1:mul#98.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(3)} -pin "reg(ACC1:mul#98.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(4)} -pin "reg(ACC1:mul#98.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {ACC1:mul#98.itm(5)} -pin "reg(ACC1:mul#98.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm}
-load net {GND} -pin "reg(ACC1:mul#98.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:mul#98.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:mul#98.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:mul#98.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:mul#98.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:mul#98.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
-load net {clk} -pin "reg(ACC1:mul#98.itm#1)" {clk} -attr xrf 18531 -attr oid 677 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#98.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#98.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#98.itm#1(0)} -pin "reg(ACC1:mul#98.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load net {ACC1:mul#98.itm#1(1)} -pin "reg(ACC1:mul#98.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load net {ACC1:mul#98.itm#1(2)} -pin "reg(ACC1:mul#98.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load net {ACC1:mul#98.itm#1(3)} -pin "reg(ACC1:mul#98.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load net {ACC1:mul#98.itm#1(4)} -pin "reg(ACC1:mul#98.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load net {ACC1:mul#98.itm#1(5)} -pin "reg(ACC1:mul#98.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#98.itm#1}
-load inst "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18532 -attr oid 678 -attr @path {/sobel/sobel:core/reg(ACC1:slc(acc.idiv#3)#36.itm#1)}
-load net {acc.idiv#3.sva(3)} -pin "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#25.itm}
-load net {GND} -pin "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" {clk} -attr xrf 18533 -attr oid 679 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(acc.idiv#3)#36.itm#1} -pin "reg(ACC1:slc(acc.idiv#3)#36.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:slc(acc.idiv#3)#36.itm#1}
-load inst "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18534 -attr oid 680 -attr @path {/sobel/sobel:core/reg(ACC1-2:slc(acc.idiv)#132.itm#1)}
-load net {acc.idiv#3.sva(15)} -pin "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#22.itm}
-load net {GND} -pin "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" {clk} -attr xrf 18535 -attr oid 681 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1-2:slc(acc.idiv)#132.itm#1} -pin "reg(ACC1-2:slc(acc.idiv)#132.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:slc(acc.idiv)#132.itm#1}
-load inst "ACC1:acc#211" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18536 -attr oid 682 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {ACC1:acc#201.itm(4)} -pin "ACC1:acc#211" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#258.itm}
-load net {ACC1:acc#162.itm(3)} -pin "ACC1:acc#211" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#258.itm}
-load net {acc.imod#7.sva(1)} -pin "ACC1:acc#211" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#259.itm}
-load net {ACC1:acc#162.itm(4)} -pin "ACC1:acc#211" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#259.itm}
-load net {ACC1:acc#211.itm(0)} -pin "ACC1:acc#211" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load net {ACC1:acc#211.itm(1)} -pin "ACC1:acc#211" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load net {ACC1:acc#211.itm(2)} -pin "ACC1:acc#211" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load inst "ACC1:not" "not(1)" "INTERFACE" -attr xrf 18537 -attr oid 683 -attr @path {/sobel/sobel:core/ACC1:not} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#19.sva(2)} -pin "ACC1:not" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva)#4.itm}
-load net {ACC1:not.itm} -pin "ACC1:not" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load inst "ACC1:acc#286" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18538 -attr oid 684 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286} -attr area 2.320458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.imod#19.sva(1)} -pin "ACC1:acc#286" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva)#3.itm}
-load net {ACC1:not.itm} -pin "ACC1:acc#286" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not.itm}
-load net {ACC1:acc#286.itm(0)} -pin "ACC1:acc#286" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
-load net {ACC1:acc#286.itm(1)} -pin "ACC1:acc#286" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#286.itm}
-load inst "ACC1-3:not#49" "not(1)" "INTERFACE" -attr xrf 18539 -attr oid 685 -attr @path {/sobel/sobel:core/ACC1-3:not#49} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#7.sva(1)} -pin "ACC1-3:not#49" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.sva)#3.itm}
-load net {ACC1-3:not#49.itm} -pin "ACC1-3:not#49" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#49.itm}
-load inst "ACC1-3:not#50" "not(1)" "INTERFACE" -attr xrf 18540 -attr oid 686 -attr @path {/sobel/sobel:core/ACC1-3:not#50} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#7.sva(2)} -pin "ACC1-3:not#50" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.sva)#4.itm}
-load net {ACC1-3:not#50.itm} -pin "ACC1-3:not#50" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#50.itm}
-load inst "ACC1:acc#282" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 18541 -attr oid 687 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#282" {A(0)} -attr @path {/sobel/sobel:core/conc#314.itm}
-load net {acc.imod#7.sva(0)} -pin "ACC1:acc#282" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#314.itm}
-load net {PWR} -pin "ACC1:acc#282" {A(2)} -attr @path {/sobel/sobel:core/conc#314.itm}
-load net {ACC1-3:not#50.itm} -pin "ACC1:acc#282" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#394.itm}
-load net {ACC1-3:not#49.itm} -pin "ACC1:acc#282" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#394.itm}
-load net {ACC1:acc#282.itm(0)} -pin "ACC1:acc#282" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
-load net {ACC1:acc#282.itm(1)} -pin "ACC1:acc#282" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
-load net {ACC1:acc#282.itm(2)} -pin "ACC1:acc#282" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#282.itm}
-load inst "ACC1:not#120" "not(1)" "INTERFACE" -attr xrf 18542 -attr oid 688 -attr @path {/sobel/sobel:core/ACC1:not#120} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#282.itm(2)} -pin "ACC1:not#120" {A(0)} -attr @path {/sobel/sobel:core/ACC1:slc#52.itm}
-load net {ACC1:not#120.itm} -pin "ACC1:not#120" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#120.itm}
-load inst "ACC1:acc#233" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18543 -attr oid 689 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#211.itm(0)} -pin "ACC1:acc#233" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load net {ACC1:acc#211.itm(1)} -pin "ACC1:acc#233" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load net {ACC1:acc#211.itm(2)} -pin "ACC1:acc#233" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#211.itm}
-load net {ACC1:not#120.itm} -pin "ACC1:acc#233" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#404.itm}
-load net {ACC1:acc#286.itm(0)} -pin "ACC1:acc#233" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#404.itm}
-load net {ACC1:acc#286.itm(1)} -pin "ACC1:acc#233" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#404.itm}
-load net {ACC1:acc#233.itm(0)} -pin "ACC1:acc#233" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#233.itm(1)} -pin "ACC1:acc#233" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#233.itm(2)} -pin "ACC1:acc#233" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#233.itm(3)} -pin "ACC1:acc#233" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load inst "acc" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18544 -attr oid 690 -attr vt d -attr @path {/sobel/sobel:core/acc} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "acc" {A(0)} -attr @path {/sobel/sobel:core/conc#316.itm}
-load net {acc.idiv#7.sva(2)} -pin "acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#316.itm}
-load net {acc.idiv#7.sva(4)} -pin "acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#304.itm}
-load net {acc.idiv#7.sva(3)} -pin "acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#304.itm}
-load net {acc.itm(0)} -pin "acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
-load net {acc.itm(1)} -pin "acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
-load net {acc.itm(2)} -pin "acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.itm}
-load inst "ACC1:acc#294" "add(2,0,1,0,3)" "INTERFACE" -attr xrf 18545 -attr oid 691 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#294} -attr area 3.315520 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,1,0,3)"
-load net {acc.itm(1)} -pin "ACC1:acc#294" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc.itm}
-load net {acc.itm(2)} -pin "ACC1:acc#294" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc.itm}
-load net {acc.idiv#7.sva(1)} -pin "ACC1:acc#294" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#47.itm}
-load net {ACC1:acc#294.itm(0)} -pin "ACC1:acc#294" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
-load net {ACC1:acc#294.itm(1)} -pin "ACC1:acc#294" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
-load net {ACC1:acc#294.itm(2)} -pin "ACC1:acc#294" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#294.itm}
-load inst "ACC1:acc#245" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18546 -attr oid 692 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {ACC1:acc#233.itm(0)} -pin "ACC1:acc#245" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#233.itm(1)} -pin "ACC1:acc#245" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#233.itm(2)} -pin "ACC1:acc#245" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {ACC1:acc#233.itm(3)} -pin "ACC1:acc#245" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#233.itm}
-load net {PWR} -pin "ACC1:acc#245" {B(0)} -attr @path {/sobel/sobel:core/conc#315.itm}
-load net {ACC1:acc#294.itm(0)} -pin "ACC1:acc#245" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#315.itm}
-load net {ACC1:acc#294.itm(1)} -pin "ACC1:acc#245" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#315.itm}
-load net {ACC1:acc#294.itm(2)} -pin "ACC1:acc#245" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#315.itm}
-load net {ACC1:acc#245.itm(0)} -pin "ACC1:acc#245" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(1)} -pin "ACC1:acc#245" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(2)} -pin "ACC1:acc#245" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(3)} -pin "ACC1:acc#245" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(4)} -pin "ACC1:acc#245" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load inst "acc#19" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18547 -attr oid 693 -attr vt d -attr @path {/sobel/sobel:core/acc#19} -attr area 3.311766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "acc#19" {A(0)} -attr @path {/sobel/sobel:core/conc#318.itm}
-load net {ACC1:acc#201.itm(4)} -pin "acc#19" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#318.itm}
-load net {ACC1:acc#174.itm(3)} -pin "acc#19" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#306.itm}
-load net {ACC1:acc#174.itm(2)} -pin "acc#19" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#306.itm}
-load net {acc#19.itm(0)} -pin "acc#19" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc#19.itm}
-load net {acc#19.itm(1)} -pin "acc#19" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc#19.itm}
-load net {acc#19.itm(2)} -pin "acc#19" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc#19.itm}
-load inst "acc#20" "add(3,0,4,0,5)" "INTERFACE" -attr xrf 18548 -attr oid 694 -attr vt d -attr @path {/sobel/sobel:core/acc#20} -attr area 5.298136 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,3,0,5)"
-load net {PWR} -pin "acc#20" {A(0)} -attr @path {/sobel/sobel:core/conc#317.itm}
-load net {acc#19.itm(1)} -pin "acc#20" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#317.itm}
-load net {acc#19.itm(2)} -pin "acc#20" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#317.itm}
-load net {ACC1:acc#174.itm(4)} -pin "acc#20" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#308.itm}
-load net {ACC1:acc#230.sdt(1)} -pin "acc#20" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#308.itm}
-load net {ACC1:acc#230.sdt(2)} -pin "acc#20" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#308.itm}
-load net {ACC1:acc#230.sdt(3)} -pin "acc#20" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#308.itm}
-load net {acc#20.itm(0)} -pin "acc#20" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.itm}
-load net {acc#20.itm(1)} -pin "acc#20" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.itm}
-load net {acc#20.itm(2)} -pin "acc#20" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.itm}
-load net {acc#20.itm(3)} -pin "acc#20" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.itm}
-load net {acc#20.itm(4)} -pin "acc#20" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc#20.itm}
-load inst "ACC1:acc#252" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 18549 -attr oid 695 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {ACC1:acc#245.itm(0)} -pin "ACC1:acc#252" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(1)} -pin "ACC1:acc#252" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(2)} -pin "ACC1:acc#252" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(3)} -pin "ACC1:acc#252" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#245.itm(4)} -pin "ACC1:acc#252" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#245.itm}
-load net {ACC1:acc#230.sdt(0)} -pin "ACC1:acc#252" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#411.itm}
-load net {acc#20.itm(1)} -pin "ACC1:acc#252" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#411.itm}
-load net {acc#20.itm(2)} -pin "ACC1:acc#252" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#411.itm}
-load net {acc#20.itm(3)} -pin "ACC1:acc#252" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#411.itm}
-load net {acc#20.itm(4)} -pin "ACC1:acc#252" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#411.itm}
-load net {ACC1:acc#252.itm(0)} -pin "ACC1:acc#252" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(1)} -pin "ACC1:acc#252" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(2)} -pin "ACC1:acc#252" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(3)} -pin "ACC1:acc#252" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(4)} -pin "ACC1:acc#252" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(5)} -pin "ACC1:acc#252" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load inst "reg(ACC1:acc#252.itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 18550 -attr oid 696 -attr vt dc -attr @path {/sobel/sobel:core/reg(ACC1:acc#252.itm#1)}
-load net {ACC1:acc#252.itm(0)} -pin "reg(ACC1:acc#252.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(1)} -pin "reg(ACC1:acc#252.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(2)} -pin "reg(ACC1:acc#252.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(3)} -pin "reg(ACC1:acc#252.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(4)} -pin "reg(ACC1:acc#252.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {ACC1:acc#252.itm(5)} -pin "reg(ACC1:acc#252.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#252.itm}
-load net {GND} -pin "reg(ACC1:acc#252.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#252.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#252.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#252.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#252.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#252.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
-load net {clk} -pin "reg(ACC1:acc#252.itm#1)" {clk} -attr xrf 18551 -attr oid 697 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:acc#252.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:acc#252.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:acc#252.itm#1(0)} -pin "reg(ACC1:acc#252.itm#1)" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(1)} -pin "reg(ACC1:acc#252.itm#1)" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(2)} -pin "reg(ACC1:acc#252.itm#1)" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(3)} -pin "reg(ACC1:acc#252.itm#1)" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(4)} -pin "reg(ACC1:acc#252.itm#1)" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(5)} -pin "reg(ACC1:acc#252.itm#1)" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load inst "ACC1:not#144" "not(1)" "INTERFACE" -attr xrf 18552 -attr oid 698 -attr @path {/sobel/sobel:core/ACC1:not#144} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#162.itm(5)} -pin "ACC1:not#144" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#17.sva)#9.itm}
-load net {ACC1:not#144.itm} -pin "ACC1:not#144" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#144.itm}
-load inst "ACC1:not#143" "not(1)" "INTERFACE" -attr xrf 18553 -attr oid 699 -attr @path {/sobel/sobel:core/ACC1:not#143} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#189.itm(5)} -pin "ACC1:not#143" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#9.itm}
-load net {ACC1:not#143.itm} -pin "ACC1:not#143" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#143.itm}
-load inst "ACC1-2:not#13" "not(1)" "INTERFACE" -attr xrf 18554 -attr oid 700 -attr @path {/sobel/sobel:core/ACC1-2:not#13} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#19.sva(1)} -pin "ACC1-2:not#13" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva)#1.itm}
-load net {ACC1-2:not#13.itm} -pin "ACC1-2:not#13" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#13.itm}
-load inst "ACC1-2:not#14" "not(1)" "INTERFACE" -attr xrf 18555 -attr oid 701 -attr @path {/sobel/sobel:core/ACC1-2:not#14} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#19.sva(2)} -pin "ACC1-2:not#14" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#19.sva)#2.itm}
-load net {ACC1-2:not#14.itm} -pin "ACC1-2:not#14" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-2:not#14.itm}
-load inst "ACC1:acc#285" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 18556 -attr oid 702 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#285" {A(0)} -attr @path {/sobel/sobel:core/conc#319.itm}
-load net {acc.imod#19.sva(0)} -pin "ACC1:acc#285" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#319.itm}
-load net {PWR} -pin "ACC1:acc#285" {A(2)} -attr @path {/sobel/sobel:core/conc#319.itm}
-load net {ACC1-2:not#14.itm} -pin "ACC1:acc#285" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#403.itm}
-load net {ACC1-2:not#13.itm} -pin "ACC1:acc#285" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#403.itm}
-load net {ACC1:acc#285.itm(0)} -pin "ACC1:acc#285" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
-load net {ACC1:acc#285.itm(1)} -pin "ACC1:acc#285" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
-load net {ACC1:acc#285.itm(2)} -pin "ACC1:acc#285" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#285.itm}
-load inst "ACC1:not#129" "not(1)" "INTERFACE" -attr xrf 18557 -attr oid 703 -attr @path {/sobel/sobel:core/ACC1:not#129} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#285.itm(2)} -pin "ACC1:not#129" {A(0)} -attr @path {/sobel/sobel:core/ACC1:slc#55.itm}
-load net {ACC1:not#129.itm} -pin "ACC1:not#129" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#129.itm}
-load inst "ACC1:not#145" "not(1)" "INTERFACE" -attr xrf 18558 -attr oid 704 -attr @path {/sobel/sobel:core/ACC1:not#145} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#201.itm(5)} -pin "ACC1:not#145" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#8.itm}
-load net {ACC1:not#145.itm} -pin "ACC1:not#145" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#145.itm}
-load inst "ACC1:not#146" "not(1)" "INTERFACE" -attr xrf 18559 -attr oid 705 -attr @path {/sobel/sobel:core/ACC1:not#146} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#174.itm(5)} -pin "ACC1:not#146" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#25.sva)#8.itm}
-load net {ACC1:not#146.itm} -pin "ACC1:not#146" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#146.itm}
-load inst "ACC1:not#139" "not(1)" "INTERFACE" -attr xrf 18560 -attr oid 706 -attr @path {/sobel/sobel:core/ACC1:not#139} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#201.itm(5)} -pin "ACC1:not#139" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva).itm}
-load net {ACC1:not#139.itm} -pin "ACC1:not#139" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#139.itm}
-load inst "ACC1:not#137" "not(1)" "INTERFACE" -attr xrf 18561 -attr oid 707 -attr @path {/sobel/sobel:core/ACC1:not#137} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#162.itm(5)} -pin "ACC1:not#137" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#17.sva).itm}
-load net {ACC1:not#137.itm} -pin "ACC1:not#137" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#137.itm}
-load inst "ACC1:not#134" "not(1)" "INTERFACE" -attr xrf 18562 -attr oid 708 -attr @path {/sobel/sobel:core/ACC1:not#134} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#7.sva(2)} -pin "ACC1:not#134" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#7.sva).itm}
-load net {ACC1:not#134.itm} -pin "ACC1:not#134" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#134.itm}
-load inst "ACC1:acc#243" "add(4,0,4,0,5)" "INTERFACE" -attr xrf 18563 -attr oid 709 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {ACC1:not#145.itm} -pin "ACC1:acc#243" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#278.itm}
-load net {ACC1:not#129.itm} -pin "ACC1:acc#243" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#278.itm}
-load net {ACC1:not#143.itm} -pin "ACC1:acc#243" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#278.itm}
-load net {ACC1:not#144.itm} -pin "ACC1:acc#243" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#278.itm}
-load net {ACC1:not#134.itm} -pin "ACC1:acc#243" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#279.itm}
-load net {ACC1:not#137.itm} -pin "ACC1:acc#243" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#279.itm}
-load net {ACC1:not#139.itm} -pin "ACC1:acc#243" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#279.itm}
-load net {ACC1:not#146.itm} -pin "ACC1:acc#243" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#279.itm}
-load net {ACC1:acc#243.itm(0)} -pin "ACC1:acc#243" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(1)} -pin "ACC1:acc#243" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(2)} -pin "ACC1:acc#243" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(3)} -pin "ACC1:acc#243" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(4)} -pin "ACC1:acc#243" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load inst "ACC1:acc#251" "add(5,0,5,0,6)" "INTERFACE" -attr xrf 18564 -attr oid 710 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {ACC1:acc#243.itm(0)} -pin "ACC1:acc#251" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(1)} -pin "ACC1:acc#251" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(2)} -pin "ACC1:acc#251" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(3)} -pin "ACC1:acc#251" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {ACC1:acc#243.itm(4)} -pin "ACC1:acc#251" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#243.itm}
-load net {acc.idiv#3.sva(9)} -pin "ACC1:acc#251" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#320.itm}
-load net {acc.idiv#3.sva(9)} -pin "ACC1:acc#251" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#320.itm}
-load net {GND} -pin "ACC1:acc#251" {B(2)} -attr @path {/sobel/sobel:core/conc#320.itm}
-load net {acc.idiv#3.sva(4)} -pin "ACC1:acc#251" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#320.itm}
-load net {acc.idiv#7.sva(5)} -pin "ACC1:acc#251" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#320.itm}
-load net {ACC1:acc#251.itm(0)} -pin "ACC1:acc#251" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(1)} -pin "ACC1:acc#251" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(2)} -pin "ACC1:acc#251" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(3)} -pin "ACC1:acc#251" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(4)} -pin "ACC1:acc#251" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(5)} -pin "ACC1:acc#251" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load inst "reg(ACC1:acc#251.itm#1)" "reg(6,1,1,-1,0)" "INTERFACE" -attr xrf 18565 -attr oid 711 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#251.itm#1)}
-load net {ACC1:acc#251.itm(0)} -pin "reg(ACC1:acc#251.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(1)} -pin "reg(ACC1:acc#251.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(2)} -pin "reg(ACC1:acc#251.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(3)} -pin "reg(ACC1:acc#251.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(4)} -pin "reg(ACC1:acc#251.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {ACC1:acc#251.itm(5)} -pin "reg(ACC1:acc#251.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm}
-load net {GND} -pin "reg(ACC1:acc#251.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#251.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#251.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#251.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#251.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_6}
-load net {GND} -pin "reg(ACC1:acc#251.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_6}
-load net {clk} -pin "reg(ACC1:acc#251.itm#1)" {clk} -attr xrf 18566 -attr oid 712 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:acc#251.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:acc#251.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:acc#251.itm#1(0)} -pin "reg(ACC1:acc#251.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(1)} -pin "reg(ACC1:acc#251.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(2)} -pin "reg(ACC1:acc#251.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(3)} -pin "reg(ACC1:acc#251.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(4)} -pin "reg(ACC1:acc#251.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(5)} -pin "reg(ACC1:acc#251.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load inst "ACC1:acc#229" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18567 -attr oid 713 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#3.sva(17)} -pin "ACC1:acc#229" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#6.itm}
-load net {acc.idiv#3.sva(17)} -pin "ACC1:acc#229" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#6.itm}
-load net {acc.idiv#3.sva(5)} -pin "ACC1:acc#229" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs.itm}
-load net {acc.idiv#3.sva(5)} -pin "ACC1:acc#229" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs.itm}
-load net {ACC1:acc#229.itm(0)} -pin "ACC1:acc#229" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load net {ACC1:acc#229.itm(1)} -pin "ACC1:acc#229" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load net {ACC1:acc#229.itm(2)} -pin "ACC1:acc#229" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load inst "ACC1:acc#228" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18568 -attr oid 714 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {acc.idiv#3.sva(7)} -pin "ACC1:acc#228" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1.itm}
-load net {acc.idiv#3.sva(7)} -pin "ACC1:acc#228" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#1.itm}
-load net {acc.idiv#3.sva(9)} -pin "ACC1:acc#228" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#2.itm}
-load net {acc.idiv#3.sva(9)} -pin "ACC1:acc#228" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:exs#2.itm}
-load net {ACC1:acc#228.itm(0)} -pin "ACC1:acc#228" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load net {ACC1:acc#228.itm(1)} -pin "ACC1:acc#228" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load net {ACC1:acc#228.itm(2)} -pin "ACC1:acc#228" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load inst "ACC1:acc#242" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18569 -attr oid 715 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#229.itm(0)} -pin "ACC1:acc#242" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load net {ACC1:acc#229.itm(1)} -pin "ACC1:acc#242" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load net {ACC1:acc#229.itm(2)} -pin "ACC1:acc#242" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#229.itm}
-load net {ACC1:acc#228.itm(0)} -pin "ACC1:acc#242" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load net {ACC1:acc#228.itm(1)} -pin "ACC1:acc#242" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load net {ACC1:acc#228.itm(2)} -pin "ACC1:acc#242" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#228.itm}
-load net {ACC1:acc#242.itm(0)} -pin "ACC1:acc#242" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#242.itm(1)} -pin "ACC1:acc#242" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#242.itm(2)} -pin "ACC1:acc#242" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#242.itm(3)} -pin "ACC1:acc#242" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load inst "ACC1:acc#250" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 18570 -attr oid 716 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {acc.idiv#3.sva(11)} -pin "ACC1:acc#250" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#321.itm}
-load net {acc.idiv#3.sva(11)} -pin "ACC1:acc#250" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#321.itm}
-load net {GND} -pin "ACC1:acc#250" {A(2)} -attr @path {/sobel/sobel:core/conc#321.itm}
-load net {acc.idiv#7.sva(4)} -pin "ACC1:acc#250" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#321.itm}
-load net {acc.idiv#7.sva(7)} -pin "ACC1:acc#250" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#321.itm}
-load net {ACC1:acc#242.itm(0)} -pin "ACC1:acc#250" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#242.itm(1)} -pin "ACC1:acc#250" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#242.itm(2)} -pin "ACC1:acc#250" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#242.itm(3)} -pin "ACC1:acc#250" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#242.itm}
-load net {ACC1:acc#250.itm(0)} -pin "ACC1:acc#250" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(1)} -pin "ACC1:acc#250" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(2)} -pin "ACC1:acc#250" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(3)} -pin "ACC1:acc#250" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(4)} -pin "ACC1:acc#250" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(5)} -pin "ACC1:acc#250" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load inst "ACC1:acc#130" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18571 -attr oid 717 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#130} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(6)} -pin "ACC1:acc#130" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#37.itm}
-load net {acc.idiv#2.sva(6)} -pin "ACC1:acc#130" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#32.itm}
-load net {ACC1:acc#130.itm(0)} -pin "ACC1:acc#130" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#130.itm}
-load net {ACC1:acc#130.itm(1)} -pin "ACC1:acc#130" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#130.itm}
-load inst "ACC1:mul" "mul(2,0,5,0,6)" "INTERFACE" -attr xrf 18572 -attr oid 718 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
-load net {ACC1:acc#130.itm(0)} -pin "ACC1:mul" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#130.itm}
-load net {ACC1:acc#130.itm(1)} -pin "ACC1:mul" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#130.itm}
-load net {PWR} -pin "ACC1:mul" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
-load net {ACC1:mul.itm(0)} -pin "ACC1:mul" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(1)} -pin "ACC1:mul" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(2)} -pin "ACC1:mul" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(3)} -pin "ACC1:mul" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(4)} -pin "ACC1:mul" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(5)} -pin "ACC1:mul" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load inst "ACC1:acc#255" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 18573 -attr oid 719 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
-load net {ACC1:acc#250.itm(0)} -pin "ACC1:acc#255" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(1)} -pin "ACC1:acc#255" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(2)} -pin "ACC1:acc#255" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(3)} -pin "ACC1:acc#255" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(4)} -pin "ACC1:acc#255" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:acc#250.itm(5)} -pin "ACC1:acc#255" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#250.itm}
-load net {ACC1:mul.itm(0)} -pin "ACC1:acc#255" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(1)} -pin "ACC1:acc#255" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(2)} -pin "ACC1:acc#255" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(3)} -pin "ACC1:acc#255" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(4)} -pin "ACC1:acc#255" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:mul.itm(5)} -pin "ACC1:acc#255" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul.itm}
-load net {ACC1:acc#255.itm(0)} -pin "ACC1:acc#255" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(1)} -pin "ACC1:acc#255" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(2)} -pin "ACC1:acc#255" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(3)} -pin "ACC1:acc#255" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(4)} -pin "ACC1:acc#255" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(5)} -pin "ACC1:acc#255" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(6)} -pin "ACC1:acc#255" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load inst "reg(ACC1:acc#255.itm#1)" "reg(7,1,1,-1,0)" "INTERFACE" -attr xrf 18574 -attr oid 720 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#255.itm#1)}
-load net {ACC1:acc#255.itm(0)} -pin "reg(ACC1:acc#255.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(1)} -pin "reg(ACC1:acc#255.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(2)} -pin "reg(ACC1:acc#255.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(3)} -pin "reg(ACC1:acc#255.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(4)} -pin "reg(ACC1:acc#255.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(5)} -pin "reg(ACC1:acc#255.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {ACC1:acc#255.itm(6)} -pin "reg(ACC1:acc#255.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_7}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_7}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_7}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_7}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_7}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_7}
-load net {GND} -pin "reg(ACC1:acc#255.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_7}
-load net {clk} -pin "reg(ACC1:acc#255.itm#1)" {clk} -attr xrf 18575 -attr oid 721 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:acc#255.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:acc#255.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:acc#255.itm#1(0)} -pin "reg(ACC1:acc#255.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(1)} -pin "reg(ACC1:acc#255.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(2)} -pin "reg(ACC1:acc#255.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(3)} -pin "reg(ACC1:acc#255.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(4)} -pin "reg(ACC1:acc#255.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(5)} -pin "reg(ACC1:acc#255.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(6)} -pin "reg(ACC1:acc#255.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load inst "ACC1:acc#132" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18576 -attr oid 722 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(10)} -pin "ACC1:acc#132" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#44.itm}
-load net {acc.idiv#2.sva(10)} -pin "ACC1:acc#132" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#44.itm}
-load net {ACC1:acc#132.itm(0)} -pin "ACC1:acc#132" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
-load net {ACC1:acc#132.itm(1)} -pin "ACC1:acc#132" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
-load inst "ACC1:mul#89" "mul(2,0,9,0,10)" "INTERFACE" -attr xrf 18577 -attr oid 723 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
-load net {ACC1:acc#132.itm(0)} -pin "ACC1:mul#89" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
-load net {ACC1:acc#132.itm(1)} -pin "ACC1:mul#89" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#132.itm}
-load net {PWR} -pin "ACC1:mul#89" {B(0)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#89" {B(1)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#89" {B(2)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#89" {B(3)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#89" {B(4)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#89" {B(5)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#89" {B(6)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#89" {B(7)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#89" {B(8)} -attr @path {/sobel/sobel:core/C341_9}
-load net {ACC1:mul#89.itm(0)} -pin "ACC1:mul#89" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(1)} -pin "ACC1:mul#89" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(2)} -pin "ACC1:mul#89" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(3)} -pin "ACC1:mul#89" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(4)} -pin "ACC1:mul#89" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(5)} -pin "ACC1:mul#89" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(6)} -pin "ACC1:mul#89" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(7)} -pin "ACC1:mul#89" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(8)} -pin "ACC1:mul#89" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(9)} -pin "ACC1:mul#89" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load inst "reg(ACC1:mul#89.itm#1)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18578 -attr oid 724 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#89.itm#1)}
-load net {ACC1:mul#89.itm(0)} -pin "reg(ACC1:mul#89.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(1)} -pin "reg(ACC1:mul#89.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(2)} -pin "reg(ACC1:mul#89.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(3)} -pin "reg(ACC1:mul#89.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(4)} -pin "reg(ACC1:mul#89.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(5)} -pin "reg(ACC1:mul#89.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(6)} -pin "reg(ACC1:mul#89.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(7)} -pin "reg(ACC1:mul#89.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(8)} -pin "reg(ACC1:mul#89.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {ACC1:mul#89.itm(9)} -pin "reg(ACC1:mul#89.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10}
-load net {GND} -pin "reg(ACC1:mul#89.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10}
-load net {clk} -pin "reg(ACC1:mul#89.itm#1)" {clk} -attr xrf 18579 -attr oid 725 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#89.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#89.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#89.itm#1(0)} -pin "reg(ACC1:mul#89.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(1)} -pin "reg(ACC1:mul#89.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(2)} -pin "reg(ACC1:mul#89.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(3)} -pin "reg(ACC1:mul#89.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(4)} -pin "reg(ACC1:mul#89.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(5)} -pin "reg(ACC1:mul#89.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(6)} -pin "reg(ACC1:mul#89.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(7)} -pin "reg(ACC1:mul#89.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(8)} -pin "reg(ACC1:mul#89.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(9)} -pin "reg(ACC1:mul#89.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load inst "ACC1:acc#145" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18580 -attr oid 726 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(9)} -pin "ACC1:acc#145" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#34.itm}
-load net {acc.idiv#7.sva(9)} -pin "ACC1:acc#145" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#26.itm}
-load net {ACC1:acc#145.itm(0)} -pin "ACC1:acc#145" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
-load net {ACC1:acc#145.itm(1)} -pin "ACC1:acc#145" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
-load inst "ACC1:mul#102" "mul(2,0,5,0,6)" "INTERFACE" -attr xrf 18581 -attr oid 727 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
-load net {ACC1:acc#145.itm(0)} -pin "ACC1:mul#102" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
-load net {ACC1:acc#145.itm(1)} -pin "ACC1:mul#102" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#145.itm}
-load net {PWR} -pin "ACC1:mul#102" {B(0)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul#102" {B(1)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul#102" {B(2)} -attr @path {/sobel/sobel:core/C21_5}
-load net {GND} -pin "ACC1:mul#102" {B(3)} -attr @path {/sobel/sobel:core/C21_5}
-load net {PWR} -pin "ACC1:mul#102" {B(4)} -attr @path {/sobel/sobel:core/C21_5}
-load net {ACC1:mul#102.itm(0)} -pin "ACC1:mul#102" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load net {ACC1:mul#102.itm(1)} -pin "ACC1:mul#102" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load net {ACC1:mul#102.itm(2)} -pin "ACC1:mul#102" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load net {ACC1:mul#102.itm(3)} -pin "ACC1:mul#102" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load net {ACC1:mul#102.itm(4)} -pin "ACC1:mul#102" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load net {ACC1:mul#102.itm(5)} -pin "ACC1:mul#102" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#102.itm}
-load inst "ACC1:acc#296" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18582 -attr oid 728 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296} -attr area 4.303074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {acc.idiv#3.sva(5)} -pin "ACC1:acc#296" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#286.itm}
-load net {acc.idiv.sva(7)} -pin "ACC1:acc#296" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#286.itm}
-load net {acc.idiv#3.sva(7)} -pin "ACC1:acc#296" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#286.itm}
-load net {acc.idiv#3.sva(7)} -pin "ACC1:acc#296" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#287.itm}
-load net {acc.idiv#2.sva(7)} -pin "ACC1:acc#296" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#287.itm}
-load net {acc.idiv#7.sva(7)} -pin "ACC1:acc#296" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#287.itm}
-load net {ACC1:acc#296.itm(0)} -pin "ACC1:acc#296" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
-load net {ACC1:acc#296.itm(1)} -pin "ACC1:acc#296" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
-load net {ACC1:acc#296.itm(2)} -pin "ACC1:acc#296" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
-load net {ACC1:acc#296.itm(3)} -pin "ACC1:acc#296" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#296.itm}
-load inst "ACC1:acc#297" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18583 -attr oid 729 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297} -attr area 4.303074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {acc.idiv.sva(5)} -pin "ACC1:acc#297" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#413.itm}
-load net {acc.idiv.sva(5)} -pin "ACC1:acc#297" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#413.itm}
-load net {acc.idiv.sva(4)} -pin "ACC1:acc#297" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#413.itm}
-load net {acc.idiv.sva(7)} -pin "ACC1:acc#297" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#414.itm}
-load net {acc.idiv.sva(7)} -pin "ACC1:acc#297" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#414.itm}
-load net {acc.idiv#2.sva(4)} -pin "ACC1:acc#297" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#414.itm}
-load net {ACC1:acc#297.itm(0)} -pin "ACC1:acc#297" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
-load net {ACC1:acc#297.itm(1)} -pin "ACC1:acc#297" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
-load net {ACC1:acc#297.itm(2)} -pin "ACC1:acc#297" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
-load net {ACC1:acc#297.itm(3)} -pin "ACC1:acc#297" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#297.itm}
-load inst "ACC1:acc#131" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18584 -attr oid 730 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#131} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv.sva(8)} -pin "ACC1:acc#131" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#29.itm}
-load net {acc.idiv#2.sva(8)} -pin "ACC1:acc#131" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#24.itm}
-load net {ACC1:acc#131.itm(0)} -pin "ACC1:acc#131" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#131.itm}
-load net {ACC1:acc#131.itm(1)} -pin "ACC1:acc#131" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#131.itm}
-load inst "ACC1:mul#88" "mul(2,0,7,0,8)" "INTERFACE" -attr xrf 18585 -attr oid 731 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,7,0,8)"
-load net {ACC1:acc#131.itm(0)} -pin "ACC1:mul#88" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#131.itm}
-load net {ACC1:acc#131.itm(1)} -pin "ACC1:mul#88" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#131.itm}
-load net {PWR} -pin "ACC1:mul#88" {B(0)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#88" {B(1)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#88" {B(2)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#88" {B(3)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#88" {B(4)} -attr @path {/sobel/sobel:core/C85_7}
-load net {GND} -pin "ACC1:mul#88" {B(5)} -attr @path {/sobel/sobel:core/C85_7}
-load net {PWR} -pin "ACC1:mul#88" {B(6)} -attr @path {/sobel/sobel:core/C85_7}
-load net {ACC1:mul#88.itm(0)} -pin "ACC1:mul#88" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(1)} -pin "ACC1:mul#88" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(2)} -pin "ACC1:mul#88" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(3)} -pin "ACC1:mul#88" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(4)} -pin "ACC1:mul#88" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(5)} -pin "ACC1:mul#88" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(6)} -pin "ACC1:mul#88" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(7)} -pin "ACC1:mul#88" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load inst "ACC1:acc#262" "add(8,0,8,0,9)" "INTERFACE" -attr xrf 18586 -attr oid 732 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262} -attr area 9.258614 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(8,0,8,0,9)"
-load net {ACC1:acc#297.itm(0)} -pin "ACC1:acc#262" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#297.itm(1)} -pin "ACC1:acc#262" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#297.itm(2)} -pin "ACC1:acc#262" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#297.itm(3)} -pin "ACC1:acc#262" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#296.itm(0)} -pin "ACC1:acc#262" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#296.itm(1)} -pin "ACC1:acc#262" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#296.itm(2)} -pin "ACC1:acc#262" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:acc#296.itm(3)} -pin "ACC1:acc#262" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#412.itm}
-load net {ACC1:mul#88.itm(0)} -pin "ACC1:acc#262" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(1)} -pin "ACC1:acc#262" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(2)} -pin "ACC1:acc#262" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(3)} -pin "ACC1:acc#262" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(4)} -pin "ACC1:acc#262" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(5)} -pin "ACC1:acc#262" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(6)} -pin "ACC1:acc#262" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:mul#88.itm(7)} -pin "ACC1:acc#262" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#88.itm}
-load net {ACC1:acc#262.itm(0)} -pin "ACC1:acc#262" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(1)} -pin "ACC1:acc#262" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(2)} -pin "ACC1:acc#262" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(3)} -pin "ACC1:acc#262" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(4)} -pin "ACC1:acc#262" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(5)} -pin "ACC1:acc#262" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(6)} -pin "ACC1:acc#262" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(7)} -pin "ACC1:acc#262" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(8)} -pin "ACC1:acc#262" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load inst "ACC1:acc#265" "add(10,0,9,0,11)" "INTERFACE" -attr xrf 18587 -attr oid 733 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265} -attr area 11.241230 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,0,11)"
-load net {acc.idiv.sva(11)} -pin "ACC1:acc#265" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {acc.idiv.sva(11)} -pin "ACC1:acc#265" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {GND} -pin "ACC1:acc#265" {A(2)} -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {acc.idiv.sva(5)} -pin "ACC1:acc#265" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(0)} -pin "ACC1:acc#265" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(1)} -pin "ACC1:acc#265" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(2)} -pin "ACC1:acc#265" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(3)} -pin "ACC1:acc#265" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(4)} -pin "ACC1:acc#265" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:mul#102.itm(5)} -pin "ACC1:acc#265" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#322.itm}
-load net {ACC1:acc#262.itm(0)} -pin "ACC1:acc#265" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(1)} -pin "ACC1:acc#265" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(2)} -pin "ACC1:acc#265" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(3)} -pin "ACC1:acc#265" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(4)} -pin "ACC1:acc#265" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(5)} -pin "ACC1:acc#265" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(6)} -pin "ACC1:acc#265" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(7)} -pin "ACC1:acc#265" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#262.itm(8)} -pin "ACC1:acc#265" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#262.itm}
-load net {ACC1:acc#265.itm(0)} -pin "ACC1:acc#265" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(1)} -pin "ACC1:acc#265" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(2)} -pin "ACC1:acc#265" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(3)} -pin "ACC1:acc#265" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(4)} -pin "ACC1:acc#265" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(5)} -pin "ACC1:acc#265" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(6)} -pin "ACC1:acc#265" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(7)} -pin "ACC1:acc#265" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(8)} -pin "ACC1:acc#265" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(9)} -pin "ACC1:acc#265" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(10)} -pin "ACC1:acc#265" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load inst "ACC1:acc#137" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18588 -attr oid 734 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(10)} -pin "ACC1:acc#137" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#39.itm}
-load net {acc.idiv#7.sva(10)} -pin "ACC1:acc#137" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#36.itm}
-load net {ACC1:acc#137.itm(0)} -pin "ACC1:acc#137" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
-load net {ACC1:acc#137.itm(1)} -pin "ACC1:acc#137" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
-load inst "ACC1:mul#94" "mul(2,0,9,0,10)" "INTERFACE" -attr xrf 18589 -attr oid 735 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
-load net {ACC1:acc#137.itm(0)} -pin "ACC1:mul#94" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
-load net {ACC1:acc#137.itm(1)} -pin "ACC1:mul#94" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#137.itm}
-load net {PWR} -pin "ACC1:mul#94" {B(0)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#94" {B(1)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#94" {B(2)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#94" {B(3)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#94" {B(4)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#94" {B(5)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#94" {B(6)} -attr @path {/sobel/sobel:core/C341_9}
-load net {GND} -pin "ACC1:mul#94" {B(7)} -attr @path {/sobel/sobel:core/C341_9}
-load net {PWR} -pin "ACC1:mul#94" {B(8)} -attr @path {/sobel/sobel:core/C341_9}
-load net {ACC1:mul#94.itm(0)} -pin "ACC1:mul#94" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(1)} -pin "ACC1:mul#94" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(2)} -pin "ACC1:mul#94" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(3)} -pin "ACC1:mul#94" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(4)} -pin "ACC1:mul#94" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(5)} -pin "ACC1:mul#94" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(6)} -pin "ACC1:mul#94" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(7)} -pin "ACC1:mul#94" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(8)} -pin "ACC1:mul#94" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load net {ACC1:mul#94.itm(9)} -pin "ACC1:mul#94" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#94.itm}
-load inst "ACC1:acc#268" "add(11,0,11,0,12)" "INTERFACE" -attr xrf 18590 -attr oid 736 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268} -attr area 12.232538 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,11,0,12)"
-load net {ACC1:acc#265.itm(0)} -pin "ACC1:acc#268" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(1)} -pin "ACC1:acc#268" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(2)} -pin "ACC1:acc#268" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(3)} -pin "ACC1:acc#268" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(4)} -pin "ACC1:acc#268" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(5)} -pin "ACC1:acc#268" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(6)} -pin "ACC1:acc#268" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(7)} -pin "ACC1:acc#268" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(8)} -pin "ACC1:acc#268" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(9)} -pin "ACC1:acc#268" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#265.itm(10)} -pin "ACC1:acc#268" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#265.itm}
-load net {ACC1:acc#189.itm(2)} -pin "ACC1:acc#268" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(0)} -pin "ACC1:acc#268" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(1)} -pin "ACC1:acc#268" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(2)} -pin "ACC1:acc#268" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(3)} -pin "ACC1:acc#268" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(4)} -pin "ACC1:acc#268" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(5)} -pin "ACC1:acc#268" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(6)} -pin "ACC1:acc#268" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(7)} -pin "ACC1:acc#268" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(8)} -pin "ACC1:acc#268" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:mul#94.itm(9)} -pin "ACC1:acc#268" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#252.itm}
-load net {ACC1:acc#268.itm(0)} -pin "ACC1:acc#268" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(1)} -pin "ACC1:acc#268" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(2)} -pin "ACC1:acc#268" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(3)} -pin "ACC1:acc#268" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(4)} -pin "ACC1:acc#268" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(5)} -pin "ACC1:acc#268" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(6)} -pin "ACC1:acc#268" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(7)} -pin "ACC1:acc#268" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(8)} -pin "ACC1:acc#268" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(9)} -pin "ACC1:acc#268" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(10)} -pin "ACC1:acc#268" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(11)} -pin "ACC1:acc#268" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load inst "reg(ACC1:acc#268.itm#1)" "reg(12,1,1,-1,0)" "INTERFACE" -attr xrf 18591 -attr oid 737 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:acc#268.itm#1)}
-load net {ACC1:acc#268.itm(0)} -pin "reg(ACC1:acc#268.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(1)} -pin "reg(ACC1:acc#268.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(2)} -pin "reg(ACC1:acc#268.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(3)} -pin "reg(ACC1:acc#268.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(4)} -pin "reg(ACC1:acc#268.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(5)} -pin "reg(ACC1:acc#268.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(6)} -pin "reg(ACC1:acc#268.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(7)} -pin "reg(ACC1:acc#268.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(8)} -pin "reg(ACC1:acc#268.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(9)} -pin "reg(ACC1:acc#268.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(10)} -pin "reg(ACC1:acc#268.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {ACC1:acc#268.itm(11)} -pin "reg(ACC1:acc#268.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_12}
-load net {GND} -pin "reg(ACC1:acc#268.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_12}
-load net {clk} -pin "reg(ACC1:acc#268.itm#1)" {clk} -attr xrf 18592 -attr oid 738 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:acc#268.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:acc#268.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:acc#268.itm#1(0)} -pin "reg(ACC1:acc#268.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(1)} -pin "reg(ACC1:acc#268.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(2)} -pin "reg(ACC1:acc#268.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(3)} -pin "reg(ACC1:acc#268.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(4)} -pin "reg(ACC1:acc#268.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(5)} -pin "reg(ACC1:acc#268.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(6)} -pin "reg(ACC1:acc#268.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(7)} -pin "reg(ACC1:acc#268.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(8)} -pin "reg(ACC1:acc#268.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(9)} -pin "reg(ACC1:acc#268.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(10)} -pin "reg(ACC1:acc#268.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(11)} -pin "reg(ACC1:acc#268.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load inst "ACC1:acc#139" "add(1,0,1,0,2)" "INTERFACE" -attr xrf 18593 -attr oid 739 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139} -attr area 2.319458 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(1,0,1,0,2)"
-load net {acc.idiv#3.sva(14)} -pin "ACC1:acc#139" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#46.itm}
-load net {acc.idiv#7.sva(14)} -pin "ACC1:acc#139" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#46.itm}
-load net {ACC1:acc#139.itm(0)} -pin "ACC1:acc#139" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
-load net {ACC1:acc#139.itm(1)} -pin "ACC1:acc#139" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
-load inst "ACC1:mul#96" "mul(2,0,13,0,14)" "INTERFACE" -attr xrf 18594 -attr oid 740 -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,13,0,14)"
-load net {ACC1:acc#139.itm(0)} -pin "ACC1:mul#96" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
-load net {ACC1:acc#139.itm(1)} -pin "ACC1:mul#96" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#139.itm}
-load net {PWR} -pin "ACC1:mul#96" {B(0)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#96" {B(1)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#96" {B(2)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#96" {B(3)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#96" {B(4)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#96" {B(5)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#96" {B(6)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#96" {B(7)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#96" {B(8)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#96" {B(9)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#96" {B(10)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {GND} -pin "ACC1:mul#96" {B(11)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {PWR} -pin "ACC1:mul#96" {B(12)} -attr @path {/sobel/sobel:core/C5461_13}
-load net {ACC1:mul#96.itm(0)} -pin "ACC1:mul#96" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(1)} -pin "ACC1:mul#96" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(2)} -pin "ACC1:mul#96" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(3)} -pin "ACC1:mul#96" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(4)} -pin "ACC1:mul#96" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(5)} -pin "ACC1:mul#96" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(6)} -pin "ACC1:mul#96" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(7)} -pin "ACC1:mul#96" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(8)} -pin "ACC1:mul#96" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(9)} -pin "ACC1:mul#96" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(10)} -pin "ACC1:mul#96" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(11)} -pin "ACC1:mul#96" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(12)} -pin "ACC1:mul#96" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(13)} -pin "ACC1:mul#96" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load inst "reg(ACC1:mul#96.itm#1)" "reg(14,1,1,-1,0)" "INTERFACE" -attr xrf 18595 -attr oid 741 -attr vt d -attr @path {/sobel/sobel:core/reg(ACC1:mul#96.itm#1)}
-load net {ACC1:mul#96.itm(0)} -pin "reg(ACC1:mul#96.itm#1)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(1)} -pin "reg(ACC1:mul#96.itm#1)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(2)} -pin "reg(ACC1:mul#96.itm#1)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(3)} -pin "reg(ACC1:mul#96.itm#1)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(4)} -pin "reg(ACC1:mul#96.itm#1)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(5)} -pin "reg(ACC1:mul#96.itm#1)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(6)} -pin "reg(ACC1:mul#96.itm#1)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(7)} -pin "reg(ACC1:mul#96.itm#1)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(8)} -pin "reg(ACC1:mul#96.itm#1)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(9)} -pin "reg(ACC1:mul#96.itm#1)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(10)} -pin "reg(ACC1:mul#96.itm#1)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(11)} -pin "reg(ACC1:mul#96.itm#1)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(12)} -pin "reg(ACC1:mul#96.itm#1)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {ACC1:mul#96.itm(13)} -pin "reg(ACC1:mul#96.itm#1)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_14}
-load net {GND} -pin "reg(ACC1:mul#96.itm#1)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_14}
-load net {clk} -pin "reg(ACC1:mul#96.itm#1)" {clk} -attr xrf 18596 -attr oid 742 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:mul#96.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:mul#96.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:mul#96.itm#1(0)} -pin "reg(ACC1:mul#96.itm#1)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(1)} -pin "reg(ACC1:mul#96.itm#1)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(2)} -pin "reg(ACC1:mul#96.itm#1)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(3)} -pin "reg(ACC1:mul#96.itm#1)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(4)} -pin "reg(ACC1:mul#96.itm#1)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(5)} -pin "reg(ACC1:mul#96.itm#1)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(6)} -pin "reg(ACC1:mul#96.itm#1)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(7)} -pin "reg(ACC1:mul#96.itm#1)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(8)} -pin "reg(ACC1:mul#96.itm#1)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(9)} -pin "reg(ACC1:mul#96.itm#1)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(10)} -pin "reg(ACC1:mul#96.itm#1)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(11)} -pin "reg(ACC1:mul#96.itm#1)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(12)} -pin "reg(ACC1:mul#96.itm#1)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load net {ACC1:mul#96.itm#1(13)} -pin "reg(ACC1:mul#96.itm#1)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#96.itm#1}
-load inst "reg(ACC1:slc(acc.imod)#28.itm#1)" "reg(1,1,1,-1,0)" "INTERFACE" -attr xrf 18597 -attr oid 743 -attr @path {/sobel/sobel:core/reg(ACC1:slc(acc.imod)#28.itm#1)}
-load net {ACC1:acc#189.itm(4)} -pin "reg(ACC1:slc(acc.imod)#28.itm#1)" {D(0)} -attr @path {/sobel/sobel:core/slc(acc.imod.sva).itm}
-load net {GND} -pin "reg(ACC1:slc(acc.imod)#28.itm#1)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_1}
-load net {clk} -pin "reg(ACC1:slc(acc.imod)#28.itm#1)" {clk} -attr xrf 18598 -attr oid 744 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(ACC1:slc(acc.imod)#28.itm#1)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(ACC1:slc(acc.imod)#28.itm#1)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {ACC1:slc(acc.imod)#28.itm#1} -pin "reg(ACC1:slc(acc.imod)#28.itm#1)" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:slc(acc.imod)#28.itm#1}
-load inst "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18599 -attr oid 745 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)}
-load net {regs.regs(1).sg2.sva(10)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(11)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(12)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(13)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(14)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(15)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(16)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(17)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(18)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {regs.regs(1).sg2.sva(19)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#2.itm}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {clk} -attr xrf 18600 -attr oid 746 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#1.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load inst "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18601 -attr oid 747 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)}
-load net {regs.regs(1).sg2.sva(0)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(1)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(2)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(3)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(4)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(5)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(6)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(7)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(8)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {regs.regs(1).sg2.sva(9)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva)#1.itm}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {clk} -attr xrf 18602 -attr oid 748 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2).sg2)#2.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load inst "reg(regs.regs:slc(regs.regs(2).sg2).itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18603 -attr oid 749 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2).sg2).itm)}
-load net {regs.regs(1).sg2.sva(20)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(21)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(22)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(23)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(24)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(25)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(26)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(27)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(28)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {regs.regs(1).sg2.sva(29)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1).sg2.sva).itm}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {clk} -attr xrf 18604 -attr oid 750 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(0)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(1)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(2)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(3)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(4)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(5)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(6)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(7)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(8)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(9)} -pin "reg(regs.regs:slc(regs.regs(2).sg2).itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load inst "reg(regs.regs:slc(regs.regs(2))#6.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18605 -attr oid 751 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#6.itm)}
-load net {regs.regs(1)#1.sva(10)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(11)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(12)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(13)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(14)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(15)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(16)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(17)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(18)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {regs.regs(1)#1.sva(19)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#2.itm}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {clk} -attr xrf 18606 -attr oid 752 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs:slc(regs.regs(2))#6.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#6.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load inst "reg(regs.regs:slc(regs.regs(2))#7.itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18607 -attr oid 753 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2))#7.itm)}
-load net {regs.regs(1)#1.sva(0)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(1)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(2)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(3)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(4)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(5)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(6)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(7)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(8)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {regs.regs(1)#1.sva(9)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva)#1.itm}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {clk} -attr xrf 18608 -attr oid 754 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs:slc(regs.regs(2))#7.itm(0)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(1)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(2)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(3)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(4)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(5)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(6)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(7)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(8)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(9)} -pin "reg(regs.regs:slc(regs.regs(2))#7.itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load inst "reg(regs.regs:slc(regs.regs(2)).itm)" "reg(10,1,1,-1,0)" "INTERFACE" -attr xrf 18609 -attr oid 755 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs:slc(regs.regs(2)).itm)}
-load net {regs.regs(1)#1.sva(20)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(21)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(22)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(23)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(24)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(25)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(26)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(27)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(28)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {regs.regs(1)#1.sva(29)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(1)#1.sva).itm}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {GND} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_10#1}
-load net {clk} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {clk} -attr xrf 18610 -attr oid 756 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs:slc(regs.regs(2)).itm(0)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(1)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(2)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(3)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(4)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(5)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(6)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(7)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(8)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(9)} -pin "reg(regs.regs:slc(regs.regs(2)).itm)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load inst "reg(regs.regs(1).sg2.sva)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 18611 -attr oid 757 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(1).sg2.sva)}
-load net {vin:rsc:mgc_in_wire.d(60)} -pin "reg(regs.regs(1).sg2.sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(61)} -pin "reg(regs.regs(1).sg2.sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(62)} -pin "reg(regs.regs(1).sg2.sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(63)} -pin "reg(regs.regs(1).sg2.sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(64)} -pin "reg(regs.regs(1).sg2.sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(65)} -pin "reg(regs.regs(1).sg2.sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(66)} -pin "reg(regs.regs(1).sg2.sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(67)} -pin "reg(regs.regs(1).sg2.sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(68)} -pin "reg(regs.regs(1).sg2.sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "reg(regs.regs(1).sg2.sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(70)} -pin "reg(regs.regs(1).sg2.sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(71)} -pin "reg(regs.regs(1).sg2.sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(72)} -pin "reg(regs.regs(1).sg2.sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(73)} -pin "reg(regs.regs(1).sg2.sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(74)} -pin "reg(regs.regs(1).sg2.sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(75)} -pin "reg(regs.regs(1).sg2.sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(76)} -pin "reg(regs.regs(1).sg2.sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(77)} -pin "reg(regs.regs(1).sg2.sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(78)} -pin "reg(regs.regs(1).sg2.sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "reg(regs.regs(1).sg2.sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(80)} -pin "reg(regs.regs(1).sg2.sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(81)} -pin "reg(regs.regs(1).sg2.sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(82)} -pin "reg(regs.regs(1).sg2.sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(83)} -pin "reg(regs.regs(1).sg2.sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(84)} -pin "reg(regs.regs(1).sg2.sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(85)} -pin "reg(regs.regs(1).sg2.sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(86)} -pin "reg(regs.regs(1).sg2.sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(87)} -pin "reg(regs.regs(1).sg2.sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(88)} -pin "reg(regs.regs(1).sg2.sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "reg(regs.regs(1).sg2.sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#7).itm}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1).sg2.sva)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
-load net {clk} -pin "reg(regs.regs(1).sg2.sva)" {clk} -attr xrf 18612 -attr oid 758 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs(1).sg2.sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs(1).sg2.sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs(1).sg2.sva(0)} -pin "reg(regs.regs(1).sg2.sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(1)} -pin "reg(regs.regs(1).sg2.sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(2)} -pin "reg(regs.regs(1).sg2.sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(3)} -pin "reg(regs.regs(1).sg2.sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(4)} -pin "reg(regs.regs(1).sg2.sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(5)} -pin "reg(regs.regs(1).sg2.sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(6)} -pin "reg(regs.regs(1).sg2.sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(7)} -pin "reg(regs.regs(1).sg2.sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(8)} -pin "reg(regs.regs(1).sg2.sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(9)} -pin "reg(regs.regs(1).sg2.sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(10)} -pin "reg(regs.regs(1).sg2.sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(11)} -pin "reg(regs.regs(1).sg2.sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(12)} -pin "reg(regs.regs(1).sg2.sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(13)} -pin "reg(regs.regs(1).sg2.sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(14)} -pin "reg(regs.regs(1).sg2.sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(15)} -pin "reg(regs.regs(1).sg2.sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(16)} -pin "reg(regs.regs(1).sg2.sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(17)} -pin "reg(regs.regs(1).sg2.sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(18)} -pin "reg(regs.regs(1).sg2.sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(19)} -pin "reg(regs.regs(1).sg2.sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(20)} -pin "reg(regs.regs(1).sg2.sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(21)} -pin "reg(regs.regs(1).sg2.sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(22)} -pin "reg(regs.regs(1).sg2.sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(23)} -pin "reg(regs.regs(1).sg2.sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(24)} -pin "reg(regs.regs(1).sg2.sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(25)} -pin "reg(regs.regs(1).sg2.sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(26)} -pin "reg(regs.regs(1).sg2.sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(27)} -pin "reg(regs.regs(1).sg2.sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(28)} -pin "reg(regs.regs(1).sg2.sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load net {regs.regs(1).sg2.sva(29)} -pin "reg(regs.regs(1).sg2.sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1).sg2.sva}
-load inst "reg(regs.regs(1)#1.sva)" "reg(30,1,1,-1,0)" "INTERFACE" -attr xrf 18613 -attr oid 759 -attr vt d -attr @path {/sobel/sobel:core/reg(regs.regs(1)#1.sva)}
-load net {vin:rsc:mgc_in_wire.d(0)} -pin "reg(regs.regs(1)#1.sva)" {D(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(1)} -pin "reg(regs.regs(1)#1.sva)" {D(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(2)} -pin "reg(regs.regs(1)#1.sva)" {D(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(3)} -pin "reg(regs.regs(1)#1.sva)" {D(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(4)} -pin "reg(regs.regs(1)#1.sva)" {D(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(5)} -pin "reg(regs.regs(1)#1.sva)" {D(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(6)} -pin "reg(regs.regs(1)#1.sva)" {D(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(7)} -pin "reg(regs.regs(1)#1.sva)" {D(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(8)} -pin "reg(regs.regs(1)#1.sva)" {D(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "reg(regs.regs(1)#1.sva)" {D(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(10)} -pin "reg(regs.regs(1)#1.sva)" {D(10)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(11)} -pin "reg(regs.regs(1)#1.sva)" {D(11)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(12)} -pin "reg(regs.regs(1)#1.sva)" {D(12)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(13)} -pin "reg(regs.regs(1)#1.sva)" {D(13)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(14)} -pin "reg(regs.regs(1)#1.sva)" {D(14)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(15)} -pin "reg(regs.regs(1)#1.sva)" {D(15)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(16)} -pin "reg(regs.regs(1)#1.sva)" {D(16)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(17)} -pin "reg(regs.regs(1)#1.sva)" {D(17)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(18)} -pin "reg(regs.regs(1)#1.sva)" {D(18)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "reg(regs.regs(1)#1.sva)" {D(19)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(20)} -pin "reg(regs.regs(1)#1.sva)" {D(20)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(21)} -pin "reg(regs.regs(1)#1.sva)" {D(21)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(22)} -pin "reg(regs.regs(1)#1.sva)" {D(22)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(23)} -pin "reg(regs.regs(1)#1.sva)" {D(23)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(24)} -pin "reg(regs.regs(1)#1.sva)" {D(24)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(25)} -pin "reg(regs.regs(1)#1.sva)" {D(25)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(26)} -pin "reg(regs.regs(1)#1.sva)" {D(26)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(27)} -pin "reg(regs.regs(1)#1.sva)" {D(27)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(28)} -pin "reg(regs.regs(1)#1.sva)" {D(28)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "reg(regs.regs(1)#1.sva)" {D(29)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#8).itm}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(0)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(1)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(2)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(3)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(4)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(5)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(6)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(7)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(8)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(9)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(10)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(11)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(12)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(13)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(14)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(15)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(16)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(17)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(18)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(19)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(20)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(21)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(22)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(23)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(24)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(25)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(26)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(27)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(28)} -attr @path {/sobel/sobel:core/C0_30}
-load net {GND} -pin "reg(regs.regs(1)#1.sva)" {DRa(29)} -attr @path {/sobel/sobel:core/C0_30}
-load net {clk} -pin "reg(regs.regs(1)#1.sva)" {clk} -attr xrf 18614 -attr oid 760 -attr @path {/sobel/sobel:core/clk}
-load net {en} -pin "reg(regs.regs(1)#1.sva)" {en(0)} -attr @path {/sobel/sobel:core/en}
-load net {arst_n} -pin "reg(regs.regs(1)#1.sva)" {Ra(0)} -attr @path {/sobel/sobel:core/arst_n}
-load net {regs.regs(1)#1.sva(0)} -pin "reg(regs.regs(1)#1.sva)" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(1)} -pin "reg(regs.regs(1)#1.sva)" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(2)} -pin "reg(regs.regs(1)#1.sva)" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(3)} -pin "reg(regs.regs(1)#1.sva)" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(4)} -pin "reg(regs.regs(1)#1.sva)" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(5)} -pin "reg(regs.regs(1)#1.sva)" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(6)} -pin "reg(regs.regs(1)#1.sva)" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(7)} -pin "reg(regs.regs(1)#1.sva)" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(8)} -pin "reg(regs.regs(1)#1.sva)" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(9)} -pin "reg(regs.regs(1)#1.sva)" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(10)} -pin "reg(regs.regs(1)#1.sva)" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(11)} -pin "reg(regs.regs(1)#1.sva)" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(12)} -pin "reg(regs.regs(1)#1.sva)" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(13)} -pin "reg(regs.regs(1)#1.sva)" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(14)} -pin "reg(regs.regs(1)#1.sva)" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(15)} -pin "reg(regs.regs(1)#1.sva)" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(16)} -pin "reg(regs.regs(1)#1.sva)" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(17)} -pin "reg(regs.regs(1)#1.sva)" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(18)} -pin "reg(regs.regs(1)#1.sva)" {Z(18)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(19)} -pin "reg(regs.regs(1)#1.sva)" {Z(19)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(20)} -pin "reg(regs.regs(1)#1.sva)" {Z(20)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(21)} -pin "reg(regs.regs(1)#1.sva)" {Z(21)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(22)} -pin "reg(regs.regs(1)#1.sva)" {Z(22)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(23)} -pin "reg(regs.regs(1)#1.sva)" {Z(23)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(24)} -pin "reg(regs.regs(1)#1.sva)" {Z(24)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(25)} -pin "reg(regs.regs(1)#1.sva)" {Z(25)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(26)} -pin "reg(regs.regs(1)#1.sva)" {Z(26)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(27)} -pin "reg(regs.regs(1)#1.sva)" {Z(27)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(28)} -pin "reg(regs.regs(1)#1.sva)" {Z(28)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load net {regs.regs(1)#1.sva(29)} -pin "reg(regs.regs(1)#1.sva)" {Z(29)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs(1)#1.sva}
-load inst "FRAME:mul#6" "mul(2,0,9,0,11)" "INTERFACE" -attr xrf 18615 -attr oid 761 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(2,0,9,0,11)"
-load net {intensity:slc(intensity#2.sg1)#9.itm#1(0)} -pin "FRAME:mul#6" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#9.itm#1}
-load net {intensity:slc(intensity#2.sg1)#9.itm#1(1)} -pin "FRAME:mul#6" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#9.itm#1}
-load net {PWR} -pin "FRAME:mul#6" {B(0)} -attr @path {/sobel/sobel:core/C455_9}
-load net {PWR} -pin "FRAME:mul#6" {B(1)} -attr @path {/sobel/sobel:core/C455_9}
-load net {PWR} -pin "FRAME:mul#6" {B(2)} -attr @path {/sobel/sobel:core/C455_9}
-load net {GND} -pin "FRAME:mul#6" {B(3)} -attr @path {/sobel/sobel:core/C455_9}
-load net {GND} -pin "FRAME:mul#6" {B(4)} -attr @path {/sobel/sobel:core/C455_9}
-load net {GND} -pin "FRAME:mul#6" {B(5)} -attr @path {/sobel/sobel:core/C455_9}
-load net {PWR} -pin "FRAME:mul#6" {B(6)} -attr @path {/sobel/sobel:core/C455_9}
-load net {PWR} -pin "FRAME:mul#6" {B(7)} -attr @path {/sobel/sobel:core/C455_9}
-load net {PWR} -pin "FRAME:mul#6" {B(8)} -attr @path {/sobel/sobel:core/C455_9}
-load net {FRAME:mul#6.itm(0)} -pin "FRAME:mul#6" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(1)} -pin "FRAME:mul#6" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(2)} -pin "FRAME:mul#6" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(3)} -pin "FRAME:mul#6" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(4)} -pin "FRAME:mul#6" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(5)} -pin "FRAME:mul#6" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(6)} -pin "FRAME:mul#6" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(7)} -pin "FRAME:mul#6" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(8)} -pin "FRAME:mul#6" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(9)} -pin "FRAME:mul#6" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(10)} -pin "FRAME:mul#6" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load inst "FRAME:mul#7" "mul(3,0,6,0,9)" "INTERFACE" -attr xrf 18616 -attr oid 762 -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7} -attr area 330.249922 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_mul(3,0,6,0,9)"
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(0)} -pin "FRAME:mul#7" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(1)} -pin "FRAME:mul#7" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load net {intensity:slc(intensity#2.sg1)#11.itm#1(2)} -pin "FRAME:mul#7" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1)#11.itm#1}
-load net {PWR} -pin "FRAME:mul#7" {B(0)} -attr @path {/sobel/sobel:core/C57_6}
-load net {GND} -pin "FRAME:mul#7" {B(1)} -attr @path {/sobel/sobel:core/C57_6}
-load net {GND} -pin "FRAME:mul#7" {B(2)} -attr @path {/sobel/sobel:core/C57_6}
-load net {PWR} -pin "FRAME:mul#7" {B(3)} -attr @path {/sobel/sobel:core/C57_6}
-load net {PWR} -pin "FRAME:mul#7" {B(4)} -attr @path {/sobel/sobel:core/C57_6}
-load net {PWR} -pin "FRAME:mul#7" {B(5)} -attr @path {/sobel/sobel:core/C57_6}
-load net {FRAME:mul#7.itm(0)} -pin "FRAME:mul#7" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(1)} -pin "FRAME:mul#7" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(2)} -pin "FRAME:mul#7" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(3)} -pin "FRAME:mul#7" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(4)} -pin "FRAME:mul#7" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(5)} -pin "FRAME:mul#7" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(6)} -pin "FRAME:mul#7" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(7)} -pin "FRAME:mul#7" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(8)} -pin "FRAME:mul#7" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load inst "FRAME:not#31" "not(1)" "INTERFACE" -attr xrf 18617 -attr oid 763 -attr @path {/sobel/sobel:core/FRAME:not#31} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#15.sva(5)} -pin "FRAME:not#31" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#6.itm}
-load net {FRAME:not#31.itm} -pin "FRAME:not#31" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#31.itm}
-load inst "FRAME:not#29" "not(3)" "INTERFACE" -attr xrf 18618 -attr oid 764 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#29} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
-load net {acc.imod#15.sva(3)} -pin "FRAME:not#29" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#2.itm}
-load net {acc.imod#15.sva(4)} -pin "FRAME:not#29" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#2.itm}
-load net {acc.imod#15.sva(5)} -pin "FRAME:not#29" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#2.itm}
-load net {FRAME:not#29.itm(0)} -pin "FRAME:not#29" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#29.itm}
-load net {FRAME:not#29.itm(1)} -pin "FRAME:not#29" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#29.itm}
-load net {FRAME:not#29.itm(2)} -pin "FRAME:not#29" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#29.itm}
-load inst "FRAME:not#28" "not(1)" "INTERFACE" -attr xrf 18619 -attr oid 765 -attr @path {/sobel/sobel:core/FRAME:not#28} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#15.sva(5)} -pin "FRAME:not#28" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#3.itm}
-load net {FRAME:not#28.itm} -pin "FRAME:not#28" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#28.itm}
-load inst "FRAME:acc#19" "add(5,-1,4,0,5)" "INTERFACE" -attr xrf 18620 -attr oid 766 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {PWR} -pin "FRAME:acc#19" {A(0)} -attr @path {/sobel/sobel:core/conc#324.itm}
-load net {acc.imod#15.sva(0)} -pin "FRAME:acc#19" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#324.itm}
-load net {acc.imod#15.sva(1)} -pin "FRAME:acc#19" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#324.itm}
-load net {acc.imod#15.sva(2)} -pin "FRAME:acc#19" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#324.itm}
-load net {PWR} -pin "FRAME:acc#19" {A(4)} -attr @path {/sobel/sobel:core/conc#324.itm}
-load net {FRAME:not#28.itm} -pin "FRAME:acc#19" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#26.itm}
-load net {FRAME:not#29.itm(0)} -pin "FRAME:acc#19" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#26.itm}
-load net {FRAME:not#29.itm(1)} -pin "FRAME:acc#19" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#26.itm}
-load net {FRAME:not#29.itm(2)} -pin "FRAME:acc#19" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:conc#26.itm}
-load net {FRAME:acc#19.itm(0)} -pin "FRAME:acc#19" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(1)} -pin "FRAME:acc#19" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(2)} -pin "FRAME:acc#19" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(3)} -pin "FRAME:acc#19" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load net {FRAME:acc#19.itm(4)} -pin "FRAME:acc#19" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#19.itm}
-load inst "FRAME:not#40" "not(1)" "INTERFACE" -attr xrf 18621 -attr oid 767 -attr @path {/sobel/sobel:core/FRAME:not#40} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {FRAME:acc#19.itm(4)} -pin "FRAME:not#40" {A(0)} -attr @path {/sobel/sobel:core/FRAME:slc#3.itm}
-load net {FRAME:not#40.itm} -pin "FRAME:not#40" {Z(0)} -attr @path {/sobel/sobel:core/FRAME:not#40.itm}
-load inst "FRAME:acc#13" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18622 -attr oid 768 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {FRAME:not#40.itm} -pin "FRAME:acc#13" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#323.itm}
-load net {PWR} -pin "FRAME:acc#13" {A(1)} -attr @path {/sobel/sobel:core/conc#323.itm}
-load net {FRAME:not#31.itm} -pin "FRAME:acc#13" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#323.itm}
-load net {acc.imod#15.sva(3)} -pin "FRAME:acc#13" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#4.itm}
-load net {acc.imod#15.sva(4)} -pin "FRAME:acc#13" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(acc.imod#15.sva)#4.itm}
-load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#13" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#13" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#13" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#13" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load inst "FRAME:not#30" "not(3)" "INTERFACE" -attr xrf 18623 -attr oid 769 -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(3)"
-load net {intensity:slc(intensity#2.sg1).itm#1(3)} -pin "FRAME:not#30" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity:slc(intensity#2.sg1).itm#1).itm}
-load net {intensity:slc(intensity#2.sg1).itm#1(4)} -pin "FRAME:not#30" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity:slc(intensity#2.sg1).itm#1).itm}
-load net {intensity:slc(intensity#2.sg1).itm#1(5)} -pin "FRAME:not#30" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(intensity:slc(intensity#2.sg1).itm#1).itm}
-load net {FRAME:not#30.itm(0)} -pin "FRAME:not#30" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load net {FRAME:not#30.itm(1)} -pin "FRAME:not#30" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load net {FRAME:not#30.itm(2)} -pin "FRAME:not#30" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load inst "FRAME:acc#14" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 18624 -attr oid 770 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {FRAME:acc#13.itm(0)} -pin "FRAME:acc#14" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(1)} -pin "FRAME:acc#14" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(2)} -pin "FRAME:acc#14" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:acc#13.itm(3)} -pin "FRAME:acc#14" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#13.itm}
-load net {FRAME:not#30.itm(0)} -pin "FRAME:acc#14" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load net {FRAME:not#30.itm(1)} -pin "FRAME:acc#14" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load net {FRAME:not#30.itm(2)} -pin "FRAME:acc#14" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:not#30.itm}
-load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#14" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#14" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#14" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#14" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#14" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load inst "FRAME:acc#15" "add(5,-1,5,-1,5)" "INTERFACE" -attr xrf 18625 -attr oid 771 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {FRAME:acc#14.itm(0)} -pin "FRAME:acc#15" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(1)} -pin "FRAME:acc#15" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(2)} -pin "FRAME:acc#15" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(3)} -pin "FRAME:acc#15" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {FRAME:acc#14.itm(4)} -pin "FRAME:acc#15" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#14.itm}
-load net {acc.imod#15.sva(5)} -pin "FRAME:acc#15" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#325.itm}
-load net {PWR} -pin "FRAME:acc#15" {B(1)} -attr @path {/sobel/sobel:core/conc#325.itm}
-load net {GND} -pin "FRAME:acc#15" {B(2)} -attr @path {/sobel/sobel:core/conc#325.itm}
-load net {GND} -pin "FRAME:acc#15" {B(3)} -attr @path {/sobel/sobel:core/conc#325.itm}
-load net {PWR} -pin "FRAME:acc#15" {B(4)} -attr @path {/sobel/sobel:core/conc#325.itm}
-load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#15" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#15" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#15" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#15" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#15" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load inst "FRAME:acc#16" "add(6,0,5,1,8)" "INTERFACE" -attr xrf 18626 -attr oid 772 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16} -attr area 7.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,5,1,8)"
-load net {intensity:slc(intensity#2.sg1).itm#1(0)} -pin "FRAME:acc#16" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(1)} -pin "FRAME:acc#16" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(2)} -pin "FRAME:acc#16" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(3)} -pin "FRAME:acc#16" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(4)} -pin "FRAME:acc#16" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {intensity:slc(intensity#2.sg1).itm#1(5)} -pin "FRAME:acc#16" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/intensity:slc(intensity#2.sg1).itm#1}
-load net {FRAME:acc#15.itm(0)} -pin "FRAME:acc#16" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(1)} -pin "FRAME:acc#16" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(2)} -pin "FRAME:acc#16" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(3)} -pin "FRAME:acc#16" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#15.itm(4)} -pin "FRAME:acc#16" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#15.itm}
-load net {FRAME:acc#16.itm(0)} -pin "FRAME:acc#16" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(1)} -pin "FRAME:acc#16" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(2)} -pin "FRAME:acc#16" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(3)} -pin "FRAME:acc#16" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(4)} -pin "FRAME:acc#16" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(5)} -pin "FRAME:acc#16" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(6)} -pin "FRAME:acc#16" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(7)} -pin "FRAME:acc#16" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load inst "FRAME:acc#17" "add(9,0,8,1,10)" "INTERFACE" -attr xrf 18627 -attr oid 773 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,10)"
-load net {FRAME:mul#7.itm(0)} -pin "FRAME:acc#17" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(1)} -pin "FRAME:acc#17" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(2)} -pin "FRAME:acc#17" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(3)} -pin "FRAME:acc#17" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(4)} -pin "FRAME:acc#17" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(5)} -pin "FRAME:acc#17" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(6)} -pin "FRAME:acc#17" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(7)} -pin "FRAME:acc#17" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:mul#7.itm(8)} -pin "FRAME:acc#17" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#7.itm}
-load net {FRAME:acc#16.itm(0)} -pin "FRAME:acc#17" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(1)} -pin "FRAME:acc#17" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(2)} -pin "FRAME:acc#17" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(3)} -pin "FRAME:acc#17" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(4)} -pin "FRAME:acc#17" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(5)} -pin "FRAME:acc#17" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(6)} -pin "FRAME:acc#17" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#16.itm(7)} -pin "FRAME:acc#17" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#16.itm}
-load net {FRAME:acc#17.itm(0)} -pin "FRAME:acc#17" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(1)} -pin "FRAME:acc#17" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(2)} -pin "FRAME:acc#17" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(3)} -pin "FRAME:acc#17" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(4)} -pin "FRAME:acc#17" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(5)} -pin "FRAME:acc#17" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(6)} -pin "FRAME:acc#17" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(7)} -pin "FRAME:acc#17" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(8)} -pin "FRAME:acc#17" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(9)} -pin "FRAME:acc#17" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load inst "FRAME:acc#18" "add(11,0,10,1,12)" "INTERFACE" -attr xrf 18628 -attr oid 774 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,10,1,12)"
-load net {FRAME:mul#6.itm(0)} -pin "FRAME:acc#18" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(1)} -pin "FRAME:acc#18" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(2)} -pin "FRAME:acc#18" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(3)} -pin "FRAME:acc#18" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(4)} -pin "FRAME:acc#18" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(5)} -pin "FRAME:acc#18" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(6)} -pin "FRAME:acc#18" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(7)} -pin "FRAME:acc#18" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(8)} -pin "FRAME:acc#18" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(9)} -pin "FRAME:acc#18" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:mul#6.itm(10)} -pin "FRAME:acc#18" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:mul#6.itm}
-load net {FRAME:acc#17.itm(0)} -pin "FRAME:acc#18" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(1)} -pin "FRAME:acc#18" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(2)} -pin "FRAME:acc#18" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(3)} -pin "FRAME:acc#18" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(4)} -pin "FRAME:acc#18" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(5)} -pin "FRAME:acc#18" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(6)} -pin "FRAME:acc#18" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(7)} -pin "FRAME:acc#18" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(8)} -pin "FRAME:acc#18" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#17.itm(9)} -pin "FRAME:acc#18" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#17.itm}
-load net {FRAME:acc#18.itm(0)} -pin "FRAME:acc#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(1)} -pin "FRAME:acc#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(2)} -pin "FRAME:acc#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(3)} -pin "FRAME:acc#18" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(4)} -pin "FRAME:acc#18" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(5)} -pin "FRAME:acc#18" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(6)} -pin "FRAME:acc#18" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(7)} -pin "FRAME:acc#18" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(8)} -pin "FRAME:acc#18" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(9)} -pin "FRAME:acc#18" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(10)} -pin "FRAME:acc#18" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(11)} -pin "FRAME:acc#18" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load inst "FRAME:acc#5" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 18629 -attr oid 775 -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,12,1,14)"
-load net {FRAME:acc#18.itm(0)} -pin "FRAME:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(1)} -pin "FRAME:acc#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(2)} -pin "FRAME:acc#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(3)} -pin "FRAME:acc#5" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(4)} -pin "FRAME:acc#5" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(5)} -pin "FRAME:acc#5" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(6)} -pin "FRAME:acc#5" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(7)} -pin "FRAME:acc#5" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(8)} -pin "FRAME:acc#5" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(9)} -pin "FRAME:acc#5" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(10)} -pin "FRAME:acc#5" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {FRAME:acc#18.itm(11)} -pin "FRAME:acc#5" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#18.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {GND} -pin "FRAME:acc#5" {B(1)} -attr @path {/sobel/sobel:core/exs.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {GND} -pin "FRAME:acc#5" {B(5)} -attr @path {/sobel/sobel:core/exs.itm}
-load net {GND} -pin "FRAME:acc#5" {B(6)} -attr @path {/sobel/sobel:core/exs.itm}
-load net {GND} -pin "FRAME:acc#5" {B(7)} -attr @path {/sobel/sobel:core/exs.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {intensity:slc(intensity#2.sg1)#12.itm#1} -pin "FRAME:acc#5" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/exs.itm}
-load net {FRAME:acc#5.psp.sva(0)} -pin "FRAME:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(1)} -pin "FRAME:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(2)} -pin "FRAME:acc#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(3)} -pin "FRAME:acc#5" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(4)} -pin "FRAME:acc#5" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(5)} -pin "FRAME:acc#5" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(6)} -pin "FRAME:acc#5" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(7)} -pin "FRAME:acc#5" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(8)} -pin "FRAME:acc#5" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(9)} -pin "FRAME:acc#5" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(10)} -pin "FRAME:acc#5" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load net {FRAME:acc#5.psp.sva(11)} -pin "FRAME:acc#5" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#5.psp.sva}
-load inst "acc#18" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 18630 -attr oid 776 -attr vt d -attr @path {/sobel/sobel:core/acc#18} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
-load net {FRAME:acc#12.itm#1(0)} -pin "acc#18" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(1)} -pin "acc#18" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(2)} -pin "acc#18" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(3)} -pin "acc#18" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(4)} -pin "acc#18" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {FRAME:acc#12.itm#1(5)} -pin "acc#18" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/FRAME:acc#12.itm#1}
-load net {PWR} -pin "acc#18" {B(0)} -attr @path {/sobel/sobel:core/Cn21_6}
-load net {PWR} -pin "acc#18" {B(1)} -attr @path {/sobel/sobel:core/Cn21_6}
-load net {GND} -pin "acc#18" {B(2)} -attr @path {/sobel/sobel:core/Cn21_6}
-load net {PWR} -pin "acc#18" {B(3)} -attr @path {/sobel/sobel:core/Cn21_6}
-load net {GND} -pin "acc#18" {B(4)} -attr @path {/sobel/sobel:core/Cn21_6}
-load net {PWR} -pin "acc#18" {B(5)} -attr @path {/sobel/sobel:core/Cn21_6}
-load net {acc.imod#15.sva(0)} -pin "acc#18" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load net {acc.imod#15.sva(1)} -pin "acc#18" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load net {acc.imod#15.sva(2)} -pin "acc#18" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load net {acc.imod#15.sva(3)} -pin "acc#18" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load net {acc.imod#15.sva(4)} -pin "acc#18" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load net {acc.imod#15.sva(5)} -pin "acc#18" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#15.sva}
-load inst "ACC1:acc#267" "add(11,0,10,1,12)" "INTERFACE" -attr xrf 18631 -attr oid 777 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,0,10,1,12)"
-load net {ACC1-2:slc(acc.idiv)#106.itm#1} -pin "ACC1:acc#267" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1-2:slc(acc.idiv)#106.itm#1} -pin "ACC1:acc#267" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:slc(acc.imod#17)#8.itm#1} -pin "ACC1:acc#267" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(0)} -pin "ACC1:acc#267" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(1)} -pin "ACC1:acc#267" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(2)} -pin "ACC1:acc#267" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(3)} -pin "ACC1:acc#267" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(4)} -pin "ACC1:acc#267" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(5)} -pin "ACC1:acc#267" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(6)} -pin "ACC1:acc#267" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:mul#99.itm#1(7)} -pin "ACC1:acc#267" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#275.itm}
-load net {ACC1:acc#264.itm#1(0)} -pin "ACC1:acc#267" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(1)} -pin "ACC1:acc#267" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(2)} -pin "ACC1:acc#267" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(3)} -pin "ACC1:acc#267" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(4)} -pin "ACC1:acc#267" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(5)} -pin "ACC1:acc#267" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(6)} -pin "ACC1:acc#267" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(7)} -pin "ACC1:acc#267" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(8)} -pin "ACC1:acc#267" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#264.itm#1(9)} -pin "ACC1:acc#267" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#264.itm#1}
-load net {ACC1:acc#267.itm(0)} -pin "ACC1:acc#267" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(1)} -pin "ACC1:acc#267" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(2)} -pin "ACC1:acc#267" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(3)} -pin "ACC1:acc#267" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(4)} -pin "ACC1:acc#267" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(5)} -pin "ACC1:acc#267" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(6)} -pin "ACC1:acc#267" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(7)} -pin "ACC1:acc#267" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(8)} -pin "ACC1:acc#267" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(9)} -pin "ACC1:acc#267" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(10)} -pin "ACC1:acc#267" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(11)} -pin "ACC1:acc#267" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load inst "ACC1:acc#270" "add(12,1,12,0,14)" "INTERFACE" -attr xrf 18632 -attr oid 778 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,12,1,14)"
-load net {ACC1:acc#267.itm(0)} -pin "ACC1:acc#270" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(1)} -pin "ACC1:acc#270" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(2)} -pin "ACC1:acc#270" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(3)} -pin "ACC1:acc#270" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(4)} -pin "ACC1:acc#270" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(5)} -pin "ACC1:acc#270" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(6)} -pin "ACC1:acc#270" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(7)} -pin "ACC1:acc#270" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(8)} -pin "ACC1:acc#270" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(9)} -pin "ACC1:acc#270" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(10)} -pin "ACC1:acc#270" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:acc#267.itm(11)} -pin "ACC1:acc#270" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#267.itm}
-load net {ACC1:mul#90.itm#1(0)} -pin "ACC1:acc#270" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(1)} -pin "ACC1:acc#270" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(2)} -pin "ACC1:acc#270" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(3)} -pin "ACC1:acc#270" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(4)} -pin "ACC1:acc#270" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(5)} -pin "ACC1:acc#270" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(6)} -pin "ACC1:acc#270" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(7)} -pin "ACC1:acc#270" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(8)} -pin "ACC1:acc#270" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(9)} -pin "ACC1:acc#270" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(10)} -pin "ACC1:acc#270" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:mul#90.itm#1(11)} -pin "ACC1:acc#270" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#90.itm#1}
-load net {ACC1:acc#270.itm(0)} -pin "ACC1:acc#270" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(1)} -pin "ACC1:acc#270" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(2)} -pin "ACC1:acc#270" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(3)} -pin "ACC1:acc#270" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(4)} -pin "ACC1:acc#270" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(5)} -pin "ACC1:acc#270" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(6)} -pin "ACC1:acc#270" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(7)} -pin "ACC1:acc#270" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(8)} -pin "ACC1:acc#270" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(9)} -pin "ACC1:acc#270" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(10)} -pin "ACC1:acc#270" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(11)} -pin "ACC1:acc#270" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(12)} -pin "ACC1:acc#270" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(13)} -pin "ACC1:acc#270" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load inst "ACC1:acc#274" "add(14,1,14,0,15)" "INTERFACE" -attr xrf 18633 -attr oid 779 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274} -attr area 15.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(14,0,14,1,15)"
-load net {ACC1:acc#270.itm(0)} -pin "ACC1:acc#274" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(1)} -pin "ACC1:acc#274" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(2)} -pin "ACC1:acc#274" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(3)} -pin "ACC1:acc#274" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(4)} -pin "ACC1:acc#274" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(5)} -pin "ACC1:acc#274" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(6)} -pin "ACC1:acc#274" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(7)} -pin "ACC1:acc#274" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(8)} -pin "ACC1:acc#274" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(9)} -pin "ACC1:acc#274" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(10)} -pin "ACC1:acc#274" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(11)} -pin "ACC1:acc#274" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(12)} -pin "ACC1:acc#274" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:acc#270.itm(13)} -pin "ACC1:acc#274" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#270.itm}
-load net {ACC1:mul#91.itm#1(0)} -pin "ACC1:acc#274" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(1)} -pin "ACC1:acc#274" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(2)} -pin "ACC1:acc#274" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(3)} -pin "ACC1:acc#274" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(4)} -pin "ACC1:acc#274" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(5)} -pin "ACC1:acc#274" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(6)} -pin "ACC1:acc#274" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(7)} -pin "ACC1:acc#274" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(8)} -pin "ACC1:acc#274" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(9)} -pin "ACC1:acc#274" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(10)} -pin "ACC1:acc#274" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(11)} -pin "ACC1:acc#274" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(12)} -pin "ACC1:acc#274" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:mul#91.itm#1(13)} -pin "ACC1:acc#274" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#91.itm#1}
-load net {ACC1:acc#274.itm(0)} -pin "ACC1:acc#274" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(1)} -pin "ACC1:acc#274" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(2)} -pin "ACC1:acc#274" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(3)} -pin "ACC1:acc#274" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(4)} -pin "ACC1:acc#274" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(5)} -pin "ACC1:acc#274" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(6)} -pin "ACC1:acc#274" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(7)} -pin "ACC1:acc#274" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(8)} -pin "ACC1:acc#274" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(9)} -pin "ACC1:acc#274" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(10)} -pin "ACC1:acc#274" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(11)} -pin "ACC1:acc#274" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(12)} -pin "ACC1:acc#274" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(13)} -pin "ACC1:acc#274" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(14)} -pin "ACC1:acc#274" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load inst "ACC1:acc#277" "add(16,-1,15,1,16)" "INTERFACE" -attr xrf 18634 -attr oid 780 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277} -attr area 17.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,15,1,16)"
-load net {ACC1-2:slc(acc.idiv)#131.itm#1} -pin "ACC1:acc#277" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {ACC1-2:slc(acc.idiv)#131.itm#1} -pin "ACC1:acc#277" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {GND} -pin "ACC1:acc#277" {A(2)} -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(0)} -pin "ACC1:acc#277" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(1)} -pin "ACC1:acc#277" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(2)} -pin "ACC1:acc#277" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(3)} -pin "ACC1:acc#277" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(4)} -pin "ACC1:acc#277" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(5)} -pin "ACC1:acc#277" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(6)} -pin "ACC1:acc#277" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(7)} -pin "ACC1:acc#277" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(8)} -pin "ACC1:acc#277" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(9)} -pin "ACC1:acc#277" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(10)} -pin "ACC1:acc#277" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(11)} -pin "ACC1:acc#277" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {mul#1.itm#1(12)} -pin "ACC1:acc#277" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/conc#328.itm}
-load net {ACC1:acc#274.itm(0)} -pin "ACC1:acc#277" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(1)} -pin "ACC1:acc#277" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(2)} -pin "ACC1:acc#277" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(3)} -pin "ACC1:acc#277" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(4)} -pin "ACC1:acc#277" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(5)} -pin "ACC1:acc#277" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(6)} -pin "ACC1:acc#277" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(7)} -pin "ACC1:acc#277" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(8)} -pin "ACC1:acc#277" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(9)} -pin "ACC1:acc#277" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(10)} -pin "ACC1:acc#277" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(11)} -pin "ACC1:acc#277" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(12)} -pin "ACC1:acc#277" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(13)} -pin "ACC1:acc#277" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#274.itm(14)} -pin "ACC1:acc#277" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#274.itm}
-load net {ACC1:acc#277.itm(0)} -pin "ACC1:acc#277" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(1)} -pin "ACC1:acc#277" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(2)} -pin "ACC1:acc#277" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(3)} -pin "ACC1:acc#277" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(4)} -pin "ACC1:acc#277" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(5)} -pin "ACC1:acc#277" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(6)} -pin "ACC1:acc#277" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(7)} -pin "ACC1:acc#277" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(8)} -pin "ACC1:acc#277" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(9)} -pin "ACC1:acc#277" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(10)} -pin "ACC1:acc#277" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(11)} -pin "ACC1:acc#277" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(12)} -pin "ACC1:acc#277" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(13)} -pin "ACC1:acc#277" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(14)} -pin "ACC1:acc#277" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(15)} -pin "ACC1:acc#277" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load inst "ACC1:acc#256" "add(6,0,6,0,7)" "INTERFACE" -attr xrf 18635 -attr oid 781 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,7)"
-load net {ACC1:acc#252.itm#1(0)} -pin "ACC1:acc#256" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(1)} -pin "ACC1:acc#256" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(2)} -pin "ACC1:acc#256" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(3)} -pin "ACC1:acc#256" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(4)} -pin "ACC1:acc#256" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#252.itm#1(5)} -pin "ACC1:acc#256" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#252.itm#1}
-load net {ACC1:acc#251.itm#1(0)} -pin "ACC1:acc#256" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(1)} -pin "ACC1:acc#256" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(2)} -pin "ACC1:acc#256" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(3)} -pin "ACC1:acc#256" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(4)} -pin "ACC1:acc#256" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#251.itm#1(5)} -pin "ACC1:acc#256" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#251.itm#1}
-load net {ACC1:acc#256.itm(0)} -pin "ACC1:acc#256" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(1)} -pin "ACC1:acc#256" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(2)} -pin "ACC1:acc#256" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(3)} -pin "ACC1:acc#256" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(4)} -pin "ACC1:acc#256" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(5)} -pin "ACC1:acc#256" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(6)} -pin "ACC1:acc#256" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load inst "ACC1:acc#260" "add(7,0,7,0,8)" "INTERFACE" -attr xrf 18636 -attr oid 782 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260} -attr area 8.267306 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(7,0,7,0,8)"
-load net {ACC1:acc#256.itm(0)} -pin "ACC1:acc#260" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(1)} -pin "ACC1:acc#260" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(2)} -pin "ACC1:acc#260" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(3)} -pin "ACC1:acc#260" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(4)} -pin "ACC1:acc#260" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(5)} -pin "ACC1:acc#260" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#256.itm(6)} -pin "ACC1:acc#260" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#256.itm}
-load net {ACC1:acc#255.itm#1(0)} -pin "ACC1:acc#260" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(1)} -pin "ACC1:acc#260" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(2)} -pin "ACC1:acc#260" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(3)} -pin "ACC1:acc#260" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(4)} -pin "ACC1:acc#260" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(5)} -pin "ACC1:acc#260" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#255.itm#1(6)} -pin "ACC1:acc#260" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#255.itm#1}
-load net {ACC1:acc#260.itm(0)} -pin "ACC1:acc#260" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(1)} -pin "ACC1:acc#260" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(2)} -pin "ACC1:acc#260" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(3)} -pin "ACC1:acc#260" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(4)} -pin "ACC1:acc#260" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(5)} -pin "ACC1:acc#260" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(6)} -pin "ACC1:acc#260" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(7)} -pin "ACC1:acc#260" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load inst "ACC1:acc#263" "add(9,0,8,0,10)" "INTERFACE" -attr xrf 18637 -attr oid 783 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263} -attr area 10.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(9,0,9,1,10)"
-load net {ACC1-2:slc(acc.idiv)#132.itm#1} -pin "ACC1:acc#263" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1-2:slc(acc.idiv)#132.itm#1} -pin "ACC1:acc#263" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:slc(acc.idiv#3)#36.itm#1} -pin "ACC1:acc#263" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:mul#98.itm#1(0)} -pin "ACC1:acc#263" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:mul#98.itm#1(1)} -pin "ACC1:acc#263" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:mul#98.itm#1(2)} -pin "ACC1:acc#263" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:mul#98.itm#1(3)} -pin "ACC1:acc#263" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:mul#98.itm#1(4)} -pin "ACC1:acc#263" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:mul#98.itm#1(5)} -pin "ACC1:acc#263" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#274.itm}
-load net {ACC1:acc#260.itm(0)} -pin "ACC1:acc#263" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(1)} -pin "ACC1:acc#263" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(2)} -pin "ACC1:acc#263" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(3)} -pin "ACC1:acc#263" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(4)} -pin "ACC1:acc#263" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(5)} -pin "ACC1:acc#263" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(6)} -pin "ACC1:acc#263" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#260.itm(7)} -pin "ACC1:acc#263" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#260.itm}
-load net {ACC1:acc#263.itm(0)} -pin "ACC1:acc#263" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(1)} -pin "ACC1:acc#263" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(2)} -pin "ACC1:acc#263" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(3)} -pin "ACC1:acc#263" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(4)} -pin "ACC1:acc#263" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(5)} -pin "ACC1:acc#263" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(6)} -pin "ACC1:acc#263" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(7)} -pin "ACC1:acc#263" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(8)} -pin "ACC1:acc#263" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(9)} -pin "ACC1:acc#263" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load inst "ACC1:acc#266" "add(10,0,10,0,11)" "INTERFACE" -attr xrf 18638 -attr oid 784 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266} -attr area 11.241230 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,0,10,0,11)"
-load net {ACC1:acc#263.itm(0)} -pin "ACC1:acc#266" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(1)} -pin "ACC1:acc#266" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(2)} -pin "ACC1:acc#266" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(3)} -pin "ACC1:acc#266" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(4)} -pin "ACC1:acc#266" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(5)} -pin "ACC1:acc#266" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(6)} -pin "ACC1:acc#266" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(7)} -pin "ACC1:acc#266" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(8)} -pin "ACC1:acc#266" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:acc#263.itm(9)} -pin "ACC1:acc#266" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#263.itm}
-load net {ACC1:mul#89.itm#1(0)} -pin "ACC1:acc#266" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(1)} -pin "ACC1:acc#266" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(2)} -pin "ACC1:acc#266" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(3)} -pin "ACC1:acc#266" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(4)} -pin "ACC1:acc#266" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(5)} -pin "ACC1:acc#266" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(6)} -pin "ACC1:acc#266" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(7)} -pin "ACC1:acc#266" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(8)} -pin "ACC1:acc#266" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:mul#89.itm#1(9)} -pin "ACC1:acc#266" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:mul#89.itm#1}
-load net {ACC1:acc#266.itm(0)} -pin "ACC1:acc#266" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(1)} -pin "ACC1:acc#266" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(2)} -pin "ACC1:acc#266" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(3)} -pin "ACC1:acc#266" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(4)} -pin "ACC1:acc#266" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(5)} -pin "ACC1:acc#266" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(6)} -pin "ACC1:acc#266" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(7)} -pin "ACC1:acc#266" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(8)} -pin "ACC1:acc#266" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(9)} -pin "ACC1:acc#266" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(10)} -pin "ACC1:acc#266" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load inst "ACC1:acc#269" "add(12,-1,11,0,12)" "INTERFACE" -attr xrf 18639 -attr oid 785 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269} -attr area 13.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,12,1,14)"
-load net {ACC1-3:slc(acc.idiv)#131.itm#1} -pin "ACC1:acc#269" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1-3:slc(acc.idiv)#131.itm#1} -pin "ACC1:acc#269" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {GND} -pin "ACC1:acc#269" {A(2)} -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:slc(acc.idiv)#91.itm#1} -pin "ACC1:acc#269" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(0)} -pin "ACC1:acc#269" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(1)} -pin "ACC1:acc#269" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(2)} -pin "ACC1:acc#269" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(3)} -pin "ACC1:acc#269" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(4)} -pin "ACC1:acc#269" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(5)} -pin "ACC1:acc#269" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(6)} -pin "ACC1:acc#269" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:mul#103.itm#1(7)} -pin "ACC1:acc#269" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#330.itm}
-load net {ACC1:acc#266.itm(0)} -pin "ACC1:acc#269" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(1)} -pin "ACC1:acc#269" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(2)} -pin "ACC1:acc#269" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(3)} -pin "ACC1:acc#269" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(4)} -pin "ACC1:acc#269" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(5)} -pin "ACC1:acc#269" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(6)} -pin "ACC1:acc#269" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(7)} -pin "ACC1:acc#269" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(8)} -pin "ACC1:acc#269" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(9)} -pin "ACC1:acc#269" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#266.itm(10)} -pin "ACC1:acc#269" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#266.itm}
-load net {ACC1:acc#269.itm(0)} -pin "ACC1:acc#269" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(1)} -pin "ACC1:acc#269" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(2)} -pin "ACC1:acc#269" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(3)} -pin "ACC1:acc#269" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(4)} -pin "ACC1:acc#269" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(5)} -pin "ACC1:acc#269" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(6)} -pin "ACC1:acc#269" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(7)} -pin "ACC1:acc#269" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(8)} -pin "ACC1:acc#269" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(9)} -pin "ACC1:acc#269" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(10)} -pin "ACC1:acc#269" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(11)} -pin "ACC1:acc#269" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load inst "ACC1:acc#271" "add(12,0,12,0,13)" "INTERFACE" -attr xrf 18640 -attr oid 786 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271} -attr area 13.223846 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(12,0,12,0,13)"
-load net {ACC1:acc#269.itm(0)} -pin "ACC1:acc#271" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(1)} -pin "ACC1:acc#271" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(2)} -pin "ACC1:acc#271" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(3)} -pin "ACC1:acc#271" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(4)} -pin "ACC1:acc#271" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(5)} -pin "ACC1:acc#271" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(6)} -pin "ACC1:acc#271" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(7)} -pin "ACC1:acc#271" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(8)} -pin "ACC1:acc#271" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(9)} -pin "ACC1:acc#271" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(10)} -pin "ACC1:acc#271" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#269.itm(11)} -pin "ACC1:acc#271" {A(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#269.itm}
-load net {ACC1:acc#268.itm#1(0)} -pin "ACC1:acc#271" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(1)} -pin "ACC1:acc#271" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(2)} -pin "ACC1:acc#271" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(3)} -pin "ACC1:acc#271" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(4)} -pin "ACC1:acc#271" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(5)} -pin "ACC1:acc#271" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(6)} -pin "ACC1:acc#271" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(7)} -pin "ACC1:acc#271" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(8)} -pin "ACC1:acc#271" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(9)} -pin "ACC1:acc#271" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(10)} -pin "ACC1:acc#271" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#268.itm#1(11)} -pin "ACC1:acc#271" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#268.itm#1}
-load net {ACC1:acc#271.itm(0)} -pin "ACC1:acc#271" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(1)} -pin "ACC1:acc#271" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(2)} -pin "ACC1:acc#271" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(3)} -pin "ACC1:acc#271" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(4)} -pin "ACC1:acc#271" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(5)} -pin "ACC1:acc#271" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(6)} -pin "ACC1:acc#271" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(7)} -pin "ACC1:acc#271" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(8)} -pin "ACC1:acc#271" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(9)} -pin "ACC1:acc#271" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(10)} -pin "ACC1:acc#271" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(11)} -pin "ACC1:acc#271" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(12)} -pin "ACC1:acc#271" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load inst "ACC1:acc#273" "add(14,0,13,0,15)" "INTERFACE" -attr xrf 18641 -attr oid 787 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273} -attr area 15.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(14,0,14,1,15)"
-load net {ACC1-3:slc(acc.idiv)#132.itm#1} -pin "ACC1:acc#273" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1-3:slc(acc.idiv)#132.itm#1} -pin "ACC1:acc#273" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {GND} -pin "ACC1:acc#273" {A(2)} -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:slc(acc.idiv#2)#90.itm#1} -pin "ACC1:acc#273" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(0)} -pin "ACC1:acc#273" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(1)} -pin "ACC1:acc#273" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(2)} -pin "ACC1:acc#273" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(3)} -pin "ACC1:acc#273" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(4)} -pin "ACC1:acc#273" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(5)} -pin "ACC1:acc#273" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(6)} -pin "ACC1:acc#273" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(7)} -pin "ACC1:acc#273" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(8)} -pin "ACC1:acc#273" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:mul#104.itm#1(9)} -pin "ACC1:acc#273" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/conc#329.itm}
-load net {ACC1:acc#271.itm(0)} -pin "ACC1:acc#273" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(1)} -pin "ACC1:acc#273" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(2)} -pin "ACC1:acc#273" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(3)} -pin "ACC1:acc#273" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(4)} -pin "ACC1:acc#273" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(5)} -pin "ACC1:acc#273" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(6)} -pin "ACC1:acc#273" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(7)} -pin "ACC1:acc#273" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(8)} -pin "ACC1:acc#273" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(9)} -pin "ACC1:acc#273" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(10)} -pin "ACC1:acc#273" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(11)} -pin "ACC1:acc#273" {B(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#271.itm(12)} -pin "ACC1:acc#273" {B(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#271.itm}
-load net {ACC1:acc#273.itm(0)} -pin "ACC1:acc#273" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(1)} -pin "ACC1:acc#273" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(2)} -pin "ACC1:acc#273" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(3)} -pin "ACC1:acc#273" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(4)} -pin "ACC1:acc#273" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(5)} -pin "ACC1:acc#273" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(6)} -pin "ACC1:acc#273" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(7)} -pin "ACC1:acc#273" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(8)} -pin "ACC1:acc#273" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(9)} -pin "ACC1:acc#273" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(10)} -pin "ACC1:acc#273" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(11)} -pin "ACC1:acc#273" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(12)} -pin "ACC1:acc#273" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(13)} -pin "ACC1:acc#273" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(14)} -pin "ACC1:acc#273" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load inst "ACC1:acc#276" "add(15,0,15,0,16)" "INTERFACE" -attr xrf 18642 -attr oid 788 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276} -attr area 16.197770 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(15,0,15,0,16)"
-load net {ACC1:acc#273.itm(0)} -pin "ACC1:acc#276" {A(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(1)} -pin "ACC1:acc#276" {A(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(2)} -pin "ACC1:acc#276" {A(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(3)} -pin "ACC1:acc#276" {A(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(4)} -pin "ACC1:acc#276" {A(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(5)} -pin "ACC1:acc#276" {A(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(6)} -pin "ACC1:acc#276" {A(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(7)} -pin "ACC1:acc#276" {A(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(8)} -pin "ACC1:acc#276" {A(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(9)} -pin "ACC1:acc#276" {A(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(10)} -pin "ACC1:acc#276" {A(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(11)} -pin "ACC1:acc#276" {A(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(12)} -pin "ACC1:acc#276" {A(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(13)} -pin "ACC1:acc#276" {A(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:acc#273.itm(14)} -pin "ACC1:acc#276" {A(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#273.itm}
-load net {ACC1:slc(acc.imod)#28.itm#1} -pin "ACC1:acc#276" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(0)} -pin "ACC1:acc#276" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(1)} -pin "ACC1:acc#276" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(2)} -pin "ACC1:acc#276" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(3)} -pin "ACC1:acc#276" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(4)} -pin "ACC1:acc#276" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(5)} -pin "ACC1:acc#276" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(6)} -pin "ACC1:acc#276" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(7)} -pin "ACC1:acc#276" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(8)} -pin "ACC1:acc#276" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(9)} -pin "ACC1:acc#276" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(10)} -pin "ACC1:acc#276" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(11)} -pin "ACC1:acc#276" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(12)} -pin "ACC1:acc#276" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:mul#96.itm#1(13)} -pin "ACC1:acc#276" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#254.itm}
-load net {ACC1:acc#276.itm(0)} -pin "ACC1:acc#276" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(1)} -pin "ACC1:acc#276" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(2)} -pin "ACC1:acc#276" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(3)} -pin "ACC1:acc#276" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(4)} -pin "ACC1:acc#276" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(5)} -pin "ACC1:acc#276" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(6)} -pin "ACC1:acc#276" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(7)} -pin "ACC1:acc#276" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(8)} -pin "ACC1:acc#276" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(9)} -pin "ACC1:acc#276" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(10)} -pin "ACC1:acc#276" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(11)} -pin "ACC1:acc#276" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(12)} -pin "ACC1:acc#276" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(13)} -pin "ACC1:acc#276" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(14)} -pin "ACC1:acc#276" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(15)} -pin "ACC1:acc#276" {Z(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load inst "ACC1:acc#280" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 18643 -attr oid 789 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {ACC1:acc#277.itm(0)} -pin "ACC1:acc#280" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(1)} -pin "ACC1:acc#280" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(2)} -pin "ACC1:acc#280" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(3)} -pin "ACC1:acc#280" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(4)} -pin "ACC1:acc#280" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(5)} -pin "ACC1:acc#280" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(6)} -pin "ACC1:acc#280" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(7)} -pin "ACC1:acc#280" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(8)} -pin "ACC1:acc#280" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(9)} -pin "ACC1:acc#280" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(10)} -pin "ACC1:acc#280" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(11)} -pin "ACC1:acc#280" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(12)} -pin "ACC1:acc#280" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(13)} -pin "ACC1:acc#280" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(14)} -pin "ACC1:acc#280" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#277.itm(15)} -pin "ACC1:acc#280" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#277.itm}
-load net {ACC1:acc#276.itm(0)} -pin "ACC1:acc#280" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(1)} -pin "ACC1:acc#280" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(2)} -pin "ACC1:acc#280" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(3)} -pin "ACC1:acc#280" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(4)} -pin "ACC1:acc#280" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(5)} -pin "ACC1:acc#280" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(6)} -pin "ACC1:acc#280" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(7)} -pin "ACC1:acc#280" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(8)} -pin "ACC1:acc#280" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(9)} -pin "ACC1:acc#280" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(10)} -pin "ACC1:acc#280" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(11)} -pin "ACC1:acc#280" {B(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(12)} -pin "ACC1:acc#280" {B(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(13)} -pin "ACC1:acc#280" {B(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(14)} -pin "ACC1:acc#280" {B(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#276.itm(15)} -pin "ACC1:acc#280" {B(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#276.itm}
-load net {ACC1:acc#280.itm(0)} -pin "ACC1:acc#280" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(1)} -pin "ACC1:acc#280" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(2)} -pin "ACC1:acc#280" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(3)} -pin "ACC1:acc#280" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(4)} -pin "ACC1:acc#280" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(5)} -pin "ACC1:acc#280" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(6)} -pin "ACC1:acc#280" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(7)} -pin "ACC1:acc#280" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(8)} -pin "ACC1:acc#280" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(9)} -pin "ACC1:acc#280" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(10)} -pin "ACC1:acc#280" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(11)} -pin "ACC1:acc#280" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(12)} -pin "ACC1:acc#280" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(13)} -pin "ACC1:acc#280" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(14)} -pin "ACC1:acc#280" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(15)} -pin "ACC1:acc#280" {Z(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load inst "ACC1:acc" "add(16,-1,16,-1,16)" "INTERFACE" -attr xrf 18644 -attr oid 790 -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {ACC1:acc#281.itm#1(0)} -pin "ACC1:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(1)} -pin "ACC1:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(2)} -pin "ACC1:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(3)} -pin "ACC1:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(4)} -pin "ACC1:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(5)} -pin "ACC1:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(6)} -pin "ACC1:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(7)} -pin "ACC1:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(8)} -pin "ACC1:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(9)} -pin "ACC1:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(10)} -pin "ACC1:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(11)} -pin "ACC1:acc" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(12)} -pin "ACC1:acc" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(13)} -pin "ACC1:acc" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(14)} -pin "ACC1:acc" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#281.itm#1(15)} -pin "ACC1:acc" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#281.itm#1}
-load net {ACC1:acc#280.itm(0)} -pin "ACC1:acc" {B(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(1)} -pin "ACC1:acc" {B(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(2)} -pin "ACC1:acc" {B(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(3)} -pin "ACC1:acc" {B(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(4)} -pin "ACC1:acc" {B(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(5)} -pin "ACC1:acc" {B(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(6)} -pin "ACC1:acc" {B(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(7)} -pin "ACC1:acc" {B(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(8)} -pin "ACC1:acc" {B(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(9)} -pin "ACC1:acc" {B(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(10)} -pin "ACC1:acc" {B(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(11)} -pin "ACC1:acc" {B(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(12)} -pin "ACC1:acc" {B(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(13)} -pin "ACC1:acc" {B(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(14)} -pin "ACC1:acc" {B(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc#280.itm(15)} -pin "ACC1:acc" {B(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc#280.itm}
-load net {ACC1:acc.itm(0)} -pin "ACC1:acc" {Z(0)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(1)} -pin "ACC1:acc" {Z(1)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(2)} -pin "ACC1:acc" {Z(2)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(3)} -pin "ACC1:acc" {Z(3)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(4)} -pin "ACC1:acc" {Z(4)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(5)} -pin "ACC1:acc" {Z(5)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(6)} -pin "ACC1:acc" {Z(6)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(7)} -pin "ACC1:acc" {Z(7)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(8)} -pin "ACC1:acc" {Z(8)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(9)} -pin "ACC1:acc" {Z(9)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(10)} -pin "ACC1:acc" {Z(10)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(11)} -pin "ACC1:acc" {Z(11)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(12)} -pin "ACC1:acc" {Z(12)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(13)} -pin "ACC1:acc" {Z(13)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(14)} -pin "ACC1:acc" {Z(14)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load net {ACC1:acc.itm(15)} -pin "ACC1:acc" {Z(15)} -attr vt dc -attr @path {/sobel/sobel:core/ACC1:acc.itm}
-load inst "ACC1-3:not#5" "not(1)" "INTERFACE" -attr xrf 18645 -attr oid 791 -attr @path {/sobel/sobel:core/ACC1-3:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(9)} -pin "ACC1-3:not#5" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#7.itm}
-load net {ACC1-3:not#5.itm} -pin "ACC1-3:not#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#5.itm}
-load inst "ACC1:acc#181" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18646 -attr oid 792 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#181" {A(0)} -attr @path {/sobel/sobel:core/conc#335.itm}
-load net {acc.idiv.sva(8)} -pin "ACC1:acc#181" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#335.itm}
-load net {PWR} -pin "ACC1:acc#181" {B(0)} -attr @path {/sobel/sobel:core/conc#336.itm}
-load net {ACC1-3:not#5.itm} -pin "ACC1:acc#181" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#336.itm}
-load net {ACC1:acc#181.itm(0)} -pin "ACC1:acc#181" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
-load net {ACC1:acc#181.itm(1)} -pin "ACC1:acc#181" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
-load net {ACC1:acc#181.itm(2)} -pin "ACC1:acc#181" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#181.itm}
-load inst "ACC1-3:not#1" "not(1)" "INTERFACE" -attr xrf 18647 -attr oid 793 -attr @path {/sobel/sobel:core/ACC1-3:not#1} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(1)} -pin "ACC1-3:not#1" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#13.itm}
-load net {ACC1-3:not#1.itm} -pin "ACC1-3:not#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#1.itm}
-load inst "ACC1-3:not#7" "not(1)" "INTERFACE" -attr xrf 18648 -attr oid 794 -attr @path {/sobel/sobel:core/ACC1-3:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(13)} -pin "ACC1-3:not#7" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#21.itm}
-load net {ACC1-3:not#7.itm} -pin "ACC1-3:not#7" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#7.itm}
-load inst "ACC1:acc#185" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18649 -attr oid 795 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#185" {A(0)} -attr @path {/sobel/sobel:core/conc#334.itm}
-load net {ACC1:acc#181.itm(1)} -pin "ACC1:acc#185" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#334.itm}
-load net {ACC1:acc#181.itm(2)} -pin "ACC1:acc#185" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#334.itm}
-load net {ACC1-3:not#7.itm} -pin "ACC1:acc#185" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#353.itm}
-load net {ACC1-3:not#1.itm} -pin "ACC1:acc#185" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#353.itm}
-load net {ACC1:acc#185.itm(0)} -pin "ACC1:acc#185" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
-load net {ACC1:acc#185.itm(1)} -pin "ACC1:acc#185" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
-load net {ACC1:acc#185.itm(2)} -pin "ACC1:acc#185" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
-load net {ACC1:acc#185.itm(3)} -pin "ACC1:acc#185" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#185.itm}
-load inst "ACC1-3:not#2" "not(1)" "INTERFACE" -attr xrf 18650 -attr oid 796 -attr @path {/sobel/sobel:core/ACC1-3:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(3)} -pin "ACC1-3:not#2" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#12.itm}
-load net {ACC1-3:not#2.itm} -pin "ACC1-3:not#2" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#2.itm}
-load inst "ACC1:acc#184" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18651 -attr oid 797 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#184" {A(0)} -attr @path {/sobel/sobel:core/conc#337.itm}
-load net {acc.idiv.sva(2)} -pin "ACC1:acc#184" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#337.itm}
-load net {acc.idiv.sva(12)} -pin "ACC1:acc#184" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#351.itm}
-load net {ACC1-3:not#2.itm} -pin "ACC1:acc#184" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#351.itm}
-load net {ACC1:acc#184.itm(0)} -pin "ACC1:acc#184" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
-load net {ACC1:acc#184.itm(1)} -pin "ACC1:acc#184" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
-load net {ACC1:acc#184.itm(2)} -pin "ACC1:acc#184" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#184.itm}
-load inst "ACC1-3:not#8" "not(1)" "INTERFACE" -attr xrf 18652 -attr oid 798 -attr @path {/sobel/sobel:core/ACC1-3:not#8} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(15)} -pin "ACC1-3:not#8" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#23.itm}
-load net {ACC1-3:not#8.itm} -pin "ACC1-3:not#8" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#8.itm}
-load inst "ACC1:acc#187" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 18653 -attr oid 799 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {PWR} -pin "ACC1:acc#187" {A(0)} -attr @path {/sobel/sobel:core/conc#333.itm}
-load net {ACC1:acc#185.itm(1)} -pin "ACC1:acc#187" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#333.itm}
-load net {ACC1:acc#185.itm(2)} -pin "ACC1:acc#187" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#333.itm}
-load net {ACC1:acc#185.itm(3)} -pin "ACC1:acc#187" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#333.itm}
-load net {ACC1-3:not#8.itm} -pin "ACC1:acc#187" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#357.itm}
-load net {ACC1:acc#184.itm(1)} -pin "ACC1:acc#187" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#357.itm}
-load net {ACC1:acc#184.itm(2)} -pin "ACC1:acc#187" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#357.itm}
-load net {ACC1:acc#187.itm(0)} -pin "ACC1:acc#187" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
-load net {ACC1:acc#187.itm(1)} -pin "ACC1:acc#187" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
-load net {ACC1:acc#187.itm(2)} -pin "ACC1:acc#187" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
-load net {ACC1:acc#187.itm(3)} -pin "ACC1:acc#187" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
-load net {ACC1:acc#187.itm(4)} -pin "ACC1:acc#187" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#187.itm}
-load inst "ACC1-3:not#3" "not(1)" "INTERFACE" -attr xrf 18654 -attr oid 800 -attr @path {/sobel/sobel:core/ACC1-3:not#3} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(5)} -pin "ACC1-3:not#3" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva).itm}
-load net {ACC1-3:not#3.itm} -pin "ACC1-3:not#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#3.itm}
-load inst "ACC1-3:not#6" "not(1)" "INTERFACE" -attr xrf 18655 -attr oid 801 -attr @path {/sobel/sobel:core/ACC1-3:not#6} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(11)} -pin "ACC1-3:not#6" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#1.itm}
-load net {ACC1-3:not#6.itm} -pin "ACC1-3:not#6" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#6.itm}
-load inst "ACC1:acc#183" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18656 -attr oid 802 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#183" {A(0)} -attr @path {/sobel/sobel:core/conc#339.itm}
-load net {acc.idiv.sva(4)} -pin "ACC1:acc#183" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#339.itm}
-load net {ACC1-3:not#6.itm} -pin "ACC1:acc#183" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#349.itm}
-load net {ACC1-3:not#3.itm} -pin "ACC1:acc#183" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#349.itm}
-load net {ACC1:acc#183.itm(0)} -pin "ACC1:acc#183" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
-load net {ACC1:acc#183.itm(1)} -pin "ACC1:acc#183" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
-load net {ACC1:acc#183.itm(2)} -pin "ACC1:acc#183" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#183.itm}
-load inst "ACC1-3:not#4" "not(1)" "INTERFACE" -attr xrf 18657 -attr oid 803 -attr @path {/sobel/sobel:core/ACC1-3:not#4} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(7)} -pin "ACC1-3:not#4" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#18.itm}
-load net {ACC1-3:not#4.itm} -pin "ACC1-3:not#4" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#4.itm}
-load inst "ACC1:acc#182" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18658 -attr oid 804 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#182" {A(0)} -attr @path {/sobel/sobel:core/conc#340.itm}
-load net {acc.idiv.sva(6)} -pin "ACC1:acc#182" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#340.itm}
-load net {acc.idiv.sva(10)} -pin "ACC1:acc#182" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#347.itm}
-load net {ACC1-3:not#4.itm} -pin "ACC1:acc#182" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#347.itm}
-load net {ACC1:acc#182.itm(0)} -pin "ACC1:acc#182" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
-load net {ACC1:acc#182.itm(1)} -pin "ACC1:acc#182" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
-load net {ACC1:acc#182.itm(2)} -pin "ACC1:acc#182" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#182.itm}
-load inst "ACC1:acc#186" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18659 -attr oid 805 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#186" {A(0)} -attr @path {/sobel/sobel:core/conc#338.itm}
-load net {ACC1:acc#183.itm(1)} -pin "ACC1:acc#186" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#338.itm}
-load net {ACC1:acc#183.itm(2)} -pin "ACC1:acc#186" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#338.itm}
-load net {acc.idiv.sva(14)} -pin "ACC1:acc#186" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#355.itm}
-load net {ACC1:acc#182.itm(1)} -pin "ACC1:acc#186" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#355.itm}
-load net {ACC1:acc#182.itm(2)} -pin "ACC1:acc#186" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#355.itm}
-load net {ACC1:acc#186.itm(0)} -pin "ACC1:acc#186" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
-load net {ACC1:acc#186.itm(1)} -pin "ACC1:acc#186" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
-load net {ACC1:acc#186.itm(2)} -pin "ACC1:acc#186" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
-load net {ACC1:acc#186.itm(3)} -pin "ACC1:acc#186" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#186.itm}
-load inst "ACC1:acc#188" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 18660 -attr oid 806 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {PWR} -pin "ACC1:acc#188" {A(0)} -attr @path {/sobel/sobel:core/conc#332.itm}
-load net {ACC1:acc#187.itm(1)} -pin "ACC1:acc#188" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#332.itm}
-load net {ACC1:acc#187.itm(2)} -pin "ACC1:acc#188" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#332.itm}
-load net {ACC1:acc#187.itm(3)} -pin "ACC1:acc#188" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#332.itm}
-load net {ACC1:acc#187.itm(4)} -pin "ACC1:acc#188" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#332.itm}
-load net {acc.idiv.sva(16)} -pin "ACC1:acc#188" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#359.itm}
-load net {ACC1:acc#186.itm(1)} -pin "ACC1:acc#188" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#359.itm}
-load net {ACC1:acc#186.itm(2)} -pin "ACC1:acc#188" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#359.itm}
-load net {ACC1:acc#186.itm(3)} -pin "ACC1:acc#188" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#359.itm}
-load net {ACC1:acc#188.itm(0)} -pin "ACC1:acc#188" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load net {ACC1:acc#188.itm(1)} -pin "ACC1:acc#188" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load net {ACC1:acc#188.itm(2)} -pin "ACC1:acc#188" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load net {ACC1:acc#188.itm(3)} -pin "ACC1:acc#188" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load net {ACC1:acc#188.itm(4)} -pin "ACC1:acc#188" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load net {ACC1:acc#188.itm(5)} -pin "ACC1:acc#188" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#188.itm}
-load inst "ACC1-3:not#9" "not(1)" "INTERFACE" -attr xrf 18661 -attr oid 807 -attr @path {/sobel/sobel:core/ACC1-3:not#9} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv.sva(17)} -pin "ACC1-3:not#9" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv.sva)#15.itm}
-load net {ACC1-3:not#9.itm} -pin "ACC1-3:not#9" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#9.itm}
-load inst "ACC1:acc#189" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 18662 -attr oid 808 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
-load net {PWR} -pin "ACC1:acc#189" {A(0)} -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1:acc#188.itm(1)} -pin "ACC1:acc#189" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1:acc#188.itm(2)} -pin "ACC1:acc#189" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1:acc#188.itm(3)} -pin "ACC1:acc#189" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1:acc#188.itm(4)} -pin "ACC1:acc#189" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1:acc#188.itm(5)} -pin "ACC1:acc#189" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#331.itm}
-load net {ACC1-3:not#9.itm} -pin "ACC1:acc#189" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {acc.idiv.sva(0)} -pin "ACC1:acc#189" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {PWR} -pin "ACC1:acc#189" {B(2)} -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {PWR} -pin "ACC1:acc#189" {B(3)} -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {GND} -pin "ACC1:acc#189" {B(4)} -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {PWR} -pin "ACC1:acc#189" {B(5)} -attr @path {/sobel/sobel:core/conc#341.itm}
-load net {ACC1:acc#189.itm(0)} -pin "ACC1:acc#189" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load net {ACC1:acc#189.itm(1)} -pin "ACC1:acc#189" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load net {ACC1:acc#189.itm(2)} -pin "ACC1:acc#189" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load net {ACC1:acc#189.itm(3)} -pin "ACC1:acc#189" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load net {ACC1:acc#189.itm(4)} -pin "ACC1:acc#189" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load net {ACC1:acc#189.itm(5)} -pin "ACC1:acc#189" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#189.itm}
-load inst "ACC1:acc#153" "add(16,0,16,0,17)" "INTERFACE" -attr xrf 18663 -attr oid 809 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {vin:rsc:mgc_in_wire.d(10)} -pin "ACC1:acc#153" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(11)} -pin "ACC1:acc#153" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(12)} -pin "ACC1:acc#153" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(13)} -pin "ACC1:acc#153" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(14)} -pin "ACC1:acc#153" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(15)} -pin "ACC1:acc#153" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(16)} -pin "ACC1:acc#153" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(17)} -pin "ACC1:acc#153" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(18)} -pin "ACC1:acc#153" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#153" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#56.itm}
-load net {vin:rsc:mgc_in_wire.d(0)} -pin "ACC1:acc#153" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(1)} -pin "ACC1:acc#153" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(2)} -pin "ACC1:acc#153" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(3)} -pin "ACC1:acc#153" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(4)} -pin "ACC1:acc#153" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(5)} -pin "ACC1:acc#153" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(6)} -pin "ACC1:acc#153" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(7)} -pin "ACC1:acc#153" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(8)} -pin "ACC1:acc#153" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#153" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#57.itm}
-load net {ACC1:acc#153.itm(0)} -pin "ACC1:acc#153" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(1)} -pin "ACC1:acc#153" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(2)} -pin "ACC1:acc#153" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(3)} -pin "ACC1:acc#153" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(4)} -pin "ACC1:acc#153" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(5)} -pin "ACC1:acc#153" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(6)} -pin "ACC1:acc#153" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(7)} -pin "ACC1:acc#153" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(8)} -pin "ACC1:acc#153" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(9)} -pin "ACC1:acc#153" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(10)} -pin "ACC1:acc#153" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(11)} -pin "ACC1:acc#153" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(12)} -pin "ACC1:acc#153" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(13)} -pin "ACC1:acc#153" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(14)} -pin "ACC1:acc#153" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(15)} -pin "ACC1:acc#153" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(16)} -pin "ACC1:acc#153" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load inst "ACC1-1:acc" "add(17,0,16,0,18)" "INTERFACE" -attr xrf 18664 -attr oid 810 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc} -attr area 18.184140 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(17,0,16,0,18)"
-load net {ACC1:acc#153.itm(0)} -pin "ACC1-1:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(1)} -pin "ACC1-1:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(2)} -pin "ACC1-1:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(3)} -pin "ACC1-1:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(4)} -pin "ACC1-1:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(5)} -pin "ACC1-1:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(6)} -pin "ACC1-1:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(7)} -pin "ACC1-1:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(8)} -pin "ACC1-1:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(9)} -pin "ACC1-1:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(10)} -pin "ACC1-1:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(11)} -pin "ACC1-1:acc" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(12)} -pin "ACC1-1:acc" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(13)} -pin "ACC1-1:acc" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(14)} -pin "ACC1-1:acc" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(15)} -pin "ACC1-1:acc" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {ACC1:acc#153.itm(16)} -pin "ACC1-1:acc" {A(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#153.itm}
-load net {vin:rsc:mgc_in_wire.d(20)} -pin "ACC1-1:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(21)} -pin "ACC1-1:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(22)} -pin "ACC1-1:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(23)} -pin "ACC1-1:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(24)} -pin "ACC1-1:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(25)} -pin "ACC1-1:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(26)} -pin "ACC1-1:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(27)} -pin "ACC1-1:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(28)} -pin "ACC1-1:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1-1:acc" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs.itm}
-load net {acc.idiv#3.sva(0)} -pin "ACC1-1:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(1)} -pin "ACC1-1:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(2)} -pin "ACC1-1:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(3)} -pin "ACC1-1:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(4)} -pin "ACC1-1:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(5)} -pin "ACC1-1:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(6)} -pin "ACC1-1:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(7)} -pin "ACC1-1:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(8)} -pin "ACC1-1:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(9)} -pin "ACC1-1:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(10)} -pin "ACC1-1:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(11)} -pin "ACC1-1:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(12)} -pin "ACC1-1:acc" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(13)} -pin "ACC1-1:acc" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(14)} -pin "ACC1-1:acc" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(15)} -pin "ACC1-1:acc" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(16)} -pin "ACC1-1:acc" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load net {acc.idiv#3.sva(17)} -pin "ACC1-1:acc" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#3.sva}
-load inst "ACC1:acc#165" "add(16,0,16,0,17)" "INTERFACE" -attr xrf 18665 -attr oid 811 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {vin:rsc:mgc_in_wire.d(70)} -pin "ACC1:acc#165" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(71)} -pin "ACC1:acc#165" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(72)} -pin "ACC1:acc#165" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(73)} -pin "ACC1:acc#165" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(74)} -pin "ACC1:acc#165" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(75)} -pin "ACC1:acc#165" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(76)} -pin "ACC1:acc#165" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(77)} -pin "ACC1:acc#165" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(78)} -pin "ACC1:acc#165" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1:acc#165" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#68.itm}
-load net {vin:rsc:mgc_in_wire.d(60)} -pin "ACC1:acc#165" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(61)} -pin "ACC1:acc#165" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(62)} -pin "ACC1:acc#165" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(63)} -pin "ACC1:acc#165" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(64)} -pin "ACC1:acc#165" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(65)} -pin "ACC1:acc#165" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(66)} -pin "ACC1:acc#165" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(67)} -pin "ACC1:acc#165" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(68)} -pin "ACC1:acc#165" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1:acc#165" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#69.itm}
-load net {ACC1:acc#165.itm(0)} -pin "ACC1:acc#165" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(1)} -pin "ACC1:acc#165" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(2)} -pin "ACC1:acc#165" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(3)} -pin "ACC1:acc#165" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(4)} -pin "ACC1:acc#165" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(5)} -pin "ACC1:acc#165" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(6)} -pin "ACC1:acc#165" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(7)} -pin "ACC1:acc#165" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(8)} -pin "ACC1:acc#165" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(9)} -pin "ACC1:acc#165" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(10)} -pin "ACC1:acc#165" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(11)} -pin "ACC1:acc#165" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(12)} -pin "ACC1:acc#165" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(13)} -pin "ACC1:acc#165" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(14)} -pin "ACC1:acc#165" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(15)} -pin "ACC1:acc#165" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(16)} -pin "ACC1:acc#165" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load inst "ACC1-1:acc#26" "add(17,0,16,0,18)" "INTERFACE" -attr xrf 18666 -attr oid 812 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#26} -attr area 18.184140 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(17,0,16,0,18)"
-load net {ACC1:acc#165.itm(0)} -pin "ACC1-1:acc#26" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(1)} -pin "ACC1-1:acc#26" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(2)} -pin "ACC1-1:acc#26" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(3)} -pin "ACC1-1:acc#26" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(4)} -pin "ACC1-1:acc#26" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(5)} -pin "ACC1-1:acc#26" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(6)} -pin "ACC1-1:acc#26" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(7)} -pin "ACC1-1:acc#26" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(8)} -pin "ACC1-1:acc#26" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(9)} -pin "ACC1-1:acc#26" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(10)} -pin "ACC1-1:acc#26" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(11)} -pin "ACC1-1:acc#26" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(12)} -pin "ACC1-1:acc#26" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(13)} -pin "ACC1-1:acc#26" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(14)} -pin "ACC1-1:acc#26" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(15)} -pin "ACC1-1:acc#26" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {ACC1:acc#165.itm(16)} -pin "ACC1-1:acc#26" {A(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#165.itm}
-load net {vin:rsc:mgc_in_wire.d(80)} -pin "ACC1-1:acc#26" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(81)} -pin "ACC1-1:acc#26" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(82)} -pin "ACC1-1:acc#26" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(83)} -pin "ACC1-1:acc#26" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(84)} -pin "ACC1-1:acc#26" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(85)} -pin "ACC1-1:acc#26" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(86)} -pin "ACC1-1:acc#26" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(87)} -pin "ACC1-1:acc#26" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(88)} -pin "ACC1-1:acc#26" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-1:acc#26" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#67.itm}
-load net {acc.idiv#7.sva(0)} -pin "ACC1-1:acc#26" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(1)} -pin "ACC1-1:acc#26" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(2)} -pin "ACC1-1:acc#26" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(3)} -pin "ACC1-1:acc#26" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(4)} -pin "ACC1-1:acc#26" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(5)} -pin "ACC1-1:acc#26" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(6)} -pin "ACC1-1:acc#26" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(7)} -pin "ACC1-1:acc#26" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(8)} -pin "ACC1-1:acc#26" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(9)} -pin "ACC1-1:acc#26" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(10)} -pin "ACC1-1:acc#26" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(11)} -pin "ACC1-1:acc#26" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(12)} -pin "ACC1-1:acc#26" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(13)} -pin "ACC1-1:acc#26" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(14)} -pin "ACC1-1:acc#26" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(15)} -pin "ACC1-1:acc#26" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(16)} -pin "ACC1-1:acc#26" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load net {acc.idiv#7.sva(17)} -pin "ACC1-1:acc#26" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#7.sva}
-load inst "ACC1:not#73" "not(10)" "INTERFACE" -attr xrf 18667 -attr oid 813 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
-load net {regs.regs:slc(regs.regs(2))#6.itm(0)} -pin "ACC1:not#73" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(1)} -pin "ACC1:not#73" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(2)} -pin "ACC1:not#73" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(3)} -pin "ACC1:not#73" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(4)} -pin "ACC1:not#73" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(5)} -pin "ACC1:not#73" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(6)} -pin "ACC1:not#73" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(7)} -pin "ACC1:not#73" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(8)} -pin "ACC1:not#73" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {regs.regs:slc(regs.regs(2))#6.itm(9)} -pin "ACC1:not#73" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#6.itm}
-load net {ACC1:not#73.itm(0)} -pin "ACC1:not#73" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(1)} -pin "ACC1:not#73" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(2)} -pin "ACC1:not#73" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(3)} -pin "ACC1:not#73" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(4)} -pin "ACC1:not#73" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(5)} -pin "ACC1:not#73" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(6)} -pin "ACC1:not#73" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(7)} -pin "ACC1:not#73" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(8)} -pin "ACC1:not#73" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load net {ACC1:not#73.itm(9)} -pin "ACC1:not#73" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#73.itm}
-load inst "ACC1:acc#178" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 18668 -attr oid 814 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {PWR} -pin "ACC1:acc#178" {A(0)} -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(0)} -pin "ACC1:acc#178" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(1)} -pin "ACC1:acc#178" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(2)} -pin "ACC1:acc#178" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(3)} -pin "ACC1:acc#178" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(4)} -pin "ACC1:acc#178" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(5)} -pin "ACC1:acc#178" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(6)} -pin "ACC1:acc#178" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(7)} -pin "ACC1:acc#178" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(8)} -pin "ACC1:acc#178" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {ACC1:not#73.itm(9)} -pin "ACC1:acc#178" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#342.itm}
-load net {PWR} -pin "ACC1:acc#178" {B(0)} -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(10)} -pin "ACC1:acc#178" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(11)} -pin "ACC1:acc#178" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(12)} -pin "ACC1:acc#178" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(13)} -pin "ACC1:acc#178" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(14)} -pin "ACC1:acc#178" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(15)} -pin "ACC1:acc#178" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(16)} -pin "ACC1:acc#178" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(17)} -pin "ACC1:acc#178" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(18)} -pin "ACC1:acc#178" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {vin:rsc:mgc_in_wire.d(19)} -pin "ACC1:acc#178" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#343.itm}
-load net {ACC1:acc#178.itm(0)} -pin "ACC1:acc#178" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(1)} -pin "ACC1:acc#178" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(2)} -pin "ACC1:acc#178" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(3)} -pin "ACC1:acc#178" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(4)} -pin "ACC1:acc#178" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(5)} -pin "ACC1:acc#178" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(6)} -pin "ACC1:acc#178" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(7)} -pin "ACC1:acc#178" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(8)} -pin "ACC1:acc#178" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(9)} -pin "ACC1:acc#178" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(10)} -pin "ACC1:acc#178" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#178" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#178.itm}
-load inst "ACC1:not#74" "not(10)" "INTERFACE" -attr xrf 18669 -attr oid 815 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
-load net {regs.regs:slc(regs.regs(2))#7.itm(0)} -pin "ACC1:not#74" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(1)} -pin "ACC1:not#74" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(2)} -pin "ACC1:not#74" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(3)} -pin "ACC1:not#74" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(4)} -pin "ACC1:not#74" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(5)} -pin "ACC1:not#74" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(6)} -pin "ACC1:not#74" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(7)} -pin "ACC1:not#74" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(8)} -pin "ACC1:not#74" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {regs.regs:slc(regs.regs(2))#7.itm(9)} -pin "ACC1:not#74" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2))#7.itm}
-load net {ACC1:not#74.itm(0)} -pin "ACC1:not#74" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(1)} -pin "ACC1:not#74" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(2)} -pin "ACC1:not#74" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(3)} -pin "ACC1:not#74" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(4)} -pin "ACC1:not#74" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(5)} -pin "ACC1:not#74" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(6)} -pin "ACC1:not#74" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(7)} -pin "ACC1:not#74" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(8)} -pin "ACC1:not#74" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load net {ACC1:not#74.itm(9)} -pin "ACC1:not#74" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#74.itm}
-load inst "ACC1:acc#179" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 18670 -attr oid 816 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {PWR} -pin "ACC1:acc#179" {A(0)} -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(0)} -pin "ACC1:acc#179" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(1)} -pin "ACC1:acc#179" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(2)} -pin "ACC1:acc#179" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(3)} -pin "ACC1:acc#179" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(4)} -pin "ACC1:acc#179" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(5)} -pin "ACC1:acc#179" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(6)} -pin "ACC1:acc#179" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(7)} -pin "ACC1:acc#179" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(8)} -pin "ACC1:acc#179" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {ACC1:not#74.itm(9)} -pin "ACC1:acc#179" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#344.itm}
-load net {PWR} -pin "ACC1:acc#179" {B(0)} -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(0)} -pin "ACC1:acc#179" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(1)} -pin "ACC1:acc#179" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(2)} -pin "ACC1:acc#179" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(3)} -pin "ACC1:acc#179" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(4)} -pin "ACC1:acc#179" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(5)} -pin "ACC1:acc#179" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(6)} -pin "ACC1:acc#179" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(7)} -pin "ACC1:acc#179" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(8)} -pin "ACC1:acc#179" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {vin:rsc:mgc_in_wire.d(9)} -pin "ACC1:acc#179" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#345.itm}
-load net {ACC1:acc#179.itm(0)} -pin "ACC1:acc#179" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(1)} -pin "ACC1:acc#179" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(2)} -pin "ACC1:acc#179" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(3)} -pin "ACC1:acc#179" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(4)} -pin "ACC1:acc#179" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(5)} -pin "ACC1:acc#179" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(6)} -pin "ACC1:acc#179" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(7)} -pin "ACC1:acc#179" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(8)} -pin "ACC1:acc#179" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(9)} -pin "ACC1:acc#179" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(10)} -pin "ACC1:acc#179" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#179" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#179.itm}
-load inst "ACC1:acc#177" "add(16,0,16,0,17)" "INTERFACE" -attr xrf 18671 -attr oid 817 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {ACC1:acc#178.itm(1)} -pin "ACC1:acc#177" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(2)} -pin "ACC1:acc#177" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(3)} -pin "ACC1:acc#177" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(4)} -pin "ACC1:acc#177" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(5)} -pin "ACC1:acc#177" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(6)} -pin "ACC1:acc#177" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(7)} -pin "ACC1:acc#177" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(8)} -pin "ACC1:acc#177" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(9)} -pin "ACC1:acc#177" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(10)} -pin "ACC1:acc#177" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#177" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#177" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#177" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#177" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#177" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#178.itm(11)} -pin "ACC1:acc#177" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#95.itm}
-load net {ACC1:acc#179.itm(1)} -pin "ACC1:acc#177" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(2)} -pin "ACC1:acc#177" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(3)} -pin "ACC1:acc#177" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(4)} -pin "ACC1:acc#177" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(5)} -pin "ACC1:acc#177" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(6)} -pin "ACC1:acc#177" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(7)} -pin "ACC1:acc#177" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(8)} -pin "ACC1:acc#177" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(9)} -pin "ACC1:acc#177" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(10)} -pin "ACC1:acc#177" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#177" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#177" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#177" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#177" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#177" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#179.itm(11)} -pin "ACC1:acc#177" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#96.itm}
-load net {ACC1:acc#177.itm(0)} -pin "ACC1:acc#177" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(1)} -pin "ACC1:acc#177" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(2)} -pin "ACC1:acc#177" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(3)} -pin "ACC1:acc#177" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(4)} -pin "ACC1:acc#177" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(5)} -pin "ACC1:acc#177" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(6)} -pin "ACC1:acc#177" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(7)} -pin "ACC1:acc#177" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(8)} -pin "ACC1:acc#177" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(9)} -pin "ACC1:acc#177" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(10)} -pin "ACC1:acc#177" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(11)} -pin "ACC1:acc#177" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(12)} -pin "ACC1:acc#177" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(13)} -pin "ACC1:acc#177" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(14)} -pin "ACC1:acc#177" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(15)} -pin "ACC1:acc#177" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(16)} -pin "ACC1:acc#177" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load inst "ACC1:not#72" "not(10)" "INTERFACE" -attr xrf 18672 -attr oid 818 -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(10)"
-load net {regs.regs:slc(regs.regs(2)).itm(0)} -pin "ACC1:not#72" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(1)} -pin "ACC1:not#72" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(2)} -pin "ACC1:not#72" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(3)} -pin "ACC1:not#72" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(4)} -pin "ACC1:not#72" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(5)} -pin "ACC1:not#72" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(6)} -pin "ACC1:not#72" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(7)} -pin "ACC1:not#72" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(8)} -pin "ACC1:not#72" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {regs.regs:slc(regs.regs(2)).itm(9)} -pin "ACC1:not#72" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2)).itm}
-load net {ACC1:not#72.itm(0)} -pin "ACC1:not#72" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(1)} -pin "ACC1:not#72" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(2)} -pin "ACC1:not#72" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(3)} -pin "ACC1:not#72" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(4)} -pin "ACC1:not#72" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(5)} -pin "ACC1:not#72" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(6)} -pin "ACC1:not#72" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(7)} -pin "ACC1:not#72" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(8)} -pin "ACC1:not#72" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load net {ACC1:not#72.itm(9)} -pin "ACC1:not#72" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:not#72.itm}
-load inst "ACC1:acc#180" "add(11,1,11,1,12)" "INTERFACE" -attr xrf 18673 -attr oid 819 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180} -attr area 12.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(11,1,11,1,12)"
-load net {PWR} -pin "ACC1:acc#180" {A(0)} -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(0)} -pin "ACC1:acc#180" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(1)} -pin "ACC1:acc#180" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(2)} -pin "ACC1:acc#180" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(3)} -pin "ACC1:acc#180" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(4)} -pin "ACC1:acc#180" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(5)} -pin "ACC1:acc#180" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(6)} -pin "ACC1:acc#180" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(7)} -pin "ACC1:acc#180" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(8)} -pin "ACC1:acc#180" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {ACC1:not#72.itm(9)} -pin "ACC1:acc#180" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#346.itm}
-load net {PWR} -pin "ACC1:acc#180" {B(0)} -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(20)} -pin "ACC1:acc#180" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(21)} -pin "ACC1:acc#180" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(22)} -pin "ACC1:acc#180" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(23)} -pin "ACC1:acc#180" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(24)} -pin "ACC1:acc#180" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(25)} -pin "ACC1:acc#180" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(26)} -pin "ACC1:acc#180" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(27)} -pin "ACC1:acc#180" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(28)} -pin "ACC1:acc#180" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {vin:rsc:mgc_in_wire.d(29)} -pin "ACC1:acc#180" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/conc#347.itm}
-load net {ACC1:acc#180.itm(0)} -pin "ACC1:acc#180" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(1)} -pin "ACC1:acc#180" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(2)} -pin "ACC1:acc#180" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(3)} -pin "ACC1:acc#180" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(4)} -pin "ACC1:acc#180" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(5)} -pin "ACC1:acc#180" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(6)} -pin "ACC1:acc#180" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(7)} -pin "ACC1:acc#180" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(8)} -pin "ACC1:acc#180" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(9)} -pin "ACC1:acc#180" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(10)} -pin "ACC1:acc#180" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1:acc#180" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#180.itm}
-load inst "ACC1-3:acc" "add(17,0,16,0,18)" "INTERFACE" -attr xrf 18674 -attr oid 820 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc} -attr area 18.184140 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(17,0,16,0,18)"
-load net {ACC1:acc#177.itm(0)} -pin "ACC1-3:acc" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(1)} -pin "ACC1-3:acc" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(2)} -pin "ACC1-3:acc" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(3)} -pin "ACC1-3:acc" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(4)} -pin "ACC1-3:acc" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(5)} -pin "ACC1-3:acc" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(6)} -pin "ACC1-3:acc" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(7)} -pin "ACC1-3:acc" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(8)} -pin "ACC1-3:acc" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(9)} -pin "ACC1-3:acc" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(10)} -pin "ACC1-3:acc" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(11)} -pin "ACC1-3:acc" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(12)} -pin "ACC1-3:acc" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(13)} -pin "ACC1-3:acc" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(14)} -pin "ACC1-3:acc" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(15)} -pin "ACC1-3:acc" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#177.itm(16)} -pin "ACC1-3:acc" {A(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#177.itm}
-load net {ACC1:acc#180.itm(1)} -pin "ACC1-3:acc" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(2)} -pin "ACC1-3:acc" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(3)} -pin "ACC1-3:acc" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(4)} -pin "ACC1-3:acc" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(5)} -pin "ACC1-3:acc" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(6)} -pin "ACC1-3:acc" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(7)} -pin "ACC1-3:acc" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(8)} -pin "ACC1-3:acc" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(9)} -pin "ACC1-3:acc" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(10)} -pin "ACC1-3:acc" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1-3:acc" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1-3:acc" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1-3:acc" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1-3:acc" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1-3:acc" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {ACC1:acc#180.itm(11)} -pin "ACC1-3:acc" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#94.itm}
-load net {acc.idiv.sva(0)} -pin "ACC1-3:acc" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(1)} -pin "ACC1-3:acc" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(2)} -pin "ACC1-3:acc" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(3)} -pin "ACC1-3:acc" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(4)} -pin "ACC1-3:acc" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(5)} -pin "ACC1-3:acc" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(6)} -pin "ACC1-3:acc" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(7)} -pin "ACC1-3:acc" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(8)} -pin "ACC1-3:acc" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(9)} -pin "ACC1-3:acc" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(10)} -pin "ACC1-3:acc" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(11)} -pin "ACC1-3:acc" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(12)} -pin "ACC1-3:acc" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(13)} -pin "ACC1-3:acc" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(14)} -pin "ACC1-3:acc" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(15)} -pin "ACC1-3:acc" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(16)} -pin "ACC1-3:acc" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load net {acc.idiv.sva(17)} -pin "ACC1-3:acc" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv.sva}
-load inst "ACC1-3:acc#22" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 18675 -attr oid 821 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {vin:rsc:mgc_in_wire.d(70)} -pin "ACC1-3:acc#22" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(71)} -pin "ACC1-3:acc#22" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(72)} -pin "ACC1-3:acc#22" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(73)} -pin "ACC1-3:acc#22" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(74)} -pin "ACC1-3:acc#22" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(75)} -pin "ACC1-3:acc#22" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(76)} -pin "ACC1-3:acc#22" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(77)} -pin "ACC1-3:acc#22" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(78)} -pin "ACC1-3:acc#22" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(79)} -pin "ACC1-3:acc#22" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#1)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(0)} -pin "ACC1-3:acc#22" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(1)} -pin "ACC1-3:acc#22" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(2)} -pin "ACC1-3:acc#22" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(3)} -pin "ACC1-3:acc#22" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(4)} -pin "ACC1-3:acc#22" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(5)} -pin "ACC1-3:acc#22" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(6)} -pin "ACC1-3:acc#22" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(7)} -pin "ACC1-3:acc#22" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(8)} -pin "ACC1-3:acc#22" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#1.itm(9)} -pin "ACC1-3:acc#22" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#1.itm}
-load net {ACC1-3:acc#22.itm(0)} -pin "ACC1-3:acc#22" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(1)} -pin "ACC1-3:acc#22" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(2)} -pin "ACC1-3:acc#22" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(3)} -pin "ACC1-3:acc#22" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(4)} -pin "ACC1-3:acc#22" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(5)} -pin "ACC1-3:acc#22" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(6)} -pin "ACC1-3:acc#22" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(7)} -pin "ACC1-3:acc#22" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(8)} -pin "ACC1-3:acc#22" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(9)} -pin "ACC1-3:acc#22" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1-3:acc#22" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#22.itm}
-load inst "ACC1-3:acc#25" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 18676 -attr oid 822 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {vin:rsc:mgc_in_wire.d(60)} -pin "ACC1-3:acc#25" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(61)} -pin "ACC1-3:acc#25" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(62)} -pin "ACC1-3:acc#25" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(63)} -pin "ACC1-3:acc#25" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(64)} -pin "ACC1-3:acc#25" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(65)} -pin "ACC1-3:acc#25" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(66)} -pin "ACC1-3:acc#25" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(67)} -pin "ACC1-3:acc#25" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(68)} -pin "ACC1-3:acc#25" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(69)} -pin "ACC1-3:acc#25" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#2)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(0)} -pin "ACC1-3:acc#25" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(1)} -pin "ACC1-3:acc#25" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(2)} -pin "ACC1-3:acc#25" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(3)} -pin "ACC1-3:acc#25" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(4)} -pin "ACC1-3:acc#25" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(5)} -pin "ACC1-3:acc#25" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(6)} -pin "ACC1-3:acc#25" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(7)} -pin "ACC1-3:acc#25" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(8)} -pin "ACC1-3:acc#25" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {regs.regs:slc(regs.regs(2).sg2)#2.itm(9)} -pin "ACC1-3:acc#25" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2)#2.itm}
-load net {ACC1-3:acc#25.itm(0)} -pin "ACC1-3:acc#25" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(1)} -pin "ACC1-3:acc#25" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(2)} -pin "ACC1-3:acc#25" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(3)} -pin "ACC1-3:acc#25" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(4)} -pin "ACC1-3:acc#25" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(5)} -pin "ACC1-3:acc#25" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(6)} -pin "ACC1-3:acc#25" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(7)} -pin "ACC1-3:acc#25" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(8)} -pin "ACC1-3:acc#25" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(9)} -pin "ACC1-3:acc#25" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1-3:acc#25" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#25.itm}
-load inst "ACC1:acc#192" "add(16,0,16,0,17)" "INTERFACE" -attr xrf 18677 -attr oid 823 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192} -attr area 17.189078 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(16,0,16,0,17)"
-load net {ACC1-3:acc#22.itm(0)} -pin "ACC1:acc#192" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(1)} -pin "ACC1:acc#192" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(2)} -pin "ACC1:acc#192" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(3)} -pin "ACC1:acc#192" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(4)} -pin "ACC1:acc#192" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(5)} -pin "ACC1:acc#192" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(6)} -pin "ACC1:acc#192" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(7)} -pin "ACC1:acc#192" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(8)} -pin "ACC1:acc#192" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(9)} -pin "ACC1:acc#192" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1:acc#192" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1:acc#192" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1:acc#192" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1:acc#192" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1:acc#192" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#22.itm(10)} -pin "ACC1:acc#192" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#74.itm}
-load net {ACC1-3:acc#25.itm(0)} -pin "ACC1:acc#192" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(1)} -pin "ACC1:acc#192" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(2)} -pin "ACC1:acc#192" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(3)} -pin "ACC1:acc#192" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(4)} -pin "ACC1:acc#192" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(5)} -pin "ACC1:acc#192" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(6)} -pin "ACC1:acc#192" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(7)} -pin "ACC1:acc#192" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(8)} -pin "ACC1:acc#192" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(9)} -pin "ACC1:acc#192" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1:acc#192" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1:acc#192" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1:acc#192" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1:acc#192" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1:acc#192" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1-3:acc#25.itm(10)} -pin "ACC1:acc#192" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#75.itm}
-load net {ACC1:acc#192.itm(0)} -pin "ACC1:acc#192" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(1)} -pin "ACC1:acc#192" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(2)} -pin "ACC1:acc#192" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(3)} -pin "ACC1:acc#192" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(4)} -pin "ACC1:acc#192" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(5)} -pin "ACC1:acc#192" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(6)} -pin "ACC1:acc#192" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(7)} -pin "ACC1:acc#192" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(8)} -pin "ACC1:acc#192" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(9)} -pin "ACC1:acc#192" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(10)} -pin "ACC1:acc#192" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(11)} -pin "ACC1:acc#192" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(12)} -pin "ACC1:acc#192" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(13)} -pin "ACC1:acc#192" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(14)} -pin "ACC1:acc#192" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(15)} -pin "ACC1:acc#192" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(16)} -pin "ACC1:acc#192" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load inst "ACC1-3:acc#19" "add(10,1,10,1,11)" "INTERFACE" -attr xrf 18678 -attr oid 824 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19} -attr area 11.000000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(10,1,10,1,11)"
-load net {vin:rsc:mgc_in_wire.d(80)} -pin "ACC1-3:acc#19" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(81)} -pin "ACC1-3:acc#19" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(82)} -pin "ACC1-3:acc#19" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(83)} -pin "ACC1-3:acc#19" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(84)} -pin "ACC1-3:acc#19" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(85)} -pin "ACC1-3:acc#19" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(86)} -pin "ACC1-3:acc#19" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(87)} -pin "ACC1-3:acc#19" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(88)} -pin "ACC1-3:acc#19" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {vin:rsc:mgc_in_wire.d(89)} -pin "ACC1-3:acc#19" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/slc(regs.regs(0).sva#3)#1.itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(0)} -pin "ACC1-3:acc#19" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(1)} -pin "ACC1-3:acc#19" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(2)} -pin "ACC1-3:acc#19" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(3)} -pin "ACC1-3:acc#19" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(4)} -pin "ACC1-3:acc#19" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(5)} -pin "ACC1-3:acc#19" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(6)} -pin "ACC1-3:acc#19" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(7)} -pin "ACC1-3:acc#19" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(8)} -pin "ACC1-3:acc#19" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {regs.regs:slc(regs.regs(2).sg2).itm(9)} -pin "ACC1-3:acc#19" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/regs.regs:slc(regs.regs(2).sg2).itm}
-load net {ACC1-3:acc#19.itm(0)} -pin "ACC1-3:acc#19" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(1)} -pin "ACC1-3:acc#19" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(2)} -pin "ACC1-3:acc#19" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(3)} -pin "ACC1-3:acc#19" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(4)} -pin "ACC1-3:acc#19" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(5)} -pin "ACC1-3:acc#19" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(6)} -pin "ACC1-3:acc#19" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(7)} -pin "ACC1-3:acc#19" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(8)} -pin "ACC1-3:acc#19" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(9)} -pin "ACC1-3:acc#19" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#19" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#19.itm}
-load inst "ACC1-3:acc#26" "add(17,0,16,0,18)" "INTERFACE" -attr xrf 18679 -attr oid 825 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#26} -attr area 18.184140 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(17,0,16,0,18)"
-load net {ACC1:acc#192.itm(0)} -pin "ACC1-3:acc#26" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(1)} -pin "ACC1-3:acc#26" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(2)} -pin "ACC1-3:acc#26" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(3)} -pin "ACC1-3:acc#26" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(4)} -pin "ACC1-3:acc#26" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(5)} -pin "ACC1-3:acc#26" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(6)} -pin "ACC1-3:acc#26" {A(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(7)} -pin "ACC1-3:acc#26" {A(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(8)} -pin "ACC1-3:acc#26" {A(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(9)} -pin "ACC1-3:acc#26" {A(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(10)} -pin "ACC1-3:acc#26" {A(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(11)} -pin "ACC1-3:acc#26" {A(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(12)} -pin "ACC1-3:acc#26" {A(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(13)} -pin "ACC1-3:acc#26" {A(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(14)} -pin "ACC1-3:acc#26" {A(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(15)} -pin "ACC1-3:acc#26" {A(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1:acc#192.itm(16)} -pin "ACC1-3:acc#26" {A(16)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#192.itm}
-load net {ACC1-3:acc#19.itm(0)} -pin "ACC1-3:acc#26" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(1)} -pin "ACC1-3:acc#26" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(2)} -pin "ACC1-3:acc#26" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(3)} -pin "ACC1-3:acc#26" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(4)} -pin "ACC1-3:acc#26" {B(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(5)} -pin "ACC1-3:acc#26" {B(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(6)} -pin "ACC1-3:acc#26" {B(6)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(7)} -pin "ACC1-3:acc#26" {B(7)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(8)} -pin "ACC1-3:acc#26" {B(8)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(9)} -pin "ACC1-3:acc#26" {B(9)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#26" {B(10)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#26" {B(11)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#26" {B(12)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#26" {B(13)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#26" {B(14)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {ACC1-3:acc#19.itm(10)} -pin "ACC1-3:acc#26" {B(15)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:exs#73.itm}
-load net {acc.idiv#2.sva(0)} -pin "ACC1-3:acc#26" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(1)} -pin "ACC1-3:acc#26" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(2)} -pin "ACC1-3:acc#26" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(3)} -pin "ACC1-3:acc#26" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(4)} -pin "ACC1-3:acc#26" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(5)} -pin "ACC1-3:acc#26" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(6)} -pin "ACC1-3:acc#26" {Z(6)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(7)} -pin "ACC1-3:acc#26" {Z(7)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(8)} -pin "ACC1-3:acc#26" {Z(8)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(9)} -pin "ACC1-3:acc#26" {Z(9)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(10)} -pin "ACC1-3:acc#26" {Z(10)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(11)} -pin "ACC1-3:acc#26" {Z(11)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(12)} -pin "ACC1-3:acc#26" {Z(12)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(13)} -pin "ACC1-3:acc#26" {Z(13)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(14)} -pin "ACC1-3:acc#26" {Z(14)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(15)} -pin "ACC1-3:acc#26" {Z(15)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(16)} -pin "ACC1-3:acc#26" {Z(16)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load net {acc.idiv#2.sva(17)} -pin "ACC1-3:acc#26" {Z(17)} -attr vt d -attr @path {/sobel/sobel:core/acc.idiv#2.sva}
-load inst "ACC1-1:not#5" "not(1)" "INTERFACE" -attr xrf 18680 -attr oid 826 -attr @path {/sobel/sobel:core/ACC1-1:not#5} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(9)} -pin "ACC1-1:not#5" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#1.itm}
-load net {ACC1-1:not#5.itm} -pin "ACC1-1:not#5" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#5.itm}
-load inst "ACC1:acc#154" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18681 -attr oid 827 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#154" {A(0)} -attr @path {/sobel/sobel:core/conc#352.itm}
-load net {acc.idiv#3.sva(8)} -pin "ACC1:acc#154" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#352.itm}
-load net {PWR} -pin "ACC1:acc#154" {B(0)} -attr @path {/sobel/sobel:core/conc#353.itm}
-load net {ACC1-1:not#5.itm} -pin "ACC1:acc#154" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#353.itm}
-load net {ACC1:acc#154.itm(0)} -pin "ACC1:acc#154" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
-load net {ACC1:acc#154.itm(1)} -pin "ACC1:acc#154" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
-load net {ACC1:acc#154.itm(2)} -pin "ACC1:acc#154" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#154.itm}
-load inst "ACC1-1:not#1" "not(1)" "INTERFACE" -attr xrf 18682 -attr oid 828 -attr @path {/sobel/sobel:core/ACC1-1:not#1} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(1)} -pin "ACC1-1:not#1" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#12.itm}
-load net {ACC1-1:not#1.itm} -pin "ACC1-1:not#1" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#1.itm}
-load inst "ACC1-1:not#7" "not(1)" "INTERFACE" -attr xrf 18683 -attr oid 829 -attr @path {/sobel/sobel:core/ACC1-1:not#7} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(13)} -pin "ACC1-1:not#7" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#26.itm}
-load net {ACC1-1:not#7.itm} -pin "ACC1-1:not#7" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#7.itm}
-load inst "ACC1:acc#158" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18684 -attr oid 830 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#158" {A(0)} -attr @path {/sobel/sobel:core/conc#351.itm}
-load net {ACC1:acc#154.itm(1)} -pin "ACC1:acc#158" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#351.itm}
-load net {ACC1:acc#154.itm(2)} -pin "ACC1:acc#158" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#351.itm}
-load net {ACC1-1:not#7.itm} -pin "ACC1:acc#158" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#299.itm}
-load net {ACC1-1:not#1.itm} -pin "ACC1:acc#158" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#299.itm}
-load net {ACC1:acc#158.itm(0)} -pin "ACC1:acc#158" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
-load net {ACC1:acc#158.itm(1)} -pin "ACC1:acc#158" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
-load net {ACC1:acc#158.itm(2)} -pin "ACC1:acc#158" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
-load net {ACC1:acc#158.itm(3)} -pin "ACC1:acc#158" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#158.itm}
-load inst "ACC1-1:not#2" "not(1)" "INTERFACE" -attr xrf 18685 -attr oid 831 -attr @path {/sobel/sobel:core/ACC1-1:not#2} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(3)} -pin "ACC1-1:not#2" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#24.itm}
-load net {ACC1-1:not#2.itm} -pin "ACC1-1:not#2" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#2.itm}
-load inst "ACC1:acc#157" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18686 -attr oid 832 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#157} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#157" {A(0)} -attr @path {/sobel/sobel:core/conc#354.itm}
-load net {acc.idiv#3.sva(2)} -pin "ACC1:acc#157" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#354.itm}
-load net {acc.idiv#3.sva(12)} -pin "ACC1:acc#157" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#297.itm}
-load net {ACC1-1:not#2.itm} -pin "ACC1:acc#157" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#297.itm}
-load net {ACC1:acc#157.itm(0)} -pin "ACC1:acc#157" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
-load net {ACC1:acc#157.itm(1)} -pin "ACC1:acc#157" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
-load net {ACC1:acc#157.itm(2)} -pin "ACC1:acc#157" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#157.itm}
-load inst "ACC1-1:not#8" "not(1)" "INTERFACE" -attr xrf 18687 -attr oid 833 -attr @path {/sobel/sobel:core/ACC1-1:not#8} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(15)} -pin "ACC1-1:not#8" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#21.itm}
-load net {ACC1-1:not#8.itm} -pin "ACC1-1:not#8" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#8.itm}
-load inst "ACC1:acc#160" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 18688 -attr oid 834 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {PWR} -pin "ACC1:acc#160" {A(0)} -attr @path {/sobel/sobel:core/conc#350.itm}
-load net {ACC1:acc#158.itm(1)} -pin "ACC1:acc#160" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#350.itm}
-load net {ACC1:acc#158.itm(2)} -pin "ACC1:acc#160" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#350.itm}
-load net {ACC1:acc#158.itm(3)} -pin "ACC1:acc#160" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#350.itm}
-load net {ACC1-1:not#8.itm} -pin "ACC1:acc#160" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#303.itm}
-load net {ACC1:acc#157.itm(1)} -pin "ACC1:acc#160" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#303.itm}
-load net {ACC1:acc#157.itm(2)} -pin "ACC1:acc#160" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#303.itm}
-load net {ACC1:acc#160.itm(0)} -pin "ACC1:acc#160" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
-load net {ACC1:acc#160.itm(1)} -pin "ACC1:acc#160" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
-load net {ACC1:acc#160.itm(2)} -pin "ACC1:acc#160" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
-load net {ACC1:acc#160.itm(3)} -pin "ACC1:acc#160" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
-load net {ACC1:acc#160.itm(4)} -pin "ACC1:acc#160" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#160.itm}
-load inst "ACC1-1:not#3" "not(1)" "INTERFACE" -attr xrf 18689 -attr oid 835 -attr @path {/sobel/sobel:core/ACC1-1:not#3} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(5)} -pin "ACC1-1:not#3" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#3.itm}
-load net {ACC1-1:not#3.itm} -pin "ACC1-1:not#3" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#3.itm}
-load inst "ACC1-1:not#6" "not(1)" "INTERFACE" -attr xrf 18690 -attr oid 836 -attr @path {/sobel/sobel:core/ACC1-1:not#6} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(11)} -pin "ACC1-1:not#6" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#5.itm}
-load net {ACC1-1:not#6.itm} -pin "ACC1-1:not#6" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#6.itm}
-load inst "ACC1:acc#156" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18691 -attr oid 837 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#156" {A(0)} -attr @path {/sobel/sobel:core/conc#356.itm}
-load net {acc.idiv#3.sva(4)} -pin "ACC1:acc#156" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#356.itm}
-load net {ACC1-1:not#6.itm} -pin "ACC1:acc#156" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#295.itm}
-load net {ACC1-1:not#3.itm} -pin "ACC1:acc#156" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#295.itm}
-load net {ACC1:acc#156.itm(0)} -pin "ACC1:acc#156" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
-load net {ACC1:acc#156.itm(1)} -pin "ACC1:acc#156" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
-load net {ACC1:acc#156.itm(2)} -pin "ACC1:acc#156" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#156.itm}
-load inst "ACC1-1:not#4" "not(1)" "INTERFACE" -attr xrf 18692 -attr oid 838 -attr @path {/sobel/sobel:core/ACC1-1:not#4} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(7)} -pin "ACC1-1:not#4" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#2.itm}
-load net {ACC1-1:not#4.itm} -pin "ACC1-1:not#4" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#4.itm}
-load inst "ACC1:acc#155" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18693 -attr oid 839 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#155} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#155" {A(0)} -attr @path {/sobel/sobel:core/conc#357.itm}
-load net {acc.idiv#3.sva(6)} -pin "ACC1:acc#155" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#357.itm}
-load net {acc.idiv#3.sva(10)} -pin "ACC1:acc#155" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#293.itm}
-load net {ACC1-1:not#4.itm} -pin "ACC1:acc#155" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#293.itm}
-load net {ACC1:acc#155.itm(0)} -pin "ACC1:acc#155" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
-load net {ACC1:acc#155.itm(1)} -pin "ACC1:acc#155" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
-load net {ACC1:acc#155.itm(2)} -pin "ACC1:acc#155" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#155.itm}
-load inst "ACC1:acc#159" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18694 -attr oid 840 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#159" {A(0)} -attr @path {/sobel/sobel:core/conc#355.itm}
-load net {ACC1:acc#156.itm(1)} -pin "ACC1:acc#159" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#355.itm}
-load net {ACC1:acc#156.itm(2)} -pin "ACC1:acc#159" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#355.itm}
-load net {acc.idiv#3.sva(14)} -pin "ACC1:acc#159" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#301.itm}
-load net {ACC1:acc#155.itm(1)} -pin "ACC1:acc#159" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#301.itm}
-load net {ACC1:acc#155.itm(2)} -pin "ACC1:acc#159" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#301.itm}
-load net {ACC1:acc#159.itm(0)} -pin "ACC1:acc#159" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
-load net {ACC1:acc#159.itm(1)} -pin "ACC1:acc#159" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
-load net {ACC1:acc#159.itm(2)} -pin "ACC1:acc#159" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
-load net {ACC1:acc#159.itm(3)} -pin "ACC1:acc#159" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#159.itm}
-load inst "ACC1:acc#161" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 18695 -attr oid 841 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {PWR} -pin "ACC1:acc#161" {A(0)} -attr @path {/sobel/sobel:core/conc#349.itm}
-load net {ACC1:acc#160.itm(1)} -pin "ACC1:acc#161" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#349.itm}
-load net {ACC1:acc#160.itm(2)} -pin "ACC1:acc#161" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#349.itm}
-load net {ACC1:acc#160.itm(3)} -pin "ACC1:acc#161" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#349.itm}
-load net {ACC1:acc#160.itm(4)} -pin "ACC1:acc#161" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#349.itm}
-load net {acc.idiv#3.sva(16)} -pin "ACC1:acc#161" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#305.itm}
-load net {ACC1:acc#159.itm(1)} -pin "ACC1:acc#161" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#305.itm}
-load net {ACC1:acc#159.itm(2)} -pin "ACC1:acc#161" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#305.itm}
-load net {ACC1:acc#159.itm(3)} -pin "ACC1:acc#161" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#305.itm}
-load net {ACC1:acc#161.itm(0)} -pin "ACC1:acc#161" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load net {ACC1:acc#161.itm(1)} -pin "ACC1:acc#161" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load net {ACC1:acc#161.itm(2)} -pin "ACC1:acc#161" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load net {ACC1:acc#161.itm(3)} -pin "ACC1:acc#161" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load net {ACC1:acc#161.itm(4)} -pin "ACC1:acc#161" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load net {ACC1:acc#161.itm(5)} -pin "ACC1:acc#161" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#161.itm}
-load inst "ACC1-1:not#9" "not(1)" "INTERFACE" -attr xrf 18696 -attr oid 842 -attr @path {/sobel/sobel:core/ACC1-1:not#9} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#3.sva(17)} -pin "ACC1-1:not#9" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#3.sva)#18.itm}
-load net {ACC1-1:not#9.itm} -pin "ACC1-1:not#9" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#9.itm}
-load inst "ACC1:acc#162" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 18697 -attr oid 843 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
-load net {PWR} -pin "ACC1:acc#162" {A(0)} -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1:acc#161.itm(1)} -pin "ACC1:acc#162" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1:acc#161.itm(2)} -pin "ACC1:acc#162" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1:acc#161.itm(3)} -pin "ACC1:acc#162" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1:acc#161.itm(4)} -pin "ACC1:acc#162" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1:acc#161.itm(5)} -pin "ACC1:acc#162" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#348.itm}
-load net {ACC1-1:not#9.itm} -pin "ACC1:acc#162" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {acc.idiv#3.sva(0)} -pin "ACC1:acc#162" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {PWR} -pin "ACC1:acc#162" {B(2)} -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {PWR} -pin "ACC1:acc#162" {B(3)} -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {GND} -pin "ACC1:acc#162" {B(4)} -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {PWR} -pin "ACC1:acc#162" {B(5)} -attr @path {/sobel/sobel:core/conc#358.itm}
-load net {ACC1:acc#162.itm(0)} -pin "ACC1:acc#162" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load net {ACC1:acc#162.itm(1)} -pin "ACC1:acc#162" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load net {ACC1:acc#162.itm(2)} -pin "ACC1:acc#162" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load net {ACC1:acc#162.itm(3)} -pin "ACC1:acc#162" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load net {ACC1:acc#162.itm(4)} -pin "ACC1:acc#162" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load net {ACC1:acc#162.itm(5)} -pin "ACC1:acc#162" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#162.itm}
-load inst "ACC1-1:not#11" "not(1)" "INTERFACE" -attr xrf 18698 -attr oid 844 -attr @path {/sobel/sobel:core/ACC1-1:not#11} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#162.itm(4)} -pin "ACC1-1:not#11" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#17.sva)#4.itm}
-load net {ACC1-1:not#11.itm} -pin "ACC1-1:not#11" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#11.itm}
-load inst "ACC1:acc#163" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18699 -attr oid 845 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#163" {A(0)} -attr @path {/sobel/sobel:core/conc#360.itm}
-load net {ACC1:acc#162.itm(3)} -pin "ACC1:acc#163" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#360.itm}
-load net {PWR} -pin "ACC1:acc#163" {B(0)} -attr @path {/sobel/sobel:core/conc#361.itm}
-load net {ACC1-1:not#11.itm} -pin "ACC1:acc#163" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#361.itm}
-load net {ACC1:acc#163.itm(0)} -pin "ACC1:acc#163" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
-load net {ACC1:acc#163.itm(1)} -pin "ACC1:acc#163" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
-load net {ACC1:acc#163.itm(2)} -pin "ACC1:acc#163" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#163.itm}
-load inst "ACC1-1:not#10" "not(1)" "INTERFACE" -attr xrf 18700 -attr oid 846 -attr @path {/sobel/sobel:core/ACC1-1:not#10} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#162.itm(2)} -pin "ACC1-1:not#10" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#17.sva)#5.itm}
-load net {ACC1-1:not#10.itm} -pin "ACC1-1:not#10" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#10.itm}
-load inst "ACC1-1:not#12" "not(1)" "INTERFACE" -attr xrf 18701 -attr oid 847 -attr @path {/sobel/sobel:core/ACC1-1:not#12} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#162.itm(5)} -pin "ACC1-1:not#12" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#17.sva)#6.itm}
-load net {ACC1-1:not#12.itm} -pin "ACC1-1:not#12" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#12.itm}
-load inst "ACC1:acc#164" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18702 -attr oid 848 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#164" {A(0)} -attr @path {/sobel/sobel:core/conc#359.itm}
-load net {ACC1:acc#163.itm(1)} -pin "ACC1:acc#164" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#359.itm}
-load net {ACC1:acc#163.itm(2)} -pin "ACC1:acc#164" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#359.itm}
-load net {ACC1-1:not#12.itm} -pin "ACC1:acc#164" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#312.itm}
-load net {ACC1-1:not#10.itm} -pin "ACC1:acc#164" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#312.itm}
-load net {ACC1:acc#164.itm(0)} -pin "ACC1:acc#164" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
-load net {ACC1:acc#164.itm(1)} -pin "ACC1:acc#164" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
-load net {ACC1:acc#164.itm(2)} -pin "ACC1:acc#164" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
-load net {ACC1:acc#164.itm(3)} -pin "ACC1:acc#164" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#164.itm}
-load inst "ACC1-1:acc#5" "add(3,-1,3,-1,3)" "INTERFACE" -attr xrf 18703 -attr oid 849 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#5} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#164.itm(1)} -pin "ACC1-1:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#15.itm}
-load net {ACC1:acc#164.itm(2)} -pin "ACC1-1:acc#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#15.itm}
-load net {ACC1:acc#164.itm(3)} -pin "ACC1-1:acc#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#15.itm}
-load net {ACC1:acc#162.itm(1)} -pin "ACC1-1:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#362.itm}
-load net {GND} -pin "ACC1-1:acc#5" {B(1)} -attr @path {/sobel/sobel:core/conc#362.itm}
-load net {PWR} -pin "ACC1-1:acc#5" {B(2)} -attr @path {/sobel/sobel:core/conc#362.itm}
-load net {acc.imod#19.sva(0)} -pin "ACC1-1:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#19.sva}
-load net {acc.imod#19.sva(1)} -pin "ACC1-1:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#19.sva}
-load net {acc.imod#19.sva(2)} -pin "ACC1-1:acc#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#19.sva}
-load inst "ACC1-3:not#41" "not(1)" "INTERFACE" -attr xrf 18704 -attr oid 850 -attr @path {/sobel/sobel:core/ACC1-3:not#41} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(9)} -pin "ACC1-3:not#41" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#5.itm}
-load net {ACC1-3:not#41.itm} -pin "ACC1-3:not#41" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#41.itm}
-load inst "ACC1:acc#193" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18705 -attr oid 851 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#193" {A(0)} -attr @path {/sobel/sobel:core/conc#367.itm}
-load net {acc.idiv#2.sva(8)} -pin "ACC1:acc#193" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#367.itm}
-load net {PWR} -pin "ACC1:acc#193" {B(0)} -attr @path {/sobel/sobel:core/conc#368.itm}
-load net {ACC1-3:not#41.itm} -pin "ACC1:acc#193" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#368.itm}
-load net {ACC1:acc#193.itm(0)} -pin "ACC1:acc#193" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
-load net {ACC1:acc#193.itm(1)} -pin "ACC1:acc#193" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
-load net {ACC1:acc#193.itm(2)} -pin "ACC1:acc#193" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#193.itm}
-load inst "ACC1-3:not#37" "not(1)" "INTERFACE" -attr xrf 18706 -attr oid 852 -attr @path {/sobel/sobel:core/ACC1-3:not#37} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(1)} -pin "ACC1-3:not#37" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#14.itm}
-load net {ACC1-3:not#37.itm} -pin "ACC1-3:not#37" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#37.itm}
-load inst "ACC1-3:not#43" "not(1)" "INTERFACE" -attr xrf 18707 -attr oid 853 -attr @path {/sobel/sobel:core/ACC1-3:not#43} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(13)} -pin "ACC1-3:not#43" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#11.itm}
-load net {ACC1-3:not#43.itm} -pin "ACC1-3:not#43" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#43.itm}
-load inst "ACC1:acc#197" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18708 -attr oid 854 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#197" {A(0)} -attr @path {/sobel/sobel:core/conc#366.itm}
-load net {ACC1:acc#193.itm(1)} -pin "ACC1:acc#197" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#366.itm}
-load net {ACC1:acc#193.itm(2)} -pin "ACC1:acc#197" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#366.itm}
-load net {ACC1-3:not#43.itm} -pin "ACC1:acc#197" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#377.itm}
-load net {ACC1-3:not#37.itm} -pin "ACC1:acc#197" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#377.itm}
-load net {ACC1:acc#197.itm(0)} -pin "ACC1:acc#197" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.itm}
-load net {ACC1:acc#197.itm(1)} -pin "ACC1:acc#197" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.itm}
-load net {ACC1:acc#197.itm(2)} -pin "ACC1:acc#197" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.itm}
-load net {ACC1:acc#197.itm(3)} -pin "ACC1:acc#197" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#197.itm}
-load inst "ACC1-3:not#38" "not(1)" "INTERFACE" -attr xrf 18709 -attr oid 855 -attr @path {/sobel/sobel:core/ACC1-3:not#38} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(3)} -pin "ACC1-3:not#38" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#9.itm}
-load net {ACC1-3:not#38.itm} -pin "ACC1-3:not#38" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#38.itm}
-load inst "ACC1:acc#196" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18710 -attr oid 856 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#196} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#196" {A(0)} -attr @path {/sobel/sobel:core/conc#369.itm}
-load net {acc.idiv#2.sva(2)} -pin "ACC1:acc#196" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#369.itm}
-load net {acc.idiv#2.sva(12)} -pin "ACC1:acc#196" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#375.itm}
-load net {ACC1-3:not#38.itm} -pin "ACC1:acc#196" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#375.itm}
-load net {ACC1:acc#196.itm(0)} -pin "ACC1:acc#196" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#196.itm}
-load net {ACC1:acc#196.itm(1)} -pin "ACC1:acc#196" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#196.itm}
-load net {ACC1:acc#196.itm(2)} -pin "ACC1:acc#196" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#196.itm}
-load inst "ACC1-3:not#44" "not(1)" "INTERFACE" -attr xrf 18711 -attr oid 857 -attr @path {/sobel/sobel:core/ACC1-3:not#44} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(15)} -pin "ACC1-3:not#44" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#12.itm}
-load net {ACC1-3:not#44.itm} -pin "ACC1-3:not#44" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#44.itm}
-load inst "ACC1:acc#199" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 18712 -attr oid 858 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {PWR} -pin "ACC1:acc#199" {A(0)} -attr @path {/sobel/sobel:core/conc#365.itm}
-load net {ACC1:acc#197.itm(1)} -pin "ACC1:acc#199" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#365.itm}
-load net {ACC1:acc#197.itm(2)} -pin "ACC1:acc#199" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#365.itm}
-load net {ACC1:acc#197.itm(3)} -pin "ACC1:acc#199" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#365.itm}
-load net {ACC1-3:not#44.itm} -pin "ACC1:acc#199" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#381.itm}
-load net {ACC1:acc#196.itm(1)} -pin "ACC1:acc#199" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#381.itm}
-load net {ACC1:acc#196.itm(2)} -pin "ACC1:acc#199" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#381.itm}
-load net {ACC1:acc#199.itm(0)} -pin "ACC1:acc#199" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
-load net {ACC1:acc#199.itm(1)} -pin "ACC1:acc#199" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
-load net {ACC1:acc#199.itm(2)} -pin "ACC1:acc#199" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
-load net {ACC1:acc#199.itm(3)} -pin "ACC1:acc#199" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
-load net {ACC1:acc#199.itm(4)} -pin "ACC1:acc#199" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#199.itm}
-load inst "ACC1-3:not#39" "not(1)" "INTERFACE" -attr xrf 18713 -attr oid 859 -attr @path {/sobel/sobel:core/ACC1-3:not#39} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(5)} -pin "ACC1-3:not#39" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#18.itm}
-load net {ACC1-3:not#39.itm} -pin "ACC1-3:not#39" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#39.itm}
-load inst "ACC1-3:not#42" "not(1)" "INTERFACE" -attr xrf 18714 -attr oid 860 -attr @path {/sobel/sobel:core/ACC1-3:not#42} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(11)} -pin "ACC1-3:not#42" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#10.itm}
-load net {ACC1-3:not#42.itm} -pin "ACC1-3:not#42" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#42.itm}
-load inst "ACC1:acc#195" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18715 -attr oid 861 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#195" {A(0)} -attr @path {/sobel/sobel:core/conc#371.itm}
-load net {acc.idiv#2.sva(4)} -pin "ACC1:acc#195" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#371.itm}
-load net {ACC1-3:not#42.itm} -pin "ACC1:acc#195" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#373.itm}
-load net {ACC1-3:not#39.itm} -pin "ACC1:acc#195" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#373.itm}
-load net {ACC1:acc#195.itm(0)} -pin "ACC1:acc#195" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
-load net {ACC1:acc#195.itm(1)} -pin "ACC1:acc#195" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
-load net {ACC1:acc#195.itm(2)} -pin "ACC1:acc#195" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#195.itm}
-load inst "ACC1-3:not#40" "not(1)" "INTERFACE" -attr xrf 18716 -attr oid 862 -attr @path {/sobel/sobel:core/ACC1-3:not#40} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(7)} -pin "ACC1-3:not#40" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva).itm}
-load net {ACC1-3:not#40.itm} -pin "ACC1-3:not#40" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#40.itm}
-load inst "ACC1:acc#194" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18717 -attr oid 863 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#194" {A(0)} -attr @path {/sobel/sobel:core/conc#372.itm}
-load net {acc.idiv#2.sva(6)} -pin "ACC1:acc#194" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#372.itm}
-load net {acc.idiv#2.sva(10)} -pin "ACC1:acc#194" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#371.itm}
-load net {ACC1-3:not#40.itm} -pin "ACC1:acc#194" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#371.itm}
-load net {ACC1:acc#194.itm(0)} -pin "ACC1:acc#194" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
-load net {ACC1:acc#194.itm(1)} -pin "ACC1:acc#194" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
-load net {ACC1:acc#194.itm(2)} -pin "ACC1:acc#194" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#194.itm}
-load inst "ACC1:acc#198" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18718 -attr oid 864 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#198" {A(0)} -attr @path {/sobel/sobel:core/conc#370.itm}
-load net {ACC1:acc#195.itm(1)} -pin "ACC1:acc#198" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#370.itm}
-load net {ACC1:acc#195.itm(2)} -pin "ACC1:acc#198" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#370.itm}
-load net {acc.idiv#2.sva(14)} -pin "ACC1:acc#198" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#379.itm}
-load net {ACC1:acc#194.itm(1)} -pin "ACC1:acc#198" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#379.itm}
-load net {ACC1:acc#194.itm(2)} -pin "ACC1:acc#198" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#379.itm}
-load net {ACC1:acc#198.itm(0)} -pin "ACC1:acc#198" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
-load net {ACC1:acc#198.itm(1)} -pin "ACC1:acc#198" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
-load net {ACC1:acc#198.itm(2)} -pin "ACC1:acc#198" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
-load net {ACC1:acc#198.itm(3)} -pin "ACC1:acc#198" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#198.itm}
-load inst "ACC1:acc#200" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 18719 -attr oid 865 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {PWR} -pin "ACC1:acc#200" {A(0)} -attr @path {/sobel/sobel:core/conc#364.itm}
-load net {ACC1:acc#199.itm(1)} -pin "ACC1:acc#200" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#364.itm}
-load net {ACC1:acc#199.itm(2)} -pin "ACC1:acc#200" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#364.itm}
-load net {ACC1:acc#199.itm(3)} -pin "ACC1:acc#200" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#364.itm}
-load net {ACC1:acc#199.itm(4)} -pin "ACC1:acc#200" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#364.itm}
-load net {acc.idiv#2.sva(16)} -pin "ACC1:acc#200" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#383.itm}
-load net {ACC1:acc#198.itm(1)} -pin "ACC1:acc#200" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#383.itm}
-load net {ACC1:acc#198.itm(2)} -pin "ACC1:acc#200" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#383.itm}
-load net {ACC1:acc#198.itm(3)} -pin "ACC1:acc#200" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#383.itm}
-load net {ACC1:acc#200.itm(0)} -pin "ACC1:acc#200" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load net {ACC1:acc#200.itm(1)} -pin "ACC1:acc#200" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load net {ACC1:acc#200.itm(2)} -pin "ACC1:acc#200" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load net {ACC1:acc#200.itm(3)} -pin "ACC1:acc#200" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load net {ACC1:acc#200.itm(4)} -pin "ACC1:acc#200" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load net {ACC1:acc#200.itm(5)} -pin "ACC1:acc#200" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#200.itm}
-load inst "ACC1-3:not#45" "not(1)" "INTERFACE" -attr xrf 18720 -attr oid 866 -attr @path {/sobel/sobel:core/ACC1-3:not#45} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#2.sva(17)} -pin "ACC1-3:not#45" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#2.sva)#13.itm}
-load net {ACC1-3:not#45.itm} -pin "ACC1-3:not#45" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#45.itm}
-load inst "ACC1:acc#201" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 18721 -attr oid 867 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
-load net {PWR} -pin "ACC1:acc#201" {A(0)} -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1:acc#200.itm(1)} -pin "ACC1:acc#201" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1:acc#200.itm(2)} -pin "ACC1:acc#201" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1:acc#200.itm(3)} -pin "ACC1:acc#201" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1:acc#200.itm(4)} -pin "ACC1:acc#201" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1:acc#200.itm(5)} -pin "ACC1:acc#201" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#363.itm}
-load net {ACC1-3:not#45.itm} -pin "ACC1:acc#201" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {acc.idiv#2.sva(0)} -pin "ACC1:acc#201" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {PWR} -pin "ACC1:acc#201" {B(2)} -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {PWR} -pin "ACC1:acc#201" {B(3)} -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {GND} -pin "ACC1:acc#201" {B(4)} -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {PWR} -pin "ACC1:acc#201" {B(5)} -attr @path {/sobel/sobel:core/conc#373.itm}
-load net {ACC1:acc#201.itm(0)} -pin "ACC1:acc#201" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load net {ACC1:acc#201.itm(1)} -pin "ACC1:acc#201" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load net {ACC1:acc#201.itm(2)} -pin "ACC1:acc#201" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load net {ACC1:acc#201.itm(3)} -pin "ACC1:acc#201" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load net {ACC1:acc#201.itm(4)} -pin "ACC1:acc#201" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load net {ACC1:acc#201.itm(5)} -pin "ACC1:acc#201" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#201.itm}
-load inst "ACC1-1:not#41" "not(1)" "INTERFACE" -attr xrf 18722 -attr oid 868 -attr @path {/sobel/sobel:core/ACC1-1:not#41} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(9)} -pin "ACC1-1:not#41" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#1.itm}
-load net {ACC1-1:not#41.itm} -pin "ACC1-1:not#41" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#41.itm}
-load inst "ACC1:acc#166" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18723 -attr oid 869 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#166" {A(0)} -attr @path {/sobel/sobel:core/conc#378.itm}
-load net {acc.idiv#7.sva(8)} -pin "ACC1:acc#166" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#378.itm}
-load net {PWR} -pin "ACC1:acc#166" {B(0)} -attr @path {/sobel/sobel:core/conc#379.itm}
-load net {ACC1-1:not#41.itm} -pin "ACC1:acc#166" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#379.itm}
-load net {ACC1:acc#166.itm(0)} -pin "ACC1:acc#166" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
-load net {ACC1:acc#166.itm(1)} -pin "ACC1:acc#166" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
-load net {ACC1:acc#166.itm(2)} -pin "ACC1:acc#166" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#166.itm}
-load inst "ACC1-1:not#37" "not(1)" "INTERFACE" -attr xrf 18724 -attr oid 870 -attr @path {/sobel/sobel:core/ACC1-1:not#37} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(1)} -pin "ACC1-1:not#37" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#6.itm}
-load net {ACC1-1:not#37.itm} -pin "ACC1-1:not#37" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#37.itm}
-load inst "ACC1-1:not#43" "not(1)" "INTERFACE" -attr xrf 18725 -attr oid 871 -attr @path {/sobel/sobel:core/ACC1-1:not#43} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(13)} -pin "ACC1-1:not#43" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#10.itm}
-load net {ACC1-1:not#43.itm} -pin "ACC1-1:not#43" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#43.itm}
-load inst "ACC1:acc#170" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18726 -attr oid 872 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#170" {A(0)} -attr @path {/sobel/sobel:core/conc#377.itm}
-load net {ACC1:acc#166.itm(1)} -pin "ACC1:acc#170" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#377.itm}
-load net {ACC1:acc#166.itm(2)} -pin "ACC1:acc#170" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#377.itm}
-load net {ACC1-1:not#43.itm} -pin "ACC1:acc#170" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#323.itm}
-load net {ACC1-1:not#37.itm} -pin "ACC1:acc#170" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#323.itm}
-load net {ACC1:acc#170.itm(0)} -pin "ACC1:acc#170" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
-load net {ACC1:acc#170.itm(1)} -pin "ACC1:acc#170" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
-load net {ACC1:acc#170.itm(2)} -pin "ACC1:acc#170" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
-load net {ACC1:acc#170.itm(3)} -pin "ACC1:acc#170" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#170.itm}
-load inst "ACC1-1:not#38" "not(1)" "INTERFACE" -attr xrf 18727 -attr oid 873 -attr @path {/sobel/sobel:core/ACC1-1:not#38} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(3)} -pin "ACC1-1:not#38" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#8.itm}
-load net {ACC1-1:not#38.itm} -pin "ACC1-1:not#38" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#38.itm}
-load inst "ACC1:acc#169" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18728 -attr oid 874 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#169" {A(0)} -attr @path {/sobel/sobel:core/conc#380.itm}
-load net {acc.idiv#7.sva(2)} -pin "ACC1:acc#169" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#380.itm}
-load net {acc.idiv#7.sva(12)} -pin "ACC1:acc#169" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#321.itm}
-load net {ACC1-1:not#38.itm} -pin "ACC1:acc#169" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#321.itm}
-load net {ACC1:acc#169.itm(0)} -pin "ACC1:acc#169" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
-load net {ACC1:acc#169.itm(1)} -pin "ACC1:acc#169" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
-load net {ACC1:acc#169.itm(2)} -pin "ACC1:acc#169" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#169.itm}
-load inst "ACC1-1:not#44" "not(1)" "INTERFACE" -attr xrf 18729 -attr oid 875 -attr @path {/sobel/sobel:core/ACC1-1:not#44} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(15)} -pin "ACC1-1:not#44" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#13.itm}
-load net {ACC1-1:not#44.itm} -pin "ACC1-1:not#44" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#44.itm}
-load inst "ACC1:acc#172" "add(4,0,3,0,5)" "INTERFACE" -attr xrf 18730 -attr oid 876 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172} -attr area 5.293382 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(4,0,4,0,5)"
-load net {PWR} -pin "ACC1:acc#172" {A(0)} -attr @path {/sobel/sobel:core/conc#376.itm}
-load net {ACC1:acc#170.itm(1)} -pin "ACC1:acc#172" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#376.itm}
-load net {ACC1:acc#170.itm(2)} -pin "ACC1:acc#172" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#376.itm}
-load net {ACC1:acc#170.itm(3)} -pin "ACC1:acc#172" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#376.itm}
-load net {ACC1-1:not#44.itm} -pin "ACC1:acc#172" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#327.itm}
-load net {ACC1:acc#169.itm(1)} -pin "ACC1:acc#172" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#327.itm}
-load net {ACC1:acc#169.itm(2)} -pin "ACC1:acc#172" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#327.itm}
-load net {ACC1:acc#172.itm(0)} -pin "ACC1:acc#172" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
-load net {ACC1:acc#172.itm(1)} -pin "ACC1:acc#172" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
-load net {ACC1:acc#172.itm(2)} -pin "ACC1:acc#172" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
-load net {ACC1:acc#172.itm(3)} -pin "ACC1:acc#172" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
-load net {ACC1:acc#172.itm(4)} -pin "ACC1:acc#172" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#172.itm}
-load inst "ACC1-1:not#39" "not(1)" "INTERFACE" -attr xrf 18731 -attr oid 877 -attr @path {/sobel/sobel:core/ACC1-1:not#39} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(5)} -pin "ACC1-1:not#39" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#5.itm}
-load net {ACC1-1:not#39.itm} -pin "ACC1-1:not#39" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#39.itm}
-load inst "ACC1-1:not#42" "not(1)" "INTERFACE" -attr xrf 18732 -attr oid 878 -attr @path {/sobel/sobel:core/ACC1-1:not#42} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(11)} -pin "ACC1-1:not#42" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#9.itm}
-load net {ACC1-1:not#42.itm} -pin "ACC1-1:not#42" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#42.itm}
-load inst "ACC1:acc#168" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18733 -attr oid 879 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#168" {A(0)} -attr @path {/sobel/sobel:core/conc#382.itm}
-load net {acc.idiv#7.sva(4)} -pin "ACC1:acc#168" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#382.itm}
-load net {ACC1-1:not#42.itm} -pin "ACC1:acc#168" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#319.itm}
-load net {ACC1-1:not#39.itm} -pin "ACC1:acc#168" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#319.itm}
-load net {ACC1:acc#168.itm(0)} -pin "ACC1:acc#168" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
-load net {ACC1:acc#168.itm(1)} -pin "ACC1:acc#168" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
-load net {ACC1:acc#168.itm(2)} -pin "ACC1:acc#168" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#168.itm}
-load inst "ACC1-1:not#40" "not(1)" "INTERFACE" -attr xrf 18734 -attr oid 880 -attr @path {/sobel/sobel:core/ACC1-1:not#40} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(7)} -pin "ACC1-1:not#40" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#2.itm}
-load net {ACC1-1:not#40.itm} -pin "ACC1-1:not#40" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#40.itm}
-load inst "ACC1:acc#167" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18735 -attr oid 881 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#167" {A(0)} -attr @path {/sobel/sobel:core/conc#383.itm}
-load net {acc.idiv#7.sva(6)} -pin "ACC1:acc#167" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#383.itm}
-load net {acc.idiv#7.sva(10)} -pin "ACC1:acc#167" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#317.itm}
-load net {ACC1-1:not#40.itm} -pin "ACC1:acc#167" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#317.itm}
-load net {ACC1:acc#167.itm(0)} -pin "ACC1:acc#167" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
-load net {ACC1:acc#167.itm(1)} -pin "ACC1:acc#167" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
-load net {ACC1:acc#167.itm(2)} -pin "ACC1:acc#167" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#167.itm}
-load inst "ACC1:acc#171" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18736 -attr oid 882 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#171" {A(0)} -attr @path {/sobel/sobel:core/conc#381.itm}
-load net {ACC1:acc#168.itm(1)} -pin "ACC1:acc#171" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#381.itm}
-load net {ACC1:acc#168.itm(2)} -pin "ACC1:acc#171" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#381.itm}
-load net {acc.idiv#7.sva(14)} -pin "ACC1:acc#171" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#325.itm}
-load net {ACC1:acc#167.itm(1)} -pin "ACC1:acc#171" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#325.itm}
-load net {ACC1:acc#167.itm(2)} -pin "ACC1:acc#171" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#325.itm}
-load net {ACC1:acc#171.itm(0)} -pin "ACC1:acc#171" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
-load net {ACC1:acc#171.itm(1)} -pin "ACC1:acc#171" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
-load net {ACC1:acc#171.itm(2)} -pin "ACC1:acc#171" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
-load net {ACC1:acc#171.itm(3)} -pin "ACC1:acc#171" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#171.itm}
-load inst "ACC1:acc#173" "add(5,0,4,0,6)" "INTERFACE" -attr xrf 18737 -attr oid 883 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173} -attr area 6.284690 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(5,0,5,0,6)"
-load net {PWR} -pin "ACC1:acc#173" {A(0)} -attr @path {/sobel/sobel:core/conc#375.itm}
-load net {ACC1:acc#172.itm(1)} -pin "ACC1:acc#173" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#375.itm}
-load net {ACC1:acc#172.itm(2)} -pin "ACC1:acc#173" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#375.itm}
-load net {ACC1:acc#172.itm(3)} -pin "ACC1:acc#173" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#375.itm}
-load net {ACC1:acc#172.itm(4)} -pin "ACC1:acc#173" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#375.itm}
-load net {acc.idiv#7.sva(16)} -pin "ACC1:acc#173" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#329.itm}
-load net {ACC1:acc#171.itm(1)} -pin "ACC1:acc#173" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#329.itm}
-load net {ACC1:acc#171.itm(2)} -pin "ACC1:acc#173" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#329.itm}
-load net {ACC1:acc#171.itm(3)} -pin "ACC1:acc#173" {B(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#329.itm}
-load net {ACC1:acc#173.itm(0)} -pin "ACC1:acc#173" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load net {ACC1:acc#173.itm(1)} -pin "ACC1:acc#173" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load net {ACC1:acc#173.itm(2)} -pin "ACC1:acc#173" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load net {ACC1:acc#173.itm(3)} -pin "ACC1:acc#173" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load net {ACC1:acc#173.itm(4)} -pin "ACC1:acc#173" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load net {ACC1:acc#173.itm(5)} -pin "ACC1:acc#173" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#173.itm}
-load inst "ACC1-1:not#45" "not(1)" "INTERFACE" -attr xrf 18738 -attr oid 884 -attr @path {/sobel/sobel:core/ACC1-1:not#45} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.idiv#7.sva(17)} -pin "ACC1-1:not#45" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.idiv#7.sva)#12.itm}
-load net {ACC1-1:not#45.itm} -pin "ACC1-1:not#45" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#45.itm}
-load inst "ACC1:acc#174" "add(6,-1,6,-1,6)" "INTERFACE" -attr xrf 18739 -attr oid 885 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174} -attr area 7.275998 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(6,0,6,0,6)"
-load net {PWR} -pin "ACC1:acc#174" {A(0)} -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1:acc#173.itm(1)} -pin "ACC1:acc#174" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1:acc#173.itm(2)} -pin "ACC1:acc#174" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1:acc#173.itm(3)} -pin "ACC1:acc#174" {A(3)} -attr vt d -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1:acc#173.itm(4)} -pin "ACC1:acc#174" {A(4)} -attr vt d -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1:acc#173.itm(5)} -pin "ACC1:acc#174" {A(5)} -attr vt d -attr @path {/sobel/sobel:core/conc#374.itm}
-load net {ACC1-1:not#45.itm} -pin "ACC1:acc#174" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {acc.idiv#7.sva(0)} -pin "ACC1:acc#174" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {PWR} -pin "ACC1:acc#174" {B(2)} -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {PWR} -pin "ACC1:acc#174" {B(3)} -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {GND} -pin "ACC1:acc#174" {B(4)} -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {PWR} -pin "ACC1:acc#174" {B(5)} -attr @path {/sobel/sobel:core/conc#384.itm}
-load net {ACC1:acc#174.itm(0)} -pin "ACC1:acc#174" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load net {ACC1:acc#174.itm(1)} -pin "ACC1:acc#174" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load net {ACC1:acc#174.itm(2)} -pin "ACC1:acc#174" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load net {ACC1:acc#174.itm(3)} -pin "ACC1:acc#174" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load net {ACC1:acc#174.itm(4)} -pin "ACC1:acc#174" {Z(4)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load net {ACC1:acc#174.itm(5)} -pin "ACC1:acc#174" {Z(5)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#174.itm}
-load inst "ACC1-3:not#47" "not(1)" "INTERFACE" -attr xrf 18740 -attr oid 886 -attr @path {/sobel/sobel:core/ACC1-3:not#47} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#201.itm(4)} -pin "ACC1-3:not#47" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#4.itm}
-load net {ACC1-3:not#47.itm} -pin "ACC1-3:not#47" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#47.itm}
-load inst "ACC1:acc#202" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18741 -attr oid 887 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#202" {A(0)} -attr @path {/sobel/sobel:core/conc#386.itm}
-load net {ACC1:acc#201.itm(3)} -pin "ACC1:acc#202" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#386.itm}
-load net {PWR} -pin "ACC1:acc#202" {B(0)} -attr @path {/sobel/sobel:core/conc#387.itm}
-load net {ACC1-3:not#47.itm} -pin "ACC1:acc#202" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#387.itm}
-load net {ACC1:acc#202.itm(0)} -pin "ACC1:acc#202" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
-load net {ACC1:acc#202.itm(1)} -pin "ACC1:acc#202" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
-load net {ACC1:acc#202.itm(2)} -pin "ACC1:acc#202" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#202.itm}
-load inst "ACC1-3:not#46" "not(1)" "INTERFACE" -attr xrf 18742 -attr oid 888 -attr @path {/sobel/sobel:core/ACC1-3:not#46} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#201.itm(2)} -pin "ACC1-3:not#46" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#5.itm}
-load net {ACC1-3:not#46.itm} -pin "ACC1-3:not#46" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#46.itm}
-load inst "ACC1-3:not#48" "not(1)" "INTERFACE" -attr xrf 18743 -attr oid 889 -attr @path {/sobel/sobel:core/ACC1-3:not#48} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#201.itm(5)} -pin "ACC1-3:not#48" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#6.sva)#6.itm}
-load net {ACC1-3:not#48.itm} -pin "ACC1-3:not#48" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#48.itm}
-load inst "ACC1:acc#203" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18744 -attr oid 890 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#203" {A(0)} -attr @path {/sobel/sobel:core/conc#385.itm}
-load net {ACC1:acc#202.itm(1)} -pin "ACC1:acc#203" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#385.itm}
-load net {ACC1:acc#202.itm(2)} -pin "ACC1:acc#203" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#385.itm}
-load net {ACC1-3:not#48.itm} -pin "ACC1:acc#203" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#390.itm}
-load net {ACC1-3:not#46.itm} -pin "ACC1:acc#203" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#390.itm}
-load net {ACC1:acc#203.itm(0)} -pin "ACC1:acc#203" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
-load net {ACC1:acc#203.itm(1)} -pin "ACC1:acc#203" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
-load net {ACC1:acc#203.itm(2)} -pin "ACC1:acc#203" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
-load net {ACC1:acc#203.itm(3)} -pin "ACC1:acc#203" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#203.itm}
-load inst "ACC1-3:acc#28" "add(3,-1,3,-1,3)" "INTERFACE" -attr xrf 18745 -attr oid 891 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#28} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#203.itm(1)} -pin "ACC1-3:acc#28" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
-load net {ACC1:acc#203.itm(2)} -pin "ACC1-3:acc#28" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
-load net {ACC1:acc#203.itm(3)} -pin "ACC1-3:acc#28" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#51.itm}
-load net {ACC1:acc#201.itm(1)} -pin "ACC1-3:acc#28" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#388.itm}
-load net {GND} -pin "ACC1-3:acc#28" {B(1)} -attr @path {/sobel/sobel:core/conc#388.itm}
-load net {PWR} -pin "ACC1-3:acc#28" {B(2)} -attr @path {/sobel/sobel:core/conc#388.itm}
-load net {acc.imod#7.sva(0)} -pin "ACC1-3:acc#28" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.sva}
-load net {acc.imod#7.sva(1)} -pin "ACC1-3:acc#28" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.sva}
-load net {acc.imod#7.sva(2)} -pin "ACC1-3:acc#28" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#7.sva}
-load inst "ACC1:not#141" "not(1)" "INTERFACE" -attr xrf 18746 -attr oid 892 -attr @path {/sobel/sobel:core/ACC1:not#141} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#174.itm(5)} -pin "ACC1:not#141" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#25.sva).itm}
-load net {ACC1:not#141.itm} -pin "ACC1:not#141" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#141.itm}
-load inst "ACC1:not#135" "not(1)" "INTERFACE" -attr xrf 18747 -attr oid 893 -attr @path {/sobel/sobel:core/ACC1:not#135} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#189.itm(5)} -pin "ACC1:not#135" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#2.itm}
-load net {ACC1:not#135.itm} -pin "ACC1:not#135" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#135.itm}
-load inst "ACC1:acc#205" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18748 -attr oid 894 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {ACC1:not#135.itm} -pin "ACC1:acc#205" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#270.itm}
-load net {ACC1:not#141.itm} -pin "ACC1:acc#205" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#270.itm}
-load net {PWR} -pin "ACC1:acc#205" {B(0)} -attr @path {/sobel/sobel:core/conc#389.itm}
-load net {acc.imod#27.sva(1)} -pin "ACC1:acc#205" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#389.itm}
-load net {ACC1:acc#205.itm(0)} -pin "ACC1:acc#205" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load net {ACC1:acc#205.itm(1)} -pin "ACC1:acc#205" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load net {ACC1:acc#205.itm(2)} -pin "ACC1:acc#205" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load inst "ACC1:not#123" "not(1)" "INTERFACE" -attr xrf 18749 -attr oid 895 -attr @path {/sobel/sobel:core/ACC1:not#123} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#27.sva(2)} -pin "ACC1:not#123" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#27.sva)#1.itm}
-load net {ACC1:not#123.itm} -pin "ACC1:not#123" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#123.itm}
-load inst "ACC1:not#124" "not(1)" "INTERFACE" -attr xrf 18750 -attr oid 896 -attr @path {/sobel/sobel:core/ACC1:not#124} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#1.sva(2)} -pin "ACC1:not#124" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#1.sva)#1.itm}
-load net {ACC1:not#124.itm} -pin "ACC1:not#124" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#124.itm}
-load inst "ACC1-1:not#49" "not(1)" "INTERFACE" -attr xrf 18751 -attr oid 897 -attr @path {/sobel/sobel:core/ACC1-1:not#49} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#27.sva(1)} -pin "ACC1-1:not#49" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#27.sva).itm}
-load net {ACC1-1:not#49.itm} -pin "ACC1-1:not#49" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#49.itm}
-load inst "ACC1-1:not#50" "not(1)" "INTERFACE" -attr xrf 18752 -attr oid 898 -attr @path {/sobel/sobel:core/ACC1-1:not#50} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#27.sva(2)} -pin "ACC1-1:not#50" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#27.sva)#3.itm}
-load net {ACC1-1:not#50.itm} -pin "ACC1-1:not#50" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#50.itm}
-load inst "ACC1:acc#283" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 18753 -attr oid 899 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#283" {A(0)} -attr @path {/sobel/sobel:core/conc#390.itm}
-load net {acc.imod#27.sva(0)} -pin "ACC1:acc#283" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#390.itm}
-load net {PWR} -pin "ACC1:acc#283" {A(2)} -attr @path {/sobel/sobel:core/conc#390.itm}
-load net {ACC1-1:not#50.itm} -pin "ACC1:acc#283" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#397.itm}
-load net {ACC1-1:not#49.itm} -pin "ACC1:acc#283" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#397.itm}
-load net {ACC1:acc#283.itm(0)} -pin "ACC1:acc#283" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
-load net {ACC1:acc#283.itm(1)} -pin "ACC1:acc#283" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
-load net {ACC1:acc#283.itm(2)} -pin "ACC1:acc#283" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#283.itm}
-load inst "ACC1:not#125" "not(1)" "INTERFACE" -attr xrf 18754 -attr oid 900 -attr @path {/sobel/sobel:core/ACC1:not#125} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#283.itm(2)} -pin "ACC1:not#125" {A(0)} -attr @path {/sobel/sobel:core/ACC1:slc#53.itm}
-load net {ACC1:not#125.itm} -pin "ACC1:not#125" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#125.itm}
-load inst "ACC1-3:not#13" "not(1)" "INTERFACE" -attr xrf 18755 -attr oid 901 -attr @path {/sobel/sobel:core/ACC1-3:not#13} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#1.sva(1)} -pin "ACC1-3:not#13" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#1.sva)#3.itm}
-load net {ACC1-3:not#13.itm} -pin "ACC1-3:not#13" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#13.itm}
-load inst "ACC1-3:not#14" "not(1)" "INTERFACE" -attr xrf 18756 -attr oid 902 -attr @path {/sobel/sobel:core/ACC1-3:not#14} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {acc.imod#1.sva(2)} -pin "ACC1-3:not#14" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#1.sva)#4.itm}
-load net {ACC1-3:not#14.itm} -pin "ACC1-3:not#14" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#14.itm}
-load inst "ACC1:acc#284" "add(3,-1,2,0,3)" "INTERFACE" -attr xrf 18757 -attr oid 903 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#284} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#284" {A(0)} -attr @path {/sobel/sobel:core/conc#391.itm}
-load net {acc.imod#1.sva(0)} -pin "ACC1:acc#284" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#391.itm}
-load net {PWR} -pin "ACC1:acc#284" {A(2)} -attr @path {/sobel/sobel:core/conc#391.itm}
-load net {ACC1-3:not#14.itm} -pin "ACC1:acc#284" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#400.itm}
-load net {ACC1-3:not#13.itm} -pin "ACC1:acc#284" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#400.itm}
-load net {ACC1:acc#284.itm(0)} -pin "ACC1:acc#284" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
-load net {ACC1:acc#284.itm(1)} -pin "ACC1:acc#284" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
-load net {ACC1:acc#284.itm(2)} -pin "ACC1:acc#284" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#284.itm}
-load inst "ACC1:not#126" "not(1)" "INTERFACE" -attr xrf 18758 -attr oid 904 -attr @path {/sobel/sobel:core/ACC1:not#126} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#284.itm(2)} -pin "ACC1:not#126" {A(0)} -attr @path {/sobel/sobel:core/ACC1:slc#54.itm}
-load net {ACC1:not#126.itm} -pin "ACC1:not#126" {Z(0)} -attr @path {/sobel/sobel:core/ACC1:not#126.itm}
-load inst "ACC1:acc#204" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18759 -attr oid 905 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {ACC1:not#124.itm} -pin "ACC1:acc#204" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#272.itm}
-load net {ACC1:not#123.itm} -pin "ACC1:acc#204" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#272.itm}
-load net {ACC1:not#126.itm} -pin "ACC1:acc#204" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#273.itm}
-load net {ACC1:not#125.itm} -pin "ACC1:acc#204" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#273.itm}
-load net {ACC1:acc#204.itm(0)} -pin "ACC1:acc#204" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load net {ACC1:acc#204.itm(1)} -pin "ACC1:acc#204" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load net {ACC1:acc#204.itm(2)} -pin "ACC1:acc#204" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load inst "ACC1:acc#230" "add(3,0,3,0,4)" "INTERFACE" -attr xrf 18760 -attr oid 906 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#205.itm(0)} -pin "ACC1:acc#230" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load net {ACC1:acc#205.itm(1)} -pin "ACC1:acc#230" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load net {ACC1:acc#205.itm(2)} -pin "ACC1:acc#230" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#205.itm}
-load net {ACC1:acc#204.itm(0)} -pin "ACC1:acc#230" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load net {ACC1:acc#204.itm(1)} -pin "ACC1:acc#230" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load net {ACC1:acc#204.itm(2)} -pin "ACC1:acc#230" {B(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#204.itm}
-load net {ACC1:acc#230.sdt(0)} -pin "ACC1:acc#230" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.sdt}
-load net {ACC1:acc#230.sdt(1)} -pin "ACC1:acc#230" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.sdt}
-load net {ACC1:acc#230.sdt(2)} -pin "ACC1:acc#230" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.sdt}
-load net {ACC1:acc#230.sdt(3)} -pin "ACC1:acc#230" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#230.sdt}
-load inst "ACC1-3:not#11" "not(1)" "INTERFACE" -attr xrf 18761 -attr oid 907 -attr @path {/sobel/sobel:core/ACC1-3:not#11} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#189.itm(4)} -pin "ACC1-3:not#11" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#4.itm}
-load net {ACC1-3:not#11.itm} -pin "ACC1-3:not#11" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#11.itm}
-load inst "ACC1:acc#190" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18762 -attr oid 908 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#190" {A(0)} -attr @path {/sobel/sobel:core/conc#393.itm}
-load net {ACC1:acc#189.itm(3)} -pin "ACC1:acc#190" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#393.itm}
-load net {PWR} -pin "ACC1:acc#190" {B(0)} -attr @path {/sobel/sobel:core/conc#394.itm}
-load net {ACC1-3:not#11.itm} -pin "ACC1:acc#190" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#394.itm}
-load net {ACC1:acc#190.itm(0)} -pin "ACC1:acc#190" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
-load net {ACC1:acc#190.itm(1)} -pin "ACC1:acc#190" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
-load net {ACC1:acc#190.itm(2)} -pin "ACC1:acc#190" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#190.itm}
-load inst "ACC1-3:not#10" "not(1)" "INTERFACE" -attr xrf 18763 -attr oid 909 -attr @path {/sobel/sobel:core/ACC1-3:not#10} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#189.itm(2)} -pin "ACC1-3:not#10" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#5.itm}
-load net {ACC1-3:not#10.itm} -pin "ACC1-3:not#10" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#10.itm}
-load inst "ACC1-3:not#12" "not(1)" "INTERFACE" -attr xrf 18764 -attr oid 910 -attr @path {/sobel/sobel:core/ACC1-3:not#12} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#189.itm(5)} -pin "ACC1-3:not#12" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod.sva)#6.itm}
-load net {ACC1-3:not#12.itm} -pin "ACC1-3:not#12" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-3:not#12.itm}
-load inst "ACC1:acc#191" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18765 -attr oid 911 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#191" {A(0)} -attr @path {/sobel/sobel:core/conc#392.itm}
-load net {ACC1:acc#190.itm(1)} -pin "ACC1:acc#191" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#392.itm}
-load net {ACC1:acc#190.itm(2)} -pin "ACC1:acc#191" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#392.itm}
-load net {ACC1-3:not#12.itm} -pin "ACC1:acc#191" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#366.itm}
-load net {ACC1-3:not#10.itm} -pin "ACC1:acc#191" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#366.itm}
-load net {ACC1:acc#191.itm(0)} -pin "ACC1:acc#191" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
-load net {ACC1:acc#191.itm(1)} -pin "ACC1:acc#191" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
-load net {ACC1:acc#191.itm(2)} -pin "ACC1:acc#191" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
-load net {ACC1:acc#191.itm(3)} -pin "ACC1:acc#191" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#191.itm}
-load inst "ACC1-3:acc#5" "add(3,-1,3,-1,3)" "INTERFACE" -attr xrf 18766 -attr oid 912 -attr vt d -attr @path {/sobel/sobel:core/ACC1-3:acc#5} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#191.itm(1)} -pin "ACC1-3:acc#5" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
-load net {ACC1:acc#191.itm(2)} -pin "ACC1-3:acc#5" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
-load net {ACC1:acc#191.itm(3)} -pin "ACC1-3:acc#5" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#40.itm}
-load net {ACC1:acc#189.itm(1)} -pin "ACC1-3:acc#5" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#395.itm}
-load net {GND} -pin "ACC1-3:acc#5" {B(1)} -attr @path {/sobel/sobel:core/conc#395.itm}
-load net {PWR} -pin "ACC1-3:acc#5" {B(2)} -attr @path {/sobel/sobel:core/conc#395.itm}
-load net {acc.imod#1.sva(0)} -pin "ACC1-3:acc#5" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#1.sva}
-load net {acc.imod#1.sva(1)} -pin "ACC1-3:acc#5" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#1.sva}
-load net {acc.imod#1.sva(2)} -pin "ACC1-3:acc#5" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#1.sva}
-load inst "ACC1-1:not#47" "not(1)" "INTERFACE" -attr xrf 18767 -attr oid 913 -attr @path {/sobel/sobel:core/ACC1-1:not#47} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#174.itm(4)} -pin "ACC1-1:not#47" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#25.sva)#4.itm}
-load net {ACC1-1:not#47.itm} -pin "ACC1-1:not#47" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#47.itm}
-load inst "ACC1:acc#175" "add(2,0,2,0,3)" "INTERFACE" -attr xrf 18768 -attr oid 914 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175} -attr area 3.310766 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(2,0,2,0,3)"
-load net {PWR} -pin "ACC1:acc#175" {A(0)} -attr @path {/sobel/sobel:core/conc#397.itm}
-load net {ACC1:acc#174.itm(3)} -pin "ACC1:acc#175" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#397.itm}
-load net {PWR} -pin "ACC1:acc#175" {B(0)} -attr @path {/sobel/sobel:core/conc#398.itm}
-load net {ACC1-1:not#47.itm} -pin "ACC1:acc#175" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#398.itm}
-load net {ACC1:acc#175.itm(0)} -pin "ACC1:acc#175" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
-load net {ACC1:acc#175.itm(1)} -pin "ACC1:acc#175" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
-load net {ACC1:acc#175.itm(2)} -pin "ACC1:acc#175" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#175.itm}
-load inst "ACC1-1:not#46" "not(1)" "INTERFACE" -attr xrf 18769 -attr oid 915 -attr @path {/sobel/sobel:core/ACC1-1:not#46} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#174.itm(2)} -pin "ACC1-1:not#46" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#25.sva)#5.itm}
-load net {ACC1-1:not#46.itm} -pin "ACC1-1:not#46" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#46.itm}
-load inst "ACC1-1:not#48" "not(1)" "INTERFACE" -attr xrf 18770 -attr oid 916 -attr @path {/sobel/sobel:core/ACC1-1:not#48} -attr area 0.001000 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_not(1)"
-load net {ACC1:acc#174.itm(5)} -pin "ACC1-1:not#48" {A(0)} -attr @path {/sobel/sobel:core/slc(acc.imod#25.sva)#6.itm}
-load net {ACC1-1:not#48.itm} -pin "ACC1-1:not#48" {Z(0)} -attr @path {/sobel/sobel:core/ACC1-1:not#48.itm}
-load inst "ACC1:acc#176" "add(3,0,2,0,4)" "INTERFACE" -attr xrf 18771 -attr oid 917 -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {PWR} -pin "ACC1:acc#176" {A(0)} -attr @path {/sobel/sobel:core/conc#396.itm}
-load net {ACC1:acc#175.itm(1)} -pin "ACC1:acc#176" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/conc#396.itm}
-load net {ACC1:acc#175.itm(2)} -pin "ACC1:acc#176" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/conc#396.itm}
-load net {ACC1-1:not#48.itm} -pin "ACC1:acc#176" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#336.itm}
-load net {ACC1-1:not#46.itm} -pin "ACC1:acc#176" {B(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:conc#336.itm}
-load net {ACC1:acc#176.itm(0)} -pin "ACC1:acc#176" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
-load net {ACC1:acc#176.itm(1)} -pin "ACC1:acc#176" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
-load net {ACC1:acc#176.itm(2)} -pin "ACC1:acc#176" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
-load net {ACC1:acc#176.itm(3)} -pin "ACC1:acc#176" {Z(3)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:acc#176.itm}
-load inst "ACC1-1:acc#28" "add(3,-1,3,-1,3)" "INTERFACE" -attr xrf 18772 -attr oid 918 -attr vt d -attr @path {/sobel/sobel:core/ACC1-1:acc#28} -attr area 4.302074 -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_Altera-Cyclone-III-6_beh_psr.mgc_add(3,0,3,0,4)"
-load net {ACC1:acc#176.itm(1)} -pin "ACC1-1:acc#28" {A(0)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
-load net {ACC1:acc#176.itm(2)} -pin "ACC1-1:acc#28" {A(1)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
-load net {ACC1:acc#176.itm(3)} -pin "ACC1-1:acc#28" {A(2)} -attr vt d -attr @path {/sobel/sobel:core/ACC1:slc#26.itm}
-load net {ACC1:acc#174.itm(1)} -pin "ACC1-1:acc#28" {B(0)} -attr vt d -attr @path {/sobel/sobel:core/conc#399.itm}
-load net {GND} -pin "ACC1-1:acc#28" {B(1)} -attr @path {/sobel/sobel:core/conc#399.itm}
-load net {PWR} -pin "ACC1-1:acc#28" {B(2)} -attr @path {/sobel/sobel:core/conc#399.itm}
-load net {acc.imod#27.sva(0)} -pin "ACC1-1:acc#28" {Z(0)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#27.sva}
-load net {acc.imod#27.sva(1)} -pin "ACC1-1:acc#28" {Z(1)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#27.sva}
-load net {acc.imod#27.sva(2)} -pin "ACC1-1:acc#28" {Z(2)} -attr vt d -attr @path {/sobel/sobel:core/acc.imod#27.sva}
-### END MODULE
-
-module new "sobel" "orig"
-load portBus {vin:rsc.z(89:0)} input 90 {vin:rsc.z(89)} {vin:rsc.z(88)} {vin:rsc.z(87)} {vin:rsc.z(86)} {vin:rsc.z(85)} {vin:rsc.z(84)} {vin:rsc.z(83)} {vin:rsc.z(82)} {vin:rsc.z(81)} {vin:rsc.z(80)} {vin:rsc.z(79)} {vin:rsc.z(78)} {vin:rsc.z(77)} {vin:rsc.z(76)} {vin:rsc.z(75)} {vin:rsc.z(74)} {vin:rsc.z(73)} {vin:rsc.z(72)} {vin:rsc.z(71)} {vin:rsc.z(70)} {vin:rsc.z(69)} {vin:rsc.z(68)} {vin:rsc.z(67)} {vin:rsc.z(66)} {vin:rsc.z(65)} {vin:rsc.z(64)} {vin:rsc.z(63)} {vin:rsc.z(62)} {vin:rsc.z(61)} {vin:rsc.z(60)} {vin:rsc.z(59)} {vin:rsc.z(58)} {vin:rsc.z(57)} {vin:rsc.z(56)} {vin:rsc.z(55)} {vin:rsc.z(54)} {vin:rsc.z(53)} {vin:rsc.z(52)} {vin:rsc.z(51)} {vin:rsc.z(50)} {vin:rsc.z(49)} {vin:rsc.z(48)} {vin:rsc.z(47)} {vin:rsc.z(46)} {vin:rsc.z(45)} {vin:rsc.z(44)} {vin:rsc.z(43)} {vin:rsc.z(42)} {vin:rsc.z(41)} {vin:rsc.z(40)} {vin:rsc.z(39)} {vin:rsc.z(38)} {vin:rsc.z(37)} {vin:rsc.z(36)} {vin:rsc.z(35)} {vin:rsc.z(34)} {vin:rsc.z(33)} {vin:rsc.z(32)} {vin:rsc.z(31)} {vin:rsc.z(30)} {vin:rsc.z(29)} {vin:rsc.z(28)} {vin:rsc.z(27)} {vin:rsc.z(26)} {vin:rsc.z(25)} {vin:rsc.z(24)} {vin:rsc.z(23)} {vin:rsc.z(22)} {vin:rsc.z(21)} {vin:rsc.z(20)} {vin:rsc.z(19)} {vin:rsc.z(18)} {vin:rsc.z(17)} {vin:rsc.z(16)} {vin:rsc.z(15)} {vin:rsc.z(14)} {vin:rsc.z(13)} {vin:rsc.z(12)} {vin:rsc.z(11)} {vin:rsc.z(10)} {vin:rsc.z(9)} {vin:rsc.z(8)} {vin:rsc.z(7)} {vin:rsc.z(6)} {vin:rsc.z(5)} {vin:rsc.z(4)} {vin:rsc.z(3)} {vin:rsc.z(2)} {vin:rsc.z(1)} {vin:rsc.z(0)} -attr xrf 18773 -attr oid 919 -attr vt d -attr @path {/sobel/vin:rsc.z}
-load portBus {vout:rsc.z(29:0)} output 30 {vout:rsc.z(29)} {vout:rsc.z(28)} {vout:rsc.z(27)} {vout:rsc.z(26)} {vout:rsc.z(25)} {vout:rsc.z(24)} {vout:rsc.z(23)} {vout:rsc.z(22)} {vout:rsc.z(21)} {vout:rsc.z(20)} {vout:rsc.z(19)} {vout:rsc.z(18)} {vout:rsc.z(17)} {vout:rsc.z(16)} {vout:rsc.z(15)} {vout:rsc.z(14)} {vout:rsc.z(13)} {vout:rsc.z(12)} {vout:rsc.z(11)} {vout:rsc.z(10)} {vout:rsc.z(9)} {vout:rsc.z(8)} {vout:rsc.z(7)} {vout:rsc.z(6)} {vout:rsc.z(5)} {vout:rsc.z(4)} {vout:rsc.z(3)} {vout:rsc.z(2)} {vout:rsc.z(1)} {vout:rsc.z(0)} -attr xrf 18774 -attr oid 920 -attr vt d -attr @path {/sobel/vout:rsc.z}
-load port {clk} input -attr xrf 18775 -attr oid 921 -attr vt d -attr @path {/sobel/clk}
-load port {en} input -attr xrf 18776 -attr oid 922 -attr vt d -attr @path {/sobel/en}
-load port {arst_n} input -attr xrf 18777 -attr oid 923 -attr vt d -attr @path {/sobel/arst_n}
-load symbol "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" GEN boxcolor 0 \
- portBus {d(89:0)} output 90 {d(89)} {d(88)} {d(87)} {d(86)} {d(85)} {d(84)} {d(83)} {d(82)} {d(81)} {d(80)} {d(79)} {d(78)} {d(77)} {d(76)} {d(75)} {d(74)} {d(73)} {d(72)} {d(71)} {d(70)} {d(69)} {d(68)} {d(67)} {d(66)} {d(65)} {d(64)} {d(63)} {d(62)} {d(61)} {d(60)} {d(59)} {d(58)} {d(57)} {d(56)} {d(55)} {d(54)} {d(53)} {d(52)} {d(51)} {d(50)} {d(49)} {d(48)} {d(47)} {d(46)} {d(45)} {d(44)} {d(43)} {d(42)} {d(41)} {d(40)} {d(39)} {d(38)} {d(37)} {d(36)} {d(35)} {d(34)} {d(33)} {d(32)} {d(31)} {d(30)} {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
- portBus {z(89:0)} input 90 {z(89)} {z(88)} {z(87)} {z(86)} {z(85)} {z(84)} {z(83)} {z(82)} {z(81)} {z(80)} {z(79)} {z(78)} {z(77)} {z(76)} {z(75)} {z(74)} {z(73)} {z(72)} {z(71)} {z(70)} {z(69)} {z(68)} {z(67)} {z(66)} {z(65)} {z(64)} {z(63)} {z(62)} {z(61)} {z(60)} {z(59)} {z(58)} {z(57)} {z(56)} {z(55)} {z(54)} {z(53)} {z(52)} {z(51)} {z(50)} {z(49)} {z(48)} {z(47)} {z(46)} {z(45)} {z(44)} {z(43)} {z(42)} {z(41)} {z(40)} {z(39)} {z(38)} {z(37)} {z(36)} {z(35)} {z(34)} {z(33)} {z(32)} {z(31)} {z(30)} {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
-
-load symbol "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" GEN boxcolor 0 \
- portBus {d(29:0)} input 30 {d(29)} {d(28)} {d(27)} {d(26)} {d(25)} {d(24)} {d(23)} {d(22)} {d(21)} {d(20)} {d(19)} {d(18)} {d(17)} {d(16)} {d(15)} {d(14)} {d(13)} {d(12)} {d(11)} {d(10)} {d(9)} {d(8)} {d(7)} {d(6)} {d(5)} {d(4)} {d(3)} {d(2)} {d(1)} {d(0)} \
- portBus {z(29:0)} output 30 {z(29)} {z(28)} {z(27)} {z(26)} {z(25)} {z(24)} {z(23)} {z(22)} {z(21)} {z(20)} {z(19)} {z(18)} {z(17)} {z(16)} {z(15)} {z(14)} {z(13)} {z(12)} {z(11)} {z(10)} {z(9)} {z(8)} {z(7)} {z(6)} {z(5)} {z(4)} {z(3)} {z(2)} {z(1)} {z(0)} \
-
-load symbol "sobel:core" "orig" GEN \
- port {clk#1} input \
- port {en#1} input \
- port {arst_n#1} input \
- portBus {vin:rsc:mgc_in_wire.d(89:0)} input 90 {vin:rsc:mgc_in_wire.d(89)} {vin:rsc:mgc_in_wire.d(88)} {vin:rsc:mgc_in_wire.d(87)} {vin:rsc:mgc_in_wire.d(86)} {vin:rsc:mgc_in_wire.d(85)} {vin:rsc:mgc_in_wire.d(84)} {vin:rsc:mgc_in_wire.d(83)} {vin:rsc:mgc_in_wire.d(82)} {vin:rsc:mgc_in_wire.d(81)} {vin:rsc:mgc_in_wire.d(80)} {vin:rsc:mgc_in_wire.d(79)} {vin:rsc:mgc_in_wire.d(78)} {vin:rsc:mgc_in_wire.d(77)} {vin:rsc:mgc_in_wire.d(76)} {vin:rsc:mgc_in_wire.d(75)} {vin:rsc:mgc_in_wire.d(74)} {vin:rsc:mgc_in_wire.d(73)} {vin:rsc:mgc_in_wire.d(72)} {vin:rsc:mgc_in_wire.d(71)} {vin:rsc:mgc_in_wire.d(70)} {vin:rsc:mgc_in_wire.d(69)} {vin:rsc:mgc_in_wire.d(68)} {vin:rsc:mgc_in_wire.d(67)} {vin:rsc:mgc_in_wire.d(66)} {vin:rsc:mgc_in_wire.d(65)} {vin:rsc:mgc_in_wire.d(64)} {vin:rsc:mgc_in_wire.d(63)} {vin:rsc:mgc_in_wire.d(62)} {vin:rsc:mgc_in_wire.d(61)} {vin:rsc:mgc_in_wire.d(60)} {vin:rsc:mgc_in_wire.d(59)} {vin:rsc:mgc_in_wire.d(58)} {vin:rsc:mgc_in_wire.d(57)} {vin:rsc:mgc_in_wire.d(56)} {vin:rsc:mgc_in_wire.d(55)} {vin:rsc:mgc_in_wire.d(54)} {vin:rsc:mgc_in_wire.d(53)} {vin:rsc:mgc_in_wire.d(52)} {vin:rsc:mgc_in_wire.d(51)} {vin:rsc:mgc_in_wire.d(50)} {vin:rsc:mgc_in_wire.d(49)} {vin:rsc:mgc_in_wire.d(48)} {vin:rsc:mgc_in_wire.d(47)} {vin:rsc:mgc_in_wire.d(46)} {vin:rsc:mgc_in_wire.d(45)} {vin:rsc:mgc_in_wire.d(44)} {vin:rsc:mgc_in_wire.d(43)} {vin:rsc:mgc_in_wire.d(42)} {vin:rsc:mgc_in_wire.d(41)} {vin:rsc:mgc_in_wire.d(40)} {vin:rsc:mgc_in_wire.d(39)} {vin:rsc:mgc_in_wire.d(38)} {vin:rsc:mgc_in_wire.d(37)} {vin:rsc:mgc_in_wire.d(36)} {vin:rsc:mgc_in_wire.d(35)} {vin:rsc:mgc_in_wire.d(34)} {vin:rsc:mgc_in_wire.d(33)} {vin:rsc:mgc_in_wire.d(32)} {vin:rsc:mgc_in_wire.d(31)} {vin:rsc:mgc_in_wire.d(30)} {vin:rsc:mgc_in_wire.d(29)} {vin:rsc:mgc_in_wire.d(28)} {vin:rsc:mgc_in_wire.d(27)} {vin:rsc:mgc_in_wire.d(26)} {vin:rsc:mgc_in_wire.d(25)} {vin:rsc:mgc_in_wire.d(24)} {vin:rsc:mgc_in_wire.d(23)} {vin:rsc:mgc_in_wire.d(22)} {vin:rsc:mgc_in_wire.d(21)} {vin:rsc:mgc_in_wire.d(20)} {vin:rsc:mgc_in_wire.d(19)} {vin:rsc:mgc_in_wire.d(18)} {vin:rsc:mgc_in_wire.d(17)} {vin:rsc:mgc_in_wire.d(16)} {vin:rsc:mgc_in_wire.d(15)} {vin:rsc:mgc_in_wire.d(14)} {vin:rsc:mgc_in_wire.d(13)} {vin:rsc:mgc_in_wire.d(12)} {vin:rsc:mgc_in_wire.d(11)} {vin:rsc:mgc_in_wire.d(10)} {vin:rsc:mgc_in_wire.d(9)} {vin:rsc:mgc_in_wire.d(8)} {vin:rsc:mgc_in_wire.d(7)} {vin:rsc:mgc_in_wire.d(6)} {vin:rsc:mgc_in_wire.d(5)} {vin:rsc:mgc_in_wire.d(4)} {vin:rsc:mgc_in_wire.d(3)} {vin:rsc:mgc_in_wire.d(2)} {vin:rsc:mgc_in_wire.d(1)} {vin:rsc:mgc_in_wire.d(0)} \
- portBus {vout:rsc:mgc_out_stdreg.d(29:0)} output 30 {vout:rsc:mgc_out_stdreg.d(29)} {vout:rsc:mgc_out_stdreg.d(28)} {vout:rsc:mgc_out_stdreg.d(27)} {vout:rsc:mgc_out_stdreg.d(26)} {vout:rsc:mgc_out_stdreg.d(25)} {vout:rsc:mgc_out_stdreg.d(24)} {vout:rsc:mgc_out_stdreg.d(23)} {vout:rsc:mgc_out_stdreg.d(22)} {vout:rsc:mgc_out_stdreg.d(21)} {vout:rsc:mgc_out_stdreg.d(20)} {vout:rsc:mgc_out_stdreg.d(19)} {vout:rsc:mgc_out_stdreg.d(18)} {vout:rsc:mgc_out_stdreg.d(17)} {vout:rsc:mgc_out_stdreg.d(16)} {vout:rsc:mgc_out_stdreg.d(15)} {vout:rsc:mgc_out_stdreg.d(14)} {vout:rsc:mgc_out_stdreg.d(13)} {vout:rsc:mgc_out_stdreg.d(12)} {vout:rsc:mgc_out_stdreg.d(11)} {vout:rsc:mgc_out_stdreg.d(10)} {vout:rsc:mgc_out_stdreg.d(9)} {vout:rsc:mgc_out_stdreg.d(8)} {vout:rsc:mgc_out_stdreg.d(7)} {vout:rsc:mgc_out_stdreg.d(6)} {vout:rsc:mgc_out_stdreg.d(5)} {vout:rsc:mgc_out_stdreg.d(4)} {vout:rsc:mgc_out_stdreg.d(3)} {vout:rsc:mgc_out_stdreg.d(2)} {vout:rsc:mgc_out_stdreg.d(1)} {vout:rsc:mgc_out_stdreg.d(0)} \
-
-load net {vin:rsc:mgc_in_wire.d#1(0)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(1)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(2)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(3)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(4)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(5)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(6)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(7)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(8)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(9)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(10)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(11)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(12)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(13)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(14)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(15)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(16)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(17)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(18)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(19)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(20)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(21)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(22)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(23)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(24)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(25)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(26)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(27)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(28)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(29)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(30)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(31)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(32)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(33)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(34)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(35)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(36)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(37)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(38)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(39)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(40)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(41)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(42)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(43)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(44)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(45)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(46)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(47)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(48)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(49)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(50)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(51)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(52)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(53)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(54)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(55)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(56)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(57)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(58)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(59)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(60)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(61)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(62)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(63)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(64)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(65)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(66)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(67)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(68)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(69)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(70)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(71)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(72)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(73)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(74)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(75)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(76)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(77)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(78)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(79)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(80)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(81)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(82)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(83)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(84)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(85)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(86)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(87)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(88)} -attr vt d
-load net {vin:rsc:mgc_in_wire.d#1(89)} -attr vt d
-load netBundle {vin:rsc:mgc_in_wire.d#1} 90 {vin:rsc:mgc_in_wire.d#1(0)} {vin:rsc:mgc_in_wire.d#1(1)} {vin:rsc:mgc_in_wire.d#1(2)} {vin:rsc:mgc_in_wire.d#1(3)} {vin:rsc:mgc_in_wire.d#1(4)} {vin:rsc:mgc_in_wire.d#1(5)} {vin:rsc:mgc_in_wire.d#1(6)} {vin:rsc:mgc_in_wire.d#1(7)} {vin:rsc:mgc_in_wire.d#1(8)} {vin:rsc:mgc_in_wire.d#1(9)} {vin:rsc:mgc_in_wire.d#1(10)} {vin:rsc:mgc_in_wire.d#1(11)} {vin:rsc:mgc_in_wire.d#1(12)} {vin:rsc:mgc_in_wire.d#1(13)} {vin:rsc:mgc_in_wire.d#1(14)} {vin:rsc:mgc_in_wire.d#1(15)} {vin:rsc:mgc_in_wire.d#1(16)} {vin:rsc:mgc_in_wire.d#1(17)} {vin:rsc:mgc_in_wire.d#1(18)} {vin:rsc:mgc_in_wire.d#1(19)} {vin:rsc:mgc_in_wire.d#1(20)} {vin:rsc:mgc_in_wire.d#1(21)} {vin:rsc:mgc_in_wire.d#1(22)} {vin:rsc:mgc_in_wire.d#1(23)} {vin:rsc:mgc_in_wire.d#1(24)} {vin:rsc:mgc_in_wire.d#1(25)} {vin:rsc:mgc_in_wire.d#1(26)} {vin:rsc:mgc_in_wire.d#1(27)} {vin:rsc:mgc_in_wire.d#1(28)} {vin:rsc:mgc_in_wire.d#1(29)} {vin:rsc:mgc_in_wire.d#1(30)} {vin:rsc:mgc_in_wire.d#1(31)} {vin:rsc:mgc_in_wire.d#1(32)} {vin:rsc:mgc_in_wire.d#1(33)} {vin:rsc:mgc_in_wire.d#1(34)} {vin:rsc:mgc_in_wire.d#1(35)} {vin:rsc:mgc_in_wire.d#1(36)} {vin:rsc:mgc_in_wire.d#1(37)} {vin:rsc:mgc_in_wire.d#1(38)} {vin:rsc:mgc_in_wire.d#1(39)} {vin:rsc:mgc_in_wire.d#1(40)} {vin:rsc:mgc_in_wire.d#1(41)} {vin:rsc:mgc_in_wire.d#1(42)} {vin:rsc:mgc_in_wire.d#1(43)} {vin:rsc:mgc_in_wire.d#1(44)} {vin:rsc:mgc_in_wire.d#1(45)} {vin:rsc:mgc_in_wire.d#1(46)} {vin:rsc:mgc_in_wire.d#1(47)} {vin:rsc:mgc_in_wire.d#1(48)} {vin:rsc:mgc_in_wire.d#1(49)} {vin:rsc:mgc_in_wire.d#1(50)} {vin:rsc:mgc_in_wire.d#1(51)} {vin:rsc:mgc_in_wire.d#1(52)} {vin:rsc:mgc_in_wire.d#1(53)} {vin:rsc:mgc_in_wire.d#1(54)} {vin:rsc:mgc_in_wire.d#1(55)} {vin:rsc:mgc_in_wire.d#1(56)} {vin:rsc:mgc_in_wire.d#1(57)} {vin:rsc:mgc_in_wire.d#1(58)} {vin:rsc:mgc_in_wire.d#1(59)} {vin:rsc:mgc_in_wire.d#1(60)} {vin:rsc:mgc_in_wire.d#1(61)} {vin:rsc:mgc_in_wire.d#1(62)} {vin:rsc:mgc_in_wire.d#1(63)} {vin:rsc:mgc_in_wire.d#1(64)} {vin:rsc:mgc_in_wire.d#1(65)} {vin:rsc:mgc_in_wire.d#1(66)} {vin:rsc:mgc_in_wire.d#1(67)} {vin:rsc:mgc_in_wire.d#1(68)} {vin:rsc:mgc_in_wire.d#1(69)} {vin:rsc:mgc_in_wire.d#1(70)} {vin:rsc:mgc_in_wire.d#1(71)} {vin:rsc:mgc_in_wire.d#1(72)} {vin:rsc:mgc_in_wire.d#1(73)} {vin:rsc:mgc_in_wire.d#1(74)} {vin:rsc:mgc_in_wire.d#1(75)} {vin:rsc:mgc_in_wire.d#1(76)} {vin:rsc:mgc_in_wire.d#1(77)} {vin:rsc:mgc_in_wire.d#1(78)} {vin:rsc:mgc_in_wire.d#1(79)} {vin:rsc:mgc_in_wire.d#1(80)} {vin:rsc:mgc_in_wire.d#1(81)} {vin:rsc:mgc_in_wire.d#1(82)} {vin:rsc:mgc_in_wire.d#1(83)} {vin:rsc:mgc_in_wire.d#1(84)} {vin:rsc:mgc_in_wire.d#1(85)} {vin:rsc:mgc_in_wire.d#1(86)} {vin:rsc:mgc_in_wire.d#1(87)} {vin:rsc:mgc_in_wire.d#1(88)} {vin:rsc:mgc_in_wire.d#1(89)} -attr xrf 18778 -attr oid 924 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(0)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(1)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(2)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(3)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(4)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(5)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(6)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(7)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(8)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(9)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(10)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(11)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(12)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(13)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(14)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(15)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(16)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(17)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(18)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(19)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(20)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(21)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(22)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(23)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(24)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(25)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(26)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(27)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(28)} -attr vt d
-load net {vout:rsc:mgc_out_stdreg.d#1(29)} -attr vt d
-load netBundle {vout:rsc:mgc_out_stdreg.d#1} 30 {vout:rsc:mgc_out_stdreg.d#1(0)} {vout:rsc:mgc_out_stdreg.d#1(1)} {vout:rsc:mgc_out_stdreg.d#1(2)} {vout:rsc:mgc_out_stdreg.d#1(3)} {vout:rsc:mgc_out_stdreg.d#1(4)} {vout:rsc:mgc_out_stdreg.d#1(5)} {vout:rsc:mgc_out_stdreg.d#1(6)} {vout:rsc:mgc_out_stdreg.d#1(7)} {vout:rsc:mgc_out_stdreg.d#1(8)} {vout:rsc:mgc_out_stdreg.d#1(9)} {vout:rsc:mgc_out_stdreg.d#1(10)} {vout:rsc:mgc_out_stdreg.d#1(11)} {vout:rsc:mgc_out_stdreg.d#1(12)} {vout:rsc:mgc_out_stdreg.d#1(13)} {vout:rsc:mgc_out_stdreg.d#1(14)} {vout:rsc:mgc_out_stdreg.d#1(15)} {vout:rsc:mgc_out_stdreg.d#1(16)} {vout:rsc:mgc_out_stdreg.d#1(17)} {vout:rsc:mgc_out_stdreg.d#1(18)} {vout:rsc:mgc_out_stdreg.d#1(19)} {vout:rsc:mgc_out_stdreg.d#1(20)} {vout:rsc:mgc_out_stdreg.d#1(21)} {vout:rsc:mgc_out_stdreg.d#1(22)} {vout:rsc:mgc_out_stdreg.d#1(23)} {vout:rsc:mgc_out_stdreg.d#1(24)} {vout:rsc:mgc_out_stdreg.d#1(25)} {vout:rsc:mgc_out_stdreg.d#1(26)} {vout:rsc:mgc_out_stdreg.d#1(27)} {vout:rsc:mgc_out_stdreg.d#1(28)} {vout:rsc:mgc_out_stdreg.d#1(29)} -attr xrf 18779 -attr oid 925 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vin:rsc.z(0)} -attr vt d
-load net {vin:rsc.z(1)} -attr vt d
-load net {vin:rsc.z(2)} -attr vt d
-load net {vin:rsc.z(3)} -attr vt d
-load net {vin:rsc.z(4)} -attr vt d
-load net {vin:rsc.z(5)} -attr vt d
-load net {vin:rsc.z(6)} -attr vt d
-load net {vin:rsc.z(7)} -attr vt d
-load net {vin:rsc.z(8)} -attr vt d
-load net {vin:rsc.z(9)} -attr vt d
-load net {vin:rsc.z(10)} -attr vt d
-load net {vin:rsc.z(11)} -attr vt d
-load net {vin:rsc.z(12)} -attr vt d
-load net {vin:rsc.z(13)} -attr vt d
-load net {vin:rsc.z(14)} -attr vt d
-load net {vin:rsc.z(15)} -attr vt d
-load net {vin:rsc.z(16)} -attr vt d
-load net {vin:rsc.z(17)} -attr vt d
-load net {vin:rsc.z(18)} -attr vt d
-load net {vin:rsc.z(19)} -attr vt d
-load net {vin:rsc.z(20)} -attr vt d
-load net {vin:rsc.z(21)} -attr vt d
-load net {vin:rsc.z(22)} -attr vt d
-load net {vin:rsc.z(23)} -attr vt d
-load net {vin:rsc.z(24)} -attr vt d
-load net {vin:rsc.z(25)} -attr vt d
-load net {vin:rsc.z(26)} -attr vt d
-load net {vin:rsc.z(27)} -attr vt d
-load net {vin:rsc.z(28)} -attr vt d
-load net {vin:rsc.z(29)} -attr vt d
-load net {vin:rsc.z(30)} -attr vt d
-load net {vin:rsc.z(31)} -attr vt d
-load net {vin:rsc.z(32)} -attr vt d
-load net {vin:rsc.z(33)} -attr vt d
-load net {vin:rsc.z(34)} -attr vt d
-load net {vin:rsc.z(35)} -attr vt d
-load net {vin:rsc.z(36)} -attr vt d
-load net {vin:rsc.z(37)} -attr vt d
-load net {vin:rsc.z(38)} -attr vt d
-load net {vin:rsc.z(39)} -attr vt d
-load net {vin:rsc.z(40)} -attr vt d
-load net {vin:rsc.z(41)} -attr vt d
-load net {vin:rsc.z(42)} -attr vt d
-load net {vin:rsc.z(43)} -attr vt d
-load net {vin:rsc.z(44)} -attr vt d
-load net {vin:rsc.z(45)} -attr vt d
-load net {vin:rsc.z(46)} -attr vt d
-load net {vin:rsc.z(47)} -attr vt d
-load net {vin:rsc.z(48)} -attr vt d
-load net {vin:rsc.z(49)} -attr vt d
-load net {vin:rsc.z(50)} -attr vt d
-load net {vin:rsc.z(51)} -attr vt d
-load net {vin:rsc.z(52)} -attr vt d
-load net {vin:rsc.z(53)} -attr vt d
-load net {vin:rsc.z(54)} -attr vt d
-load net {vin:rsc.z(55)} -attr vt d
-load net {vin:rsc.z(56)} -attr vt d
-load net {vin:rsc.z(57)} -attr vt d
-load net {vin:rsc.z(58)} -attr vt d
-load net {vin:rsc.z(59)} -attr vt d
-load net {vin:rsc.z(60)} -attr vt d
-load net {vin:rsc.z(61)} -attr vt d
-load net {vin:rsc.z(62)} -attr vt d
-load net {vin:rsc.z(63)} -attr vt d
-load net {vin:rsc.z(64)} -attr vt d
-load net {vin:rsc.z(65)} -attr vt d
-load net {vin:rsc.z(66)} -attr vt d
-load net {vin:rsc.z(67)} -attr vt d
-load net {vin:rsc.z(68)} -attr vt d
-load net {vin:rsc.z(69)} -attr vt d
-load net {vin:rsc.z(70)} -attr vt d
-load net {vin:rsc.z(71)} -attr vt d
-load net {vin:rsc.z(72)} -attr vt d
-load net {vin:rsc.z(73)} -attr vt d
-load net {vin:rsc.z(74)} -attr vt d
-load net {vin:rsc.z(75)} -attr vt d
-load net {vin:rsc.z(76)} -attr vt d
-load net {vin:rsc.z(77)} -attr vt d
-load net {vin:rsc.z(78)} -attr vt d
-load net {vin:rsc.z(79)} -attr vt d
-load net {vin:rsc.z(80)} -attr vt d
-load net {vin:rsc.z(81)} -attr vt d
-load net {vin:rsc.z(82)} -attr vt d
-load net {vin:rsc.z(83)} -attr vt d
-load net {vin:rsc.z(84)} -attr vt d
-load net {vin:rsc.z(85)} -attr vt d
-load net {vin:rsc.z(86)} -attr vt d
-load net {vin:rsc.z(87)} -attr vt d
-load net {vin:rsc.z(88)} -attr vt d
-load net {vin:rsc.z(89)} -attr vt d
-load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 18780 -attr oid 926 -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(0)} -port {vin:rsc.z(0)} -attr vt d
-load net {vin:rsc.z(1)} -port {vin:rsc.z(1)} -attr vt d
-load net {vin:rsc.z(2)} -port {vin:rsc.z(2)} -attr vt d
-load net {vin:rsc.z(3)} -port {vin:rsc.z(3)} -attr vt d
-load net {vin:rsc.z(4)} -port {vin:rsc.z(4)} -attr vt d
-load net {vin:rsc.z(5)} -port {vin:rsc.z(5)} -attr vt d
-load net {vin:rsc.z(6)} -port {vin:rsc.z(6)} -attr vt d
-load net {vin:rsc.z(7)} -port {vin:rsc.z(7)} -attr vt d
-load net {vin:rsc.z(8)} -port {vin:rsc.z(8)} -attr vt d
-load net {vin:rsc.z(9)} -port {vin:rsc.z(9)} -attr vt d
-load net {vin:rsc.z(10)} -port {vin:rsc.z(10)} -attr vt d
-load net {vin:rsc.z(11)} -port {vin:rsc.z(11)} -attr vt d
-load net {vin:rsc.z(12)} -port {vin:rsc.z(12)} -attr vt d
-load net {vin:rsc.z(13)} -port {vin:rsc.z(13)} -attr vt d
-load net {vin:rsc.z(14)} -port {vin:rsc.z(14)} -attr vt d
-load net {vin:rsc.z(15)} -port {vin:rsc.z(15)} -attr vt d
-load net {vin:rsc.z(16)} -port {vin:rsc.z(16)} -attr vt d
-load net {vin:rsc.z(17)} -port {vin:rsc.z(17)} -attr vt d
-load net {vin:rsc.z(18)} -port {vin:rsc.z(18)} -attr vt d
-load net {vin:rsc.z(19)} -port {vin:rsc.z(19)} -attr vt d
-load net {vin:rsc.z(20)} -port {vin:rsc.z(20)} -attr vt d
-load net {vin:rsc.z(21)} -port {vin:rsc.z(21)} -attr vt d
-load net {vin:rsc.z(22)} -port {vin:rsc.z(22)} -attr vt d
-load net {vin:rsc.z(23)} -port {vin:rsc.z(23)} -attr vt d
-load net {vin:rsc.z(24)} -port {vin:rsc.z(24)} -attr vt d
-load net {vin:rsc.z(25)} -port {vin:rsc.z(25)} -attr vt d
-load net {vin:rsc.z(26)} -port {vin:rsc.z(26)} -attr vt d
-load net {vin:rsc.z(27)} -port {vin:rsc.z(27)} -attr vt d
-load net {vin:rsc.z(28)} -port {vin:rsc.z(28)} -attr vt d
-load net {vin:rsc.z(29)} -port {vin:rsc.z(29)} -attr vt d
-load net {vin:rsc.z(30)} -port {vin:rsc.z(30)} -attr vt d
-load net {vin:rsc.z(31)} -port {vin:rsc.z(31)} -attr vt d
-load net {vin:rsc.z(32)} -port {vin:rsc.z(32)} -attr vt d
-load net {vin:rsc.z(33)} -port {vin:rsc.z(33)} -attr vt d
-load net {vin:rsc.z(34)} -port {vin:rsc.z(34)} -attr vt d
-load net {vin:rsc.z(35)} -port {vin:rsc.z(35)} -attr vt d
-load net {vin:rsc.z(36)} -port {vin:rsc.z(36)} -attr vt d
-load net {vin:rsc.z(37)} -port {vin:rsc.z(37)} -attr vt d
-load net {vin:rsc.z(38)} -port {vin:rsc.z(38)} -attr vt d
-load net {vin:rsc.z(39)} -port {vin:rsc.z(39)} -attr vt d
-load net {vin:rsc.z(40)} -port {vin:rsc.z(40)} -attr vt d
-load net {vin:rsc.z(41)} -port {vin:rsc.z(41)} -attr vt d
-load net {vin:rsc.z(42)} -port {vin:rsc.z(42)} -attr vt d
-load net {vin:rsc.z(43)} -port {vin:rsc.z(43)} -attr vt d
-load net {vin:rsc.z(44)} -port {vin:rsc.z(44)} -attr vt d
-load net {vin:rsc.z(45)} -port {vin:rsc.z(45)} -attr vt d
-load net {vin:rsc.z(46)} -port {vin:rsc.z(46)} -attr vt d
-load net {vin:rsc.z(47)} -port {vin:rsc.z(47)} -attr vt d
-load net {vin:rsc.z(48)} -port {vin:rsc.z(48)} -attr vt d
-load net {vin:rsc.z(49)} -port {vin:rsc.z(49)} -attr vt d
-load net {vin:rsc.z(50)} -port {vin:rsc.z(50)} -attr vt d
-load net {vin:rsc.z(51)} -port {vin:rsc.z(51)} -attr vt d
-load net {vin:rsc.z(52)} -port {vin:rsc.z(52)} -attr vt d
-load net {vin:rsc.z(53)} -port {vin:rsc.z(53)} -attr vt d
-load net {vin:rsc.z(54)} -port {vin:rsc.z(54)} -attr vt d
-load net {vin:rsc.z(55)} -port {vin:rsc.z(55)} -attr vt d
-load net {vin:rsc.z(56)} -port {vin:rsc.z(56)} -attr vt d
-load net {vin:rsc.z(57)} -port {vin:rsc.z(57)} -attr vt d
-load net {vin:rsc.z(58)} -port {vin:rsc.z(58)} -attr vt d
-load net {vin:rsc.z(59)} -port {vin:rsc.z(59)} -attr vt d
-load net {vin:rsc.z(60)} -port {vin:rsc.z(60)} -attr vt d
-load net {vin:rsc.z(61)} -port {vin:rsc.z(61)} -attr vt d
-load net {vin:rsc.z(62)} -port {vin:rsc.z(62)} -attr vt d
-load net {vin:rsc.z(63)} -port {vin:rsc.z(63)} -attr vt d
-load net {vin:rsc.z(64)} -port {vin:rsc.z(64)} -attr vt d
-load net {vin:rsc.z(65)} -port {vin:rsc.z(65)} -attr vt d
-load net {vin:rsc.z(66)} -port {vin:rsc.z(66)} -attr vt d
-load net {vin:rsc.z(67)} -port {vin:rsc.z(67)} -attr vt d
-load net {vin:rsc.z(68)} -port {vin:rsc.z(68)} -attr vt d
-load net {vin:rsc.z(69)} -port {vin:rsc.z(69)} -attr vt d
-load net {vin:rsc.z(70)} -port {vin:rsc.z(70)} -attr vt d
-load net {vin:rsc.z(71)} -port {vin:rsc.z(71)} -attr vt d
-load net {vin:rsc.z(72)} -port {vin:rsc.z(72)} -attr vt d
-load net {vin:rsc.z(73)} -port {vin:rsc.z(73)} -attr vt d
-load net {vin:rsc.z(74)} -port {vin:rsc.z(74)} -attr vt d
-load net {vin:rsc.z(75)} -port {vin:rsc.z(75)} -attr vt d
-load net {vin:rsc.z(76)} -port {vin:rsc.z(76)} -attr vt d
-load net {vin:rsc.z(77)} -port {vin:rsc.z(77)} -attr vt d
-load net {vin:rsc.z(78)} -port {vin:rsc.z(78)} -attr vt d
-load net {vin:rsc.z(79)} -port {vin:rsc.z(79)} -attr vt d
-load net {vin:rsc.z(80)} -port {vin:rsc.z(80)} -attr vt d
-load net {vin:rsc.z(81)} -port {vin:rsc.z(81)} -attr vt d
-load net {vin:rsc.z(82)} -port {vin:rsc.z(82)} -attr vt d
-load net {vin:rsc.z(83)} -port {vin:rsc.z(83)} -attr vt d
-load net {vin:rsc.z(84)} -port {vin:rsc.z(84)} -attr vt d
-load net {vin:rsc.z(85)} -port {vin:rsc.z(85)} -attr vt d
-load net {vin:rsc.z(86)} -port {vin:rsc.z(86)} -attr vt d
-load net {vin:rsc.z(87)} -port {vin:rsc.z(87)} -attr vt d
-load net {vin:rsc.z(88)} -port {vin:rsc.z(88)} -attr vt d
-load net {vin:rsc.z(89)} -port {vin:rsc.z(89)} -attr vt d
-load netBundle {vin:rsc.z} 90 {vin:rsc.z(0)} {vin:rsc.z(1)} {vin:rsc.z(2)} {vin:rsc.z(3)} {vin:rsc.z(4)} {vin:rsc.z(5)} {vin:rsc.z(6)} {vin:rsc.z(7)} {vin:rsc.z(8)} {vin:rsc.z(9)} {vin:rsc.z(10)} {vin:rsc.z(11)} {vin:rsc.z(12)} {vin:rsc.z(13)} {vin:rsc.z(14)} {vin:rsc.z(15)} {vin:rsc.z(16)} {vin:rsc.z(17)} {vin:rsc.z(18)} {vin:rsc.z(19)} {vin:rsc.z(20)} {vin:rsc.z(21)} {vin:rsc.z(22)} {vin:rsc.z(23)} {vin:rsc.z(24)} {vin:rsc.z(25)} {vin:rsc.z(26)} {vin:rsc.z(27)} {vin:rsc.z(28)} {vin:rsc.z(29)} {vin:rsc.z(30)} {vin:rsc.z(31)} {vin:rsc.z(32)} {vin:rsc.z(33)} {vin:rsc.z(34)} {vin:rsc.z(35)} {vin:rsc.z(36)} {vin:rsc.z(37)} {vin:rsc.z(38)} {vin:rsc.z(39)} {vin:rsc.z(40)} {vin:rsc.z(41)} {vin:rsc.z(42)} {vin:rsc.z(43)} {vin:rsc.z(44)} {vin:rsc.z(45)} {vin:rsc.z(46)} {vin:rsc.z(47)} {vin:rsc.z(48)} {vin:rsc.z(49)} {vin:rsc.z(50)} {vin:rsc.z(51)} {vin:rsc.z(52)} {vin:rsc.z(53)} {vin:rsc.z(54)} {vin:rsc.z(55)} {vin:rsc.z(56)} {vin:rsc.z(57)} {vin:rsc.z(58)} {vin:rsc.z(59)} {vin:rsc.z(60)} {vin:rsc.z(61)} {vin:rsc.z(62)} {vin:rsc.z(63)} {vin:rsc.z(64)} {vin:rsc.z(65)} {vin:rsc.z(66)} {vin:rsc.z(67)} {vin:rsc.z(68)} {vin:rsc.z(69)} {vin:rsc.z(70)} {vin:rsc.z(71)} {vin:rsc.z(72)} {vin:rsc.z(73)} {vin:rsc.z(74)} {vin:rsc.z(75)} {vin:rsc.z(76)} {vin:rsc.z(77)} {vin:rsc.z(78)} {vin:rsc.z(79)} {vin:rsc.z(80)} {vin:rsc.z(81)} {vin:rsc.z(82)} {vin:rsc.z(83)} {vin:rsc.z(84)} {vin:rsc.z(85)} {vin:rsc.z(86)} {vin:rsc.z(87)} {vin:rsc.z(88)} {vin:rsc.z(89)} -attr xrf 18781 -attr oid 927 -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vout:rsc.z(0)} -attr vt d
-load net {vout:rsc.z(1)} -attr vt d
-load net {vout:rsc.z(2)} -attr vt d
-load net {vout:rsc.z(3)} -attr vt d
-load net {vout:rsc.z(4)} -attr vt d
-load net {vout:rsc.z(5)} -attr vt d
-load net {vout:rsc.z(6)} -attr vt d
-load net {vout:rsc.z(7)} -attr vt d
-load net {vout:rsc.z(8)} -attr vt d
-load net {vout:rsc.z(9)} -attr vt d
-load net {vout:rsc.z(10)} -attr vt d
-load net {vout:rsc.z(11)} -attr vt d
-load net {vout:rsc.z(12)} -attr vt d
-load net {vout:rsc.z(13)} -attr vt d
-load net {vout:rsc.z(14)} -attr vt d
-load net {vout:rsc.z(15)} -attr vt d
-load net {vout:rsc.z(16)} -attr vt d
-load net {vout:rsc.z(17)} -attr vt d
-load net {vout:rsc.z(18)} -attr vt d
-load net {vout:rsc.z(19)} -attr vt d
-load net {vout:rsc.z(20)} -attr vt d
-load net {vout:rsc.z(21)} -attr vt d
-load net {vout:rsc.z(22)} -attr vt d
-load net {vout:rsc.z(23)} -attr vt d
-load net {vout:rsc.z(24)} -attr vt d
-load net {vout:rsc.z(25)} -attr vt d
-load net {vout:rsc.z(26)} -attr vt d
-load net {vout:rsc.z(27)} -attr vt d
-load net {vout:rsc.z(28)} -attr vt d
-load net {vout:rsc.z(29)} -attr vt d
-load netBundle {vout:rsc.z} 30 {vout:rsc.z(0)} {vout:rsc.z(1)} {vout:rsc.z(2)} {vout:rsc.z(3)} {vout:rsc.z(4)} {vout:rsc.z(5)} {vout:rsc.z(6)} {vout:rsc.z(7)} {vout:rsc.z(8)} {vout:rsc.z(9)} {vout:rsc.z(10)} {vout:rsc.z(11)} {vout:rsc.z(12)} {vout:rsc.z(13)} {vout:rsc.z(14)} {vout:rsc.z(15)} {vout:rsc.z(16)} {vout:rsc.z(17)} {vout:rsc.z(18)} {vout:rsc.z(19)} {vout:rsc.z(20)} {vout:rsc.z(21)} {vout:rsc.z(22)} {vout:rsc.z(23)} {vout:rsc.z(24)} {vout:rsc.z(25)} {vout:rsc.z(26)} {vout:rsc.z(27)} {vout:rsc.z(28)} {vout:rsc.z(29)} -attr xrf 18782 -attr oid 928 -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(0)} -port {vout:rsc.z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(1)} -port {vout:rsc.z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(2)} -port {vout:rsc.z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(3)} -port {vout:rsc.z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(4)} -port {vout:rsc.z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(5)} -port {vout:rsc.z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(6)} -port {vout:rsc.z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(7)} -port {vout:rsc.z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(8)} -port {vout:rsc.z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(9)} -port {vout:rsc.z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(10)} -port {vout:rsc.z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(11)} -port {vout:rsc.z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(12)} -port {vout:rsc.z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(13)} -port {vout:rsc.z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(14)} -port {vout:rsc.z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(15)} -port {vout:rsc.z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(16)} -port {vout:rsc.z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(17)} -port {vout:rsc.z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(18)} -port {vout:rsc.z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(19)} -port {vout:rsc.z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(20)} -port {vout:rsc.z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(21)} -port {vout:rsc.z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(22)} -port {vout:rsc.z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(23)} -port {vout:rsc.z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(24)} -port {vout:rsc.z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(25)} -port {vout:rsc.z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(26)} -port {vout:rsc.z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(27)} -port {vout:rsc.z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(28)} -port {vout:rsc.z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(29)} -port {vout:rsc.z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {clk} -attr xrf 18783 -attr oid 929
-load net {clk} -port {clk} -attr xrf 18784 -attr oid 930
-load net {en} -attr xrf 18785 -attr oid 931
-load net {en} -port {en} -attr xrf 18786 -attr oid 932
-load net {arst_n} -attr xrf 18787 -attr oid 933
-load net {arst_n} -port {arst_n} -attr xrf 18788 -attr oid 934
-load inst "sobel:core:inst" "sobel:core" "orig" -attr xrf 18789 -attr oid 935 -attr vt dc -attr @path {/sobel/sobel:core:inst} -attr area 8771.559725 -attr delay 15.982252 -attr hier "/sobel/sobel:core" -pg 1 -lvl 3
-load net {clk} -pin "sobel:core:inst" {clk#1} -attr xrf 18790 -attr oid 936 -attr @path {/sobel/clk}
-load net {en} -pin "sobel:core:inst" {en#1} -attr xrf 18791 -attr oid 937 -attr @path {/sobel/en}
-load net {arst_n} -pin "sobel:core:inst" {arst_n#1} -attr xrf 18792 -attr oid 938 -attr @path {/sobel/arst_n}
-load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(0)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(1)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(2)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(3)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(4)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(5)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(6)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(7)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(8)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(9)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(10)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(11)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(12)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(13)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(14)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(15)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(16)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(17)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(18)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(19)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(20)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(21)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(22)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(23)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(24)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(25)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(26)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(27)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(28)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(29)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(30)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(31)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(32)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(33)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(34)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(35)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(36)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(37)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(38)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(39)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(40)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(41)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(42)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(43)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(44)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(45)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(46)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(47)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(48)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(49)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(50)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(51)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(52)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(53)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(54)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(55)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(56)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(57)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(58)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(59)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(60)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(61)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(62)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(63)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(64)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(65)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(66)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(67)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(68)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(69)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(70)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(71)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(72)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(73)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(74)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(75)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(76)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(77)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(78)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(79)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(80)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(81)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(82)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(83)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(84)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(85)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(86)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(87)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(88)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "sobel:core:inst" {vin:rsc:mgc_in_wire.d(89)} -attr vt dc -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(0)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(1)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(2)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(3)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(4)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(5)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(6)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(7)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(8)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(9)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(10)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(11)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(12)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(13)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(14)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(15)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(16)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(17)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(18)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(19)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(20)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(21)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(22)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(23)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(24)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(25)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(26)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(27)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(28)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "sobel:core:inst" {vout:rsc:mgc_out_stdreg.d(29)} -attr vt dc -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load inst "vin:rsc:mgc_in_wire" "mgc_ioport.mgc_in_wire(1,90)" "INTERFACE" -attr xrf 18793 -attr oid 939 -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_in_wire(1,90)" -pg 1 -lvl 1
-load net {vin:rsc:mgc_in_wire.d#1(0)} -pin "vin:rsc:mgc_in_wire" {d(0)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(1)} -pin "vin:rsc:mgc_in_wire" {d(1)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(2)} -pin "vin:rsc:mgc_in_wire" {d(2)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(3)} -pin "vin:rsc:mgc_in_wire" {d(3)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(4)} -pin "vin:rsc:mgc_in_wire" {d(4)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(5)} -pin "vin:rsc:mgc_in_wire" {d(5)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(6)} -pin "vin:rsc:mgc_in_wire" {d(6)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(7)} -pin "vin:rsc:mgc_in_wire" {d(7)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(8)} -pin "vin:rsc:mgc_in_wire" {d(8)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(9)} -pin "vin:rsc:mgc_in_wire" {d(9)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(10)} -pin "vin:rsc:mgc_in_wire" {d(10)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(11)} -pin "vin:rsc:mgc_in_wire" {d(11)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(12)} -pin "vin:rsc:mgc_in_wire" {d(12)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(13)} -pin "vin:rsc:mgc_in_wire" {d(13)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(14)} -pin "vin:rsc:mgc_in_wire" {d(14)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(15)} -pin "vin:rsc:mgc_in_wire" {d(15)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(16)} -pin "vin:rsc:mgc_in_wire" {d(16)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(17)} -pin "vin:rsc:mgc_in_wire" {d(17)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(18)} -pin "vin:rsc:mgc_in_wire" {d(18)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(19)} -pin "vin:rsc:mgc_in_wire" {d(19)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(20)} -pin "vin:rsc:mgc_in_wire" {d(20)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(21)} -pin "vin:rsc:mgc_in_wire" {d(21)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(22)} -pin "vin:rsc:mgc_in_wire" {d(22)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(23)} -pin "vin:rsc:mgc_in_wire" {d(23)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(24)} -pin "vin:rsc:mgc_in_wire" {d(24)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(25)} -pin "vin:rsc:mgc_in_wire" {d(25)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(26)} -pin "vin:rsc:mgc_in_wire" {d(26)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(27)} -pin "vin:rsc:mgc_in_wire" {d(27)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(28)} -pin "vin:rsc:mgc_in_wire" {d(28)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(29)} -pin "vin:rsc:mgc_in_wire" {d(29)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(30)} -pin "vin:rsc:mgc_in_wire" {d(30)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(31)} -pin "vin:rsc:mgc_in_wire" {d(31)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(32)} -pin "vin:rsc:mgc_in_wire" {d(32)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(33)} -pin "vin:rsc:mgc_in_wire" {d(33)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(34)} -pin "vin:rsc:mgc_in_wire" {d(34)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(35)} -pin "vin:rsc:mgc_in_wire" {d(35)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(36)} -pin "vin:rsc:mgc_in_wire" {d(36)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(37)} -pin "vin:rsc:mgc_in_wire" {d(37)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(38)} -pin "vin:rsc:mgc_in_wire" {d(38)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(39)} -pin "vin:rsc:mgc_in_wire" {d(39)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(40)} -pin "vin:rsc:mgc_in_wire" {d(40)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(41)} -pin "vin:rsc:mgc_in_wire" {d(41)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(42)} -pin "vin:rsc:mgc_in_wire" {d(42)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(43)} -pin "vin:rsc:mgc_in_wire" {d(43)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(44)} -pin "vin:rsc:mgc_in_wire" {d(44)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(45)} -pin "vin:rsc:mgc_in_wire" {d(45)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(46)} -pin "vin:rsc:mgc_in_wire" {d(46)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(47)} -pin "vin:rsc:mgc_in_wire" {d(47)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(48)} -pin "vin:rsc:mgc_in_wire" {d(48)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(49)} -pin "vin:rsc:mgc_in_wire" {d(49)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(50)} -pin "vin:rsc:mgc_in_wire" {d(50)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(51)} -pin "vin:rsc:mgc_in_wire" {d(51)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(52)} -pin "vin:rsc:mgc_in_wire" {d(52)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(53)} -pin "vin:rsc:mgc_in_wire" {d(53)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(54)} -pin "vin:rsc:mgc_in_wire" {d(54)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(55)} -pin "vin:rsc:mgc_in_wire" {d(55)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(56)} -pin "vin:rsc:mgc_in_wire" {d(56)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(57)} -pin "vin:rsc:mgc_in_wire" {d(57)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(58)} -pin "vin:rsc:mgc_in_wire" {d(58)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(59)} -pin "vin:rsc:mgc_in_wire" {d(59)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(60)} -pin "vin:rsc:mgc_in_wire" {d(60)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(61)} -pin "vin:rsc:mgc_in_wire" {d(61)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(62)} -pin "vin:rsc:mgc_in_wire" {d(62)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(63)} -pin "vin:rsc:mgc_in_wire" {d(63)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(64)} -pin "vin:rsc:mgc_in_wire" {d(64)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(65)} -pin "vin:rsc:mgc_in_wire" {d(65)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(66)} -pin "vin:rsc:mgc_in_wire" {d(66)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(67)} -pin "vin:rsc:mgc_in_wire" {d(67)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(68)} -pin "vin:rsc:mgc_in_wire" {d(68)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(69)} -pin "vin:rsc:mgc_in_wire" {d(69)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(70)} -pin "vin:rsc:mgc_in_wire" {d(70)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(71)} -pin "vin:rsc:mgc_in_wire" {d(71)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(72)} -pin "vin:rsc:mgc_in_wire" {d(72)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(73)} -pin "vin:rsc:mgc_in_wire" {d(73)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(74)} -pin "vin:rsc:mgc_in_wire" {d(74)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(75)} -pin "vin:rsc:mgc_in_wire" {d(75)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(76)} -pin "vin:rsc:mgc_in_wire" {d(76)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(77)} -pin "vin:rsc:mgc_in_wire" {d(77)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(78)} -pin "vin:rsc:mgc_in_wire" {d(78)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(79)} -pin "vin:rsc:mgc_in_wire" {d(79)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(80)} -pin "vin:rsc:mgc_in_wire" {d(80)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(81)} -pin "vin:rsc:mgc_in_wire" {d(81)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(82)} -pin "vin:rsc:mgc_in_wire" {d(82)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(83)} -pin "vin:rsc:mgc_in_wire" {d(83)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(84)} -pin "vin:rsc:mgc_in_wire" {d(84)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(85)} -pin "vin:rsc:mgc_in_wire" {d(85)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(86)} -pin "vin:rsc:mgc_in_wire" {d(86)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(87)} -pin "vin:rsc:mgc_in_wire" {d(87)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(88)} -pin "vin:rsc:mgc_in_wire" {d(88)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc:mgc_in_wire.d#1(89)} -pin "vin:rsc:mgc_in_wire" {d(89)} -attr vt d -attr @path {/sobel/vin:rsc:mgc_in_wire.d}
-load net {vin:rsc.z(0)} -pin "vin:rsc:mgc_in_wire" {z(0)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(1)} -pin "vin:rsc:mgc_in_wire" {z(1)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(2)} -pin "vin:rsc:mgc_in_wire" {z(2)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(3)} -pin "vin:rsc:mgc_in_wire" {z(3)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(4)} -pin "vin:rsc:mgc_in_wire" {z(4)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(5)} -pin "vin:rsc:mgc_in_wire" {z(5)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(6)} -pin "vin:rsc:mgc_in_wire" {z(6)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(7)} -pin "vin:rsc:mgc_in_wire" {z(7)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(8)} -pin "vin:rsc:mgc_in_wire" {z(8)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(9)} -pin "vin:rsc:mgc_in_wire" {z(9)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(10)} -pin "vin:rsc:mgc_in_wire" {z(10)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(11)} -pin "vin:rsc:mgc_in_wire" {z(11)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(12)} -pin "vin:rsc:mgc_in_wire" {z(12)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(13)} -pin "vin:rsc:mgc_in_wire" {z(13)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(14)} -pin "vin:rsc:mgc_in_wire" {z(14)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(15)} -pin "vin:rsc:mgc_in_wire" {z(15)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(16)} -pin "vin:rsc:mgc_in_wire" {z(16)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(17)} -pin "vin:rsc:mgc_in_wire" {z(17)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(18)} -pin "vin:rsc:mgc_in_wire" {z(18)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(19)} -pin "vin:rsc:mgc_in_wire" {z(19)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(20)} -pin "vin:rsc:mgc_in_wire" {z(20)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(21)} -pin "vin:rsc:mgc_in_wire" {z(21)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(22)} -pin "vin:rsc:mgc_in_wire" {z(22)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(23)} -pin "vin:rsc:mgc_in_wire" {z(23)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(24)} -pin "vin:rsc:mgc_in_wire" {z(24)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(25)} -pin "vin:rsc:mgc_in_wire" {z(25)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(26)} -pin "vin:rsc:mgc_in_wire" {z(26)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(27)} -pin "vin:rsc:mgc_in_wire" {z(27)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(28)} -pin "vin:rsc:mgc_in_wire" {z(28)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(29)} -pin "vin:rsc:mgc_in_wire" {z(29)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(30)} -pin "vin:rsc:mgc_in_wire" {z(30)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(31)} -pin "vin:rsc:mgc_in_wire" {z(31)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(32)} -pin "vin:rsc:mgc_in_wire" {z(32)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(33)} -pin "vin:rsc:mgc_in_wire" {z(33)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(34)} -pin "vin:rsc:mgc_in_wire" {z(34)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(35)} -pin "vin:rsc:mgc_in_wire" {z(35)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(36)} -pin "vin:rsc:mgc_in_wire" {z(36)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(37)} -pin "vin:rsc:mgc_in_wire" {z(37)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(38)} -pin "vin:rsc:mgc_in_wire" {z(38)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(39)} -pin "vin:rsc:mgc_in_wire" {z(39)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(40)} -pin "vin:rsc:mgc_in_wire" {z(40)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(41)} -pin "vin:rsc:mgc_in_wire" {z(41)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(42)} -pin "vin:rsc:mgc_in_wire" {z(42)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(43)} -pin "vin:rsc:mgc_in_wire" {z(43)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(44)} -pin "vin:rsc:mgc_in_wire" {z(44)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(45)} -pin "vin:rsc:mgc_in_wire" {z(45)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(46)} -pin "vin:rsc:mgc_in_wire" {z(46)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(47)} -pin "vin:rsc:mgc_in_wire" {z(47)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(48)} -pin "vin:rsc:mgc_in_wire" {z(48)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(49)} -pin "vin:rsc:mgc_in_wire" {z(49)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(50)} -pin "vin:rsc:mgc_in_wire" {z(50)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(51)} -pin "vin:rsc:mgc_in_wire" {z(51)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(52)} -pin "vin:rsc:mgc_in_wire" {z(52)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(53)} -pin "vin:rsc:mgc_in_wire" {z(53)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(54)} -pin "vin:rsc:mgc_in_wire" {z(54)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(55)} -pin "vin:rsc:mgc_in_wire" {z(55)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(56)} -pin "vin:rsc:mgc_in_wire" {z(56)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(57)} -pin "vin:rsc:mgc_in_wire" {z(57)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(58)} -pin "vin:rsc:mgc_in_wire" {z(58)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(59)} -pin "vin:rsc:mgc_in_wire" {z(59)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(60)} -pin "vin:rsc:mgc_in_wire" {z(60)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(61)} -pin "vin:rsc:mgc_in_wire" {z(61)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(62)} -pin "vin:rsc:mgc_in_wire" {z(62)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(63)} -pin "vin:rsc:mgc_in_wire" {z(63)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(64)} -pin "vin:rsc:mgc_in_wire" {z(64)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(65)} -pin "vin:rsc:mgc_in_wire" {z(65)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(66)} -pin "vin:rsc:mgc_in_wire" {z(66)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(67)} -pin "vin:rsc:mgc_in_wire" {z(67)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(68)} -pin "vin:rsc:mgc_in_wire" {z(68)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(69)} -pin "vin:rsc:mgc_in_wire" {z(69)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(70)} -pin "vin:rsc:mgc_in_wire" {z(70)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(71)} -pin "vin:rsc:mgc_in_wire" {z(71)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(72)} -pin "vin:rsc:mgc_in_wire" {z(72)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(73)} -pin "vin:rsc:mgc_in_wire" {z(73)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(74)} -pin "vin:rsc:mgc_in_wire" {z(74)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(75)} -pin "vin:rsc:mgc_in_wire" {z(75)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(76)} -pin "vin:rsc:mgc_in_wire" {z(76)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(77)} -pin "vin:rsc:mgc_in_wire" {z(77)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(78)} -pin "vin:rsc:mgc_in_wire" {z(78)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(79)} -pin "vin:rsc:mgc_in_wire" {z(79)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(80)} -pin "vin:rsc:mgc_in_wire" {z(80)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(81)} -pin "vin:rsc:mgc_in_wire" {z(81)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(82)} -pin "vin:rsc:mgc_in_wire" {z(82)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(83)} -pin "vin:rsc:mgc_in_wire" {z(83)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(84)} -pin "vin:rsc:mgc_in_wire" {z(84)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(85)} -pin "vin:rsc:mgc_in_wire" {z(85)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(86)} -pin "vin:rsc:mgc_in_wire" {z(86)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(87)} -pin "vin:rsc:mgc_in_wire" {z(87)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(88)} -pin "vin:rsc:mgc_in_wire" {z(88)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load net {vin:rsc.z(89)} -pin "vin:rsc:mgc_in_wire" {z(89)} -attr vt d -attr @path {/sobel/vin:rsc.z}
-load inst "vout:rsc:mgc_out_stdreg" "mgc_ioport.mgc_out_stdreg(2,30)" "INTERFACE" -attr xrf 18794 -attr oid 940 -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg} -attr delay -1000000000000000000000000000000.000000 -attr qmod "mgc_ioport.mgc_out_stdreg(2,30)" -pg 1 -lvl 1002
-load net {vout:rsc:mgc_out_stdreg.d#1(0)} -pin "vout:rsc:mgc_out_stdreg" {d(0)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(1)} -pin "vout:rsc:mgc_out_stdreg" {d(1)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(2)} -pin "vout:rsc:mgc_out_stdreg" {d(2)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(3)} -pin "vout:rsc:mgc_out_stdreg" {d(3)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(4)} -pin "vout:rsc:mgc_out_stdreg" {d(4)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(5)} -pin "vout:rsc:mgc_out_stdreg" {d(5)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(6)} -pin "vout:rsc:mgc_out_stdreg" {d(6)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(7)} -pin "vout:rsc:mgc_out_stdreg" {d(7)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(8)} -pin "vout:rsc:mgc_out_stdreg" {d(8)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(9)} -pin "vout:rsc:mgc_out_stdreg" {d(9)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(10)} -pin "vout:rsc:mgc_out_stdreg" {d(10)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(11)} -pin "vout:rsc:mgc_out_stdreg" {d(11)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(12)} -pin "vout:rsc:mgc_out_stdreg" {d(12)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(13)} -pin "vout:rsc:mgc_out_stdreg" {d(13)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(14)} -pin "vout:rsc:mgc_out_stdreg" {d(14)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(15)} -pin "vout:rsc:mgc_out_stdreg" {d(15)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(16)} -pin "vout:rsc:mgc_out_stdreg" {d(16)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(17)} -pin "vout:rsc:mgc_out_stdreg" {d(17)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(18)} -pin "vout:rsc:mgc_out_stdreg" {d(18)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(19)} -pin "vout:rsc:mgc_out_stdreg" {d(19)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(20)} -pin "vout:rsc:mgc_out_stdreg" {d(20)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(21)} -pin "vout:rsc:mgc_out_stdreg" {d(21)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(22)} -pin "vout:rsc:mgc_out_stdreg" {d(22)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(23)} -pin "vout:rsc:mgc_out_stdreg" {d(23)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(24)} -pin "vout:rsc:mgc_out_stdreg" {d(24)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(25)} -pin "vout:rsc:mgc_out_stdreg" {d(25)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(26)} -pin "vout:rsc:mgc_out_stdreg" {d(26)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(27)} -pin "vout:rsc:mgc_out_stdreg" {d(27)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(28)} -pin "vout:rsc:mgc_out_stdreg" {d(28)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc:mgc_out_stdreg.d#1(29)} -pin "vout:rsc:mgc_out_stdreg" {d(29)} -attr vt d -attr @path {/sobel/vout:rsc:mgc_out_stdreg.d}
-load net {vout:rsc.z(0)} -pin "vout:rsc:mgc_out_stdreg" {z(0)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(1)} -pin "vout:rsc:mgc_out_stdreg" {z(1)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(2)} -pin "vout:rsc:mgc_out_stdreg" {z(2)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(3)} -pin "vout:rsc:mgc_out_stdreg" {z(3)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(4)} -pin "vout:rsc:mgc_out_stdreg" {z(4)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(5)} -pin "vout:rsc:mgc_out_stdreg" {z(5)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(6)} -pin "vout:rsc:mgc_out_stdreg" {z(6)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(7)} -pin "vout:rsc:mgc_out_stdreg" {z(7)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(8)} -pin "vout:rsc:mgc_out_stdreg" {z(8)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(9)} -pin "vout:rsc:mgc_out_stdreg" {z(9)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(10)} -pin "vout:rsc:mgc_out_stdreg" {z(10)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(11)} -pin "vout:rsc:mgc_out_stdreg" {z(11)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(12)} -pin "vout:rsc:mgc_out_stdreg" {z(12)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(13)} -pin "vout:rsc:mgc_out_stdreg" {z(13)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(14)} -pin "vout:rsc:mgc_out_stdreg" {z(14)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(15)} -pin "vout:rsc:mgc_out_stdreg" {z(15)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(16)} -pin "vout:rsc:mgc_out_stdreg" {z(16)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(17)} -pin "vout:rsc:mgc_out_stdreg" {z(17)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(18)} -pin "vout:rsc:mgc_out_stdreg" {z(18)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(19)} -pin "vout:rsc:mgc_out_stdreg" {z(19)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(20)} -pin "vout:rsc:mgc_out_stdreg" {z(20)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(21)} -pin "vout:rsc:mgc_out_stdreg" {z(21)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(22)} -pin "vout:rsc:mgc_out_stdreg" {z(22)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(23)} -pin "vout:rsc:mgc_out_stdreg" {z(23)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(24)} -pin "vout:rsc:mgc_out_stdreg" {z(24)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(25)} -pin "vout:rsc:mgc_out_stdreg" {z(25)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(26)} -pin "vout:rsc:mgc_out_stdreg" {z(26)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(27)} -pin "vout:rsc:mgc_out_stdreg" {z(27)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(28)} -pin "vout:rsc:mgc_out_stdreg" {z(28)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-load net {vout:rsc.z(29)} -pin "vout:rsc:mgc_out_stdreg" {z(29)} -attr vt d -attr @path {/sobel/vout:rsc.z}
-### END MODULE
-