aboutsummaryrefslogtreecommitdiffstats
path: root/stopwatch/stopwatch.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'stopwatch/stopwatch.qsf')
-rw-r--r--stopwatch/stopwatch.qsf92
1 files changed, 92 insertions, 0 deletions
diff --git a/stopwatch/stopwatch.qsf b/stopwatch/stopwatch.qsf
new file mode 100644
index 0000000..7653276
--- /dev/null
+++ b/stopwatch/stopwatch.qsf
@@ -0,0 +1,92 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2013 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, Altera MegaCore Function License
+# Agreement, or other applicable license agreement, including,
+# without limitation, that your use is for the sole purpose of
+# programming logic devices manufactured by Altera and sold by
+# Altera or its authorized distributors. Please refer to the
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II 64-Bit
+# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
+# Date created = 14:12:21 February 26, 2016
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+# stopwatch_assignment_defaults.qdf
+# If this file doesn't exist, see file:
+# assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY "Cyclone III"
+set_global_assignment -name DEVICE EP3C16F484C6
+set_global_assignment -name TOP_LEVEL_ENTITY stopwatch
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:12:21 FEBRUARY 26, 2016"
+set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
+set_global_assignment -name BDF_FILE ../ten_counter/ten_counter.bdf
+set_global_assignment -name BDF_FILE ../one_hertz_clock/one_hertz_clock.bdf
+set_global_assignment -name BDF_FILE ../bcd_to_display/bcd_to_display.bdf
+set_global_assignment -name BDF_FILE ../adder/full_adder.bdf
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
+set_global_assignment -name BDF_FILE stopwatch.bdf
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
+set_location_assignment PIN_G21 -to DE0CLOCK
+set_location_assignment PIN_F13 -to DIG0[6]
+set_location_assignment PIN_A18 -to Point
+set_location_assignment PIN_H2 -to Reset
+set_location_assignment PIN_F1 -to Split
+set_location_assignment PIN_G3 -to Start
+set_location_assignment PIN_E11 -to DIG0[0]
+set_location_assignment PIN_F11 -to DIG0[1]
+set_location_assignment PIN_H12 -to DIG0[2]
+set_location_assignment PIN_H13 -to DIG0[3]
+set_location_assignment PIN_G12 -to DIG0[4]
+set_location_assignment PIN_F12 -to DIG0[5]
+set_location_assignment PIN_A13 -to DIG1[0]
+set_location_assignment PIN_B13 -to DIG1[1]
+set_location_assignment PIN_C13 -to DIG1[2]
+set_location_assignment PIN_A14 -to DIG1[3]
+set_location_assignment PIN_B14 -to DIG1[4]
+set_location_assignment PIN_E14 -to DIG1[5]
+set_location_assignment PIN_A15 -to DIG1[6]
+set_location_assignment PIN_D15 -to DIG2[0]
+set_location_assignment PIN_A16 -to DIG2[1]
+set_location_assignment PIN_B16 -to DIG2[2]
+set_location_assignment PIN_E15 -to DIG2[3]
+set_location_assignment PIN_A17 -to DIG2[4]
+set_location_assignment PIN_B17 -to DIG2[5]
+set_location_assignment PIN_F14 -to DIG2[6]
+set_location_assignment PIN_B18 -to DIG3[0]
+set_location_assignment PIN_F15 -to DIG3[1]
+set_location_assignment PIN_A19 -to DIG3[2]
+set_location_assignment PIN_B19 -to DIG3[3]
+set_location_assignment PIN_C19 -to DIG3[4]
+set_location_assignment PIN_D19 -to DIG3[5]
+set_location_assignment PIN_G15 -to DIG3[6]
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file