aboutsummaryrefslogtreecommitdiffstats
path: root/ten_counter/simulation/qsim/work/ten_counter/_primary.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'ten_counter/simulation/qsim/work/ten_counter/_primary.vhd')
-rw-r--r--ten_counter/simulation/qsim/work/ten_counter/_primary.vhd10
1 files changed, 10 insertions, 0 deletions
diff --git a/ten_counter/simulation/qsim/work/ten_counter/_primary.vhd b/ten_counter/simulation/qsim/work/ten_counter/_primary.vhd
new file mode 100644
index 0000000..d161ba0
--- /dev/null
+++ b/ten_counter/simulation/qsim/work/ten_counter/_primary.vhd
@@ -0,0 +1,10 @@
+library verilog;
+use verilog.vl_types.all;
+entity ten_counter is
+ port(
+ cout : out vl_logic;
+ CLR : in vl_logic;
+ CLK : in vl_logic;
+ count : out vl_logic_vector(3 downto 0)
+ );
+end ten_counter;