aboutsummaryrefslogtreecommitdiffstats
path: root/bcd_to_display/simulation/modelsim/bcd_to_display.vho
blob: 48e1fa782f7a77000551cf1c643bdc6011e16750 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
-- Copyright (C) 1991-2013 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions 
-- and other software and tools, and its AMPP partner logic 
-- functions, and any output files from any of the foregoing 
-- (including device programming or simulation files), and any 
-- associated documentation or information are expressly subject 
-- to the terms and conditions of the Altera Program License 
-- Subscription Agreement, Altera MegaCore Function License 
-- Agreement, or other applicable license agreement, including, 
-- without limitation, that your use is for the sole purpose of 
-- programming logic devices manufactured by Altera and sold by 
-- Altera or its authorized distributors.  Please refer to the 
-- applicable agreement for further details.

-- VENDOR "Altera"
-- PROGRAM "Quartus II 64-Bit"
-- VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version"

-- DATE "02/26/2016 15:29:32"

-- 
-- Device: Altera EP3C16F484C6 Package FBGA484
-- 

-- 
-- This VHDL file should be used for ModelSim-Altera (VHDL) only
-- 

LIBRARY CYCLONEIII;
LIBRARY IEEE;
USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY 	bcd_to_display IS
    PORT (
	DISPout : OUT std_logic_vector(6 DOWNTO 0);
	BCDin : IN std_logic_vector(3 DOWNTO 0)
	);
END bcd_to_display;

-- Design Ports Information
-- DISPout[6]	=>  Location: PIN_P5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- DISPout[5]	=>  Location: PIN_M4,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- DISPout[4]	=>  Location: PIN_N5,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- DISPout[3]	=>  Location: PIN_N6,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- DISPout[2]	=>  Location: PIN_N7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- DISPout[1]	=>  Location: PIN_U2,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- DISPout[0]	=>  Location: PIN_F1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- BCDin[3]	=>  Location: PIN_P7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- BCDin[1]	=>  Location: PIN_M7,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- BCDin[2]	=>  Location: PIN_V1,	 I/O Standard: 2.5 V,	 Current Strength: Default
-- BCDin[0]	=>  Location: PIN_V2,	 I/O Standard: 2.5 V,	 Current Strength: Default


ARCHITECTURE structure OF bcd_to_display IS
SIGNAL gnd : std_logic := '0';
SIGNAL vcc : std_logic := '1';
SIGNAL unknown : std_logic := 'X';
SIGNAL devoe : std_logic := '1';
SIGNAL devclrn : std_logic := '1';
SIGNAL devpor : std_logic := '1';
SIGNAL ww_devoe : std_logic;
SIGNAL ww_devclrn : std_logic;
SIGNAL ww_devpor : std_logic;
SIGNAL ww_DISPout : std_logic_vector(6 DOWNTO 0);
SIGNAL ww_BCDin : std_logic_vector(3 DOWNTO 0);
SIGNAL \DISPout[6]~output_o\ : std_logic;
SIGNAL \DISPout[5]~output_o\ : std_logic;
SIGNAL \DISPout[4]~output_o\ : std_logic;
SIGNAL \DISPout[3]~output_o\ : std_logic;
SIGNAL \DISPout[2]~output_o\ : std_logic;
SIGNAL \DISPout[1]~output_o\ : std_logic;
SIGNAL \DISPout[0]~output_o\ : std_logic;
SIGNAL \BCDin[2]~input_o\ : std_logic;
SIGNAL \BCDin[1]~input_o\ : std_logic;
SIGNAL \BCDin[3]~input_o\ : std_logic;
SIGNAL \BCDin[0]~input_o\ : std_logic;
SIGNAL \inst30~0_combout\ : std_logic;
SIGNAL \inst29~0_combout\ : std_logic;
SIGNAL \inst32~0_combout\ : std_logic;
SIGNAL \inst23~0_combout\ : std_logic;
SIGNAL \inst21~0_combout\ : std_logic;
SIGNAL \inst24~0_combout\ : std_logic;
SIGNAL \inst20~0_combout\ : std_logic;
SIGNAL \ALT_INV_inst24~0_combout\ : std_logic;

BEGIN

DISPout <= ww_DISPout;
ww_BCDin <= BCDin;
ww_devoe <= devoe;
ww_devclrn <= devclrn;
ww_devpor <= devpor;
\ALT_INV_inst24~0_combout\ <= NOT \inst24~0_combout\;

-- Location: IOOBUF_X0_Y8_N9
\DISPout[6]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \inst30~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[6]~output_o\);

-- Location: IOOBUF_X0_Y12_N2
\DISPout[5]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \inst29~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[5]~output_o\);

-- Location: IOOBUF_X0_Y10_N16
\DISPout[4]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \inst32~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[4]~output_o\);

-- Location: IOOBUF_X0_Y8_N16
\DISPout[3]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \inst23~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[3]~output_o\);

-- Location: IOOBUF_X0_Y6_N23
\DISPout[2]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \inst21~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[2]~output_o\);

-- Location: IOOBUF_X0_Y9_N9
\DISPout[1]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \ALT_INV_inst24~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[1]~output_o\);

-- Location: IOOBUF_X0_Y23_N2
\DISPout[0]~output\ : cycloneiii_io_obuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	open_drain_output => "false")
-- pragma translate_on
PORT MAP (
	i => \inst20~0_combout\,
	devoe => ww_devoe,
	o => \DISPout[0]~output_o\);

-- Location: IOIBUF_X0_Y8_N1
\BCDin[2]~input\ : cycloneiii_io_ibuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	simulate_z_as => "z")
-- pragma translate_on
PORT MAP (
	i => ww_BCDin(2),
	o => \BCDin[2]~input_o\);

-- Location: IOIBUF_X0_Y8_N22
\BCDin[1]~input\ : cycloneiii_io_ibuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	simulate_z_as => "z")
-- pragma translate_on
PORT MAP (
	i => ww_BCDin(1),
	o => \BCDin[1]~input_o\);

-- Location: IOIBUF_X0_Y5_N1
\BCDin[3]~input\ : cycloneiii_io_ibuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	simulate_z_as => "z")
-- pragma translate_on
PORT MAP (
	i => ww_BCDin(3),
	o => \BCDin[3]~input_o\);

-- Location: IOIBUF_X0_Y9_N22
\BCDin[0]~input\ : cycloneiii_io_ibuf
-- pragma translate_off
GENERIC MAP (
	bus_hold => "false",
	simulate_z_as => "z")
-- pragma translate_on
PORT MAP (
	i => ww_BCDin(0),
	o => \BCDin[0]~input_o\);

-- Location: LCCOMB_X1_Y8_N16
\inst30~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst30~0_combout\ = (\BCDin[3]~input_o\) # ((\BCDin[2]~input_o\ & ((!\BCDin[0]~input_o\) # (!\BCDin[1]~input_o\))) # (!\BCDin[2]~input_o\ & (\BCDin[1]~input_o\)))

-- pragma translate_off
GENERIC MAP (
	lut_mask => "1111011011111110",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	dataa => \BCDin[2]~input_o\,
	datab => \BCDin[1]~input_o\,
	datac => \BCDin[3]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst30~0_combout\);

-- Location: LCCOMB_X1_Y8_N18
\inst29~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst29~0_combout\ = (\BCDin[3]~input_o\) # ((\BCDin[2]~input_o\ & ((!\BCDin[0]~input_o\) # (!\BCDin[1]~input_o\))) # (!\BCDin[2]~input_o\ & (!\BCDin[1]~input_o\ & !\BCDin[0]~input_o\)))

-- pragma translate_off
GENERIC MAP (
	lut_mask => "1111001011111011",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	dataa => \BCDin[2]~input_o\,
	datab => \BCDin[1]~input_o\,
	datac => \BCDin[3]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst29~0_combout\);

-- Location: LCCOMB_X1_Y8_N12
\inst32~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst32~0_combout\ = (!\BCDin[0]~input_o\ & ((\BCDin[1]~input_o\) # (!\BCDin[2]~input_o\)))

-- pragma translate_off
GENERIC MAP (
	lut_mask => "0000000011011101",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	dataa => \BCDin[2]~input_o\,
	datab => \BCDin[1]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst32~0_combout\);

-- Location: LCCOMB_X1_Y8_N14
\inst23~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst23~0_combout\ = (\BCDin[3]~input_o\) # ((\BCDin[2]~input_o\ & (\BCDin[1]~input_o\ $ (\BCDin[0]~input_o\))) # (!\BCDin[2]~input_o\ & ((\BCDin[1]~input_o\) # (!\BCDin[0]~input_o\))))

-- pragma translate_off
GENERIC MAP (
	lut_mask => "1111011011111101",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	dataa => \BCDin[2]~input_o\,
	datab => \BCDin[1]~input_o\,
	datac => \BCDin[3]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst23~0_combout\);

-- Location: LCCOMB_X1_Y8_N8
\inst21~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst21~0_combout\ = (\BCDin[2]~input_o\) # (((\BCDin[3]~input_o\) # (\BCDin[0]~input_o\)) # (!\BCDin[1]~input_o\))

-- pragma translate_off
GENERIC MAP (
	lut_mask => "1111111111111011",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	dataa => \BCDin[2]~input_o\,
	datab => \BCDin[1]~input_o\,
	datac => \BCDin[3]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst21~0_combout\);

-- Location: LCCOMB_X1_Y8_N2
\inst24~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst24~0_combout\ = (\BCDin[1]~input_o\ & !\BCDin[0]~input_o\)

-- pragma translate_off
GENERIC MAP (
	lut_mask => "0000000011001100",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	datab => \BCDin[1]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst24~0_combout\);

-- Location: LCCOMB_X1_Y8_N4
\inst20~0\ : cycloneiii_lcell_comb
-- Equation(s):
-- \inst20~0_combout\ = (\BCDin[1]~input_o\) # ((\BCDin[3]~input_o\) # (\BCDin[2]~input_o\ $ (!\BCDin[0]~input_o\)))

-- pragma translate_off
GENERIC MAP (
	lut_mask => "1111111011111101",
	sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
	dataa => \BCDin[2]~input_o\,
	datab => \BCDin[1]~input_o\,
	datac => \BCDin[3]~input_o\,
	datad => \BCDin[0]~input_o\,
	combout => \inst20~0_combout\);

ww_DISPout(6) <= \DISPout[6]~output_o\;

ww_DISPout(5) <= \DISPout[5]~output_o\;

ww_DISPout(4) <= \DISPout[4]~output_o\;

ww_DISPout(3) <= \DISPout[3]~output_o\;

ww_DISPout(2) <= \DISPout[2]~output_o\;

ww_DISPout(1) <= \DISPout[1]~output_o\;

ww_DISPout(0) <= \DISPout[0]~output_o\;
END structure;