aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015/student_files_2015/prj2/quartus_proj/DE0_CAMERA/TOP_CAMERA.bdf
blob: f33dd49efa5890eae6485893abc496729330c348 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
	(input)
	(rect 328 160 496 176)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "CLOCK_50" (rect 5 0 60 12)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 128 320 192 336))
)
(pin
	(input)
	(rect 416 192 584 208)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "SW[9..0]" (rect 5 0 48 12)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 288 224 344 240))
)
(pin
	(input)
	(rect 384 208 608 224)
	(text "INPUT" (rect 180 6 208 16)(font "Arial" (font_size 6)))
	(text "GPIO_1_CLKIN[1..0]" (rect 6 4 109 16)(font "Arial" ))
	(pt 224 8)
	(drawing
		(line (pt 139 4)(pt 164 4))
		(line (pt 139 12)(pt 164 12))
		(line (pt 168 8)(pt 223 8))
		(line (pt 139 4)(pt 139 12))
		(line (pt 164 12)(pt 168 8))
		(line (pt 164 4)(pt 168 8))
	)
	(flipx)
	(text "VCC" (rect 183 -1 203 9)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 504 280 560 296))
)
(pin
	(input)
	(rect 328 176 496 192)
	(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
	(text "KEY[2..0]" (rect 5 0 53 12)(font "Arial" ))
	(pt 168 8)
	(drawing
		(line (pt 84 12)(pt 109 12))
		(line (pt 84 4)(pt 109 4))
		(line (pt 113 8)(pt 168 8))
		(line (pt 84 12)(pt 84 4))
		(line (pt 109 4)(pt 113 8))
		(line (pt 109 12)(pt 113 8))
	)
	(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 176 432 232 448))
)
(pin
	(output)
	(rect 1064 160 1240 176)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "LEDG[9..0]" (rect 116 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 656 264 720 280))
)
(pin
	(output)
	(rect 1064 224 1240 240)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "HEX3[6..0]" (rect 118 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1032 336 1096 352))
)
(pin
	(output)
	(rect 1064 208 1240 224)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "HEX2[6..0]" (rect 118 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1256 216 1320 232))
)
(pin
	(output)
	(rect 1064 192 1240 208)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "HEX1[6..0]" (rect 118 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1272 176 1336 192))
)
(pin
	(output)
	(rect 1064 176 1240 192)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "HEX0[6..0]" (rect 118 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1016 352 1080 368))
)
(pin
	(output)
	(rect 1024 272 1200 288)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_LDQM" (rect 103 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1376 808 1440 824))
)
(pin
	(output)
	(rect 1024 288 1200 304)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_UDQM" (rect 101 0 171 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 704 1784 720))
)
(pin
	(output)
	(rect 1024 384 1200 400)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_BA_1" (rect 107 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 800 1784 816))
)
(pin
	(output)
	(rect 1024 368 1200 384)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_BA_0" (rect 107 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1376 776 1440 792))
)
(pin
	(output)
	(rect 1024 320 1200 336)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CAS_N" (rect 96 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 736 1792 752))
)
(pin
	(output)
	(rect 1024 416 1200 432)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CKE" (rect 110 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 832 1792 848))
)
(pin
	(output)
	(rect 1024 352 1200 368)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CS_N" (rect 103 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 768 1784 784))
)
(pin
	(output)
	(rect 1024 336 1200 352)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_RAS_N" (rect 96 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 752 1784 768))
)
(pin
	(output)
	(rect 1024 304 1200 320)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_WE_N" (rect 101 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1728 720 1784 736))
)
(pin
	(output)
	(rect 1024 400 1200 416)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_CLK" (rect 111 0 170 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 464 1200 528 1216))
)
(pin
	(output)
	(rect 1024 256 1233 272)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "DRAM_ADDR[11..0] " (rect 98 0 203 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1664 656 1728 672))
)
(pin
	(output)
	(rect 1024 528 1234 544)
	(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
	(text "GPIO_1_CLKOUT[1..0]" (rect 90 0 204 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 0 8)(pt 52 8))
		(line (pt 52 4)(pt 78 4))
		(line (pt 52 12)(pt 78 12))
		(line (pt 52 12)(pt 52 4))
		(line (pt 78 4)(pt 82 8))
		(line (pt 82 8)(pt 78 12))
		(line (pt 78 12)(pt 82 8))
	)
	(annotation_block (location)(rect 1448 552 1504 568))
)
(pin
	(output)
	(rect 960 752 1136 768)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_CLK" (rect 37 0 86 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 1136 768 1192 784))
)
(pin
	(output)
	(rect 960 704 1136 720)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_HS" (rect 43 0 86 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 1136 720 1192 736))
)
(pin
	(output)
	(rect 960 720 1136 736)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_VS" (rect 44 0 86 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 1136 736 1192 752))
)
(pin
	(output)
	(rect 960 656 1136 672)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_R[3..0]" (rect 5 0 67 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 1136 672 1192 688))
)
(pin
	(output)
	(rect 960 672 1136 688)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_G[3..0]" (rect 5 0 67 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 1136 688 1192 704))
)
(pin
	(output)
	(rect 960 688 1136 704)
	(text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
	(text "VGA_B[3..0]" (rect 5 0 66 12)(font "Arial" ))
	(pt 176 8)
	(drawing
		(line (pt 176 8)(pt 124 8))
		(line (pt 124 4)(pt 98 4))
		(line (pt 124 12)(pt 98 12))
		(line (pt 124 12)(pt 124 4))
		(line (pt 98 4)(pt 94 8))
		(line (pt 94 8)(pt 98 12))
		(line (pt 98 12)(pt 94 8))
	)
	(flipy)
	(annotation_block (location)(rect 1136 704 1192 720))
)
(pin
	(bidir)
	(rect 1024 240 1208 256)
	(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "DRAM_DQ[15..0] " (rect 90 0 179 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 56 4)(pt 78 4))
		(line (pt 0 8)(pt 52 8))
		(line (pt 56 12)(pt 78 12))
		(line (pt 78 4)(pt 82 8))
		(line (pt 78 12)(pt 82 8))
		(line (pt 56 4)(pt 52 8))
		(line (pt 52 8)(pt 56 12))
	)
	(text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 1816 608 1880 624))
)
(pin
	(bidir)
	(rect 1024 544 1200 560)
	(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
	(text "GPIO_1[31..0]" (rect 90 0 160 12)(font "Arial" ))
	(pt 0 8)
	(drawing
		(line (pt 56 4)(pt 78 4))
		(line (pt 0 8)(pt 52 8))
		(line (pt 56 12)(pt 78 12))
		(line (pt 78 4)(pt 82 8))
		(line (pt 78 12)(pt 82 8))
		(line (pt 56 4)(pt 52 8))
		(line (pt 52 8)(pt 56 12))
	)
	(text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
	(annotation_block (location)(rect 1400 632 1456 648))
)
(symbol
	(rect 640 136 928 600)
	(text "DE0_D5M" (rect 5 0 54 12)(font "Arial" ))
	(text "inst" (rect 8 448 25 460)(font "Arial" ))
	(port
		(pt 0 32)
		(input)
		(text "CLOCK_50" (rect 0 0 55 12)(font "Arial" ))
		(text "CLOCK_50" (rect 21 27 76 39)(font "Arial" ))
		(line (pt 0 32)(pt 16 32))
	)
	(port
		(pt 0 48)
		(input)
		(text "KEY[2..0]" (rect 0 0 48 12)(font "Arial" ))
		(text "KEY[2..0]" (rect 21 43 69 55)(font "Arial" ))
		(line (pt 0 48)(pt 16 48)(line_width 3))
	)
	(port
		(pt 0 64)
		(input)
		(text "SW[9..0]" (rect 0 0 43 12)(font "Arial" ))
		(text "SW[9..0]" (rect 21 59 64 71)(font "Arial" ))
		(line (pt 0 64)(pt 16 64)(line_width 3))
	)
	(port
		(pt 0 80)
		(input)
		(text "GPIO_1_CLKIN[1..0]" (rect 0 0 103 12)(font "Arial" ))
		(text "GPIO_1_CLKIN[1..0]" (rect 21 75 124 87)(font "Arial" ))
		(line (pt 0 80)(pt 16 80)(line_width 3))
	)
	(port
		(pt 288 32)
		(output)
		(text "LEDG[9..0]" (rect 0 0 55 12)(font "Arial" ))
		(text "LEDG[9..0]" (rect 221 27 276 39)(font "Arial" ))
		(line (pt 288 32)(pt 272 32)(line_width 3))
	)
	(port
		(pt 288 48)
		(output)
		(text "HEX0[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX0[6..0]" (rect 223 43 276 55)(font "Arial" ))
		(line (pt 288 48)(pt 272 48)(line_width 3))
	)
	(port
		(pt 288 64)
		(output)
		(text "HEX1[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX1[6..0]" (rect 223 59 276 71)(font "Arial" ))
		(line (pt 288 64)(pt 272 64)(line_width 3))
	)
	(port
		(pt 288 80)
		(output)
		(text "HEX2[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX2[6..0]" (rect 223 75 276 87)(font "Arial" ))
		(line (pt 288 80)(pt 272 80)(line_width 3))
	)
	(port
		(pt 288 96)
		(output)
		(text "HEX3[6..0]" (rect 0 0 53 12)(font "Arial" ))
		(text "HEX3[6..0]" (rect 223 91 276 103)(font "Arial" ))
		(line (pt 288 96)(pt 272 96)(line_width 3))
	)
	(port
		(pt 288 128)
		(output)
		(text "DRAM_ADDR[11..0]" (rect 0 0 101 12)(font "Arial" ))
		(text "DRAM_ADDR[11..0]" (rect 182 123 283 135)(font "Arial" ))
		(line (pt 288 128)(pt 272 128)(line_width 3))
	)
	(port
		(pt 288 144)
		(output)
		(text "DRAM_LDQM" (rect 0 0 68 12)(font "Arial" ))
		(text "DRAM_LDQM" (rect 210 139 278 151)(font "Arial" ))
		(line (pt 288 144)(pt 272 144))
	)
	(port
		(pt 288 160)
		(output)
		(text "DRAM_UDQM" (rect 0 0 70 12)(font "Arial" ))
		(text "DRAM_UDQM" (rect 208 155 278 167)(font "Arial" ))
		(line (pt 288 160)(pt 272 160))
	)
	(port
		(pt 288 176)
		(output)
		(text "DRAM_WE_N" (rect 0 0 69 12)(font "Arial" ))
		(text "DRAM_WE_N" (rect 209 171 278 183)(font "Arial" ))
		(line (pt 288 176)(pt 272 176))
	)
	(port
		(pt 288 192)
		(output)
		(text "DRAM_CAS_N" (rect 0 0 74 12)(font "Arial" ))
		(text "DRAM_CAS_N" (rect 205 187 279 199)(font "Arial" ))
		(line (pt 288 192)(pt 272 192))
	)
	(port
		(pt 288 208)
		(output)
		(text "DRAM_RAS_N" (rect 0 0 74 12)(font "Arial" ))
		(text "DRAM_RAS_N" (rect 205 203 279 215)(font "Arial" ))
		(line (pt 288 208)(pt 272 208))
	)
	(port
		(pt 288 224)
		(output)
		(text "DRAM_CS_N" (rect 0 0 67 12)(font "Arial" ))
		(text "DRAM_CS_N" (rect 211 219 278 231)(font "Arial" ))
		(line (pt 288 224)(pt 272 224))
	)
	(port
		(pt 288 240)
		(output)
		(text "DRAM_BA_0" (rect 0 0 63 12)(font "Arial" ))
		(text "DRAM_BA_0" (rect 214 235 277 247)(font "Arial" ))
		(line (pt 288 240)(pt 272 240))
	)
	(port
		(pt 288 256)
		(output)
		(text "DRAM_BA_1" (rect 0 0 63 12)(font "Arial" ))
		(text "DRAM_BA_1" (rect 214 251 277 263)(font "Arial" ))
		(line (pt 288 256)(pt 272 256))
	)
	(port
		(pt 288 272)
		(output)
		(text "DRAM_CLK" (rect 0 0 59 12)(font "Arial" ))
		(text "DRAM_CLK" (rect 218 267 277 279)(font "Arial" ))
		(line (pt 288 272)(pt 272 272))
	)
	(port
		(pt 288 288)
		(output)
		(text "DRAM_CKE" (rect 0 0 60 12)(font "Arial" ))
		(text "DRAM_CKE" (rect 217 283 277 295)(font "Arial" ))
		(line (pt 288 288)(pt 272 288))
	)
	(port
		(pt 288 304)
		(output)
		(text "VGA_HS" (rect 0 0 43 12)(font "Arial" ))
		(text "VGA_HS" (rect 231 299 274 311)(font "Arial" ))
		(line (pt 288 304)(pt 272 304))
	)
	(port
		(pt 288 320)
		(output)
		(text "VGA_VS" (rect 0 0 42 12)(font "Arial" ))
		(text "VGA_VS" (rect 232 315 274 327)(font "Arial" ))
		(line (pt 288 320)(pt 272 320))
	)
	(port
		(pt 288 336)
		(output)
		(text "VGA_R[3..0]" (rect 0 0 62 12)(font "Arial" ))
		(text "VGA_R[3..0]" (rect 215 331 277 343)(font "Arial" ))
		(line (pt 288 336)(pt 272 336)(line_width 3))
	)
	(port
		(pt 288 352)
		(output)
		(text "VGA_G[3..0]" (rect 0 0 62 12)(font "Arial" ))
		(text "VGA_G[3..0]" (rect 215 347 277 359)(font "Arial" ))
		(line (pt 288 352)(pt 272 352)(line_width 3))
	)
	(port
		(pt 288 368)
		(output)
		(text "VGA_B[3..0]" (rect 0 0 61 12)(font "Arial" ))
		(text "VGA_B[3..0]" (rect 216 363 277 375)(font "Arial" ))
		(line (pt 288 368)(pt 272 368)(line_width 3))
	)
	(port
		(pt 288 384)
		(output)
		(text "VGA_CLK" (rect 0 0 49 12)(font "Arial" ))
		(text "VGA_CLK" (rect 226 379 275 391)(font "Arial" ))
		(line (pt 288 384)(pt 272 384))
	)
	(port
		(pt 288 400)
		(output)
		(text "GPIO_1_CLKOUT[1..0]" (rect 0 0 114 12)(font "Arial" ))
		(text "GPIO_1_CLKOUT[1..0]" (rect 171 395 285 407)(font "Arial" ))
		(line (pt 288 400)(pt 272 400)(line_width 3))
	)
	(port
		(pt 288 112)
		(bidir)
		(text "DRAM_DQ[15..0]" (rect 0 0 86 12)(font "Arial" ))
		(text "DRAM_DQ[15..0]" (rect 192 107 278 119)(font "Arial" ))
		(line (pt 288 112)(pt 272 112)(line_width 3))
	)
	(port
		(pt 288 416)
		(bidir)
		(text "GPIO_1[31..0]" (rect 0 0 70 12)(font "Arial" ))
		(text "GPIO_1[31..0]" (rect 212 411 282 423)(font "Arial" ))
		(line (pt 288 416)(pt 272 416)(line_width 3))
	)
	(drawing
		(rectangle (rect 16 16 272 448))
	)
)
(connector
	(pt 608 216)
	(pt 640 216)
	(bus)
)
(connector
	(pt 584 200)
	(pt 640 200)
	(bus)
)
(connector
	(text "KEY[2..0]" (rect 506 168 554 180)(font "Arial" ))
	(pt 496 184)
	(pt 640 184)
	(bus)
)
(connector
	(text "CLOCK_50" (rect 506 152 561 164)(font "Arial" ))
	(pt 496 168)
	(pt 640 168)
)
(connector
	(pt 928 168)
	(pt 1064 168)
	(bus)
)
(connector
	(pt 928 232)
	(pt 1064 232)
	(bus)
)
(connector
	(pt 928 216)
	(pt 1064 216)
	(bus)
)
(connector
	(pt 928 200)
	(pt 1064 200)
	(bus)
)
(connector
	(pt 928 184)
	(pt 1064 184)
	(bus)
)
(connector
	(pt 928 248)
	(pt 1024 248)
	(bus)
)
(connector
	(pt 928 264)
	(pt 1024 264)
	(bus)
)
(connector
	(pt 928 280)
	(pt 1024 280)
)
(connector
	(pt 928 296)
	(pt 1024 296)
)
(connector
	(pt 928 312)
	(pt 1024 312)
)
(connector
	(pt 928 328)
	(pt 1024 328)
)
(connector
	(pt 928 344)
	(pt 1024 344)
)
(connector
	(pt 928 360)
	(pt 1024 360)
)
(connector
	(pt 928 376)
	(pt 1024 376)
)
(connector
	(pt 928 392)
	(pt 1024 392)
)
(connector
	(pt 928 408)
	(pt 1024 408)
)
(connector
	(pt 928 424)
	(pt 1024 424)
)
(connector
	(text "VGA_HS" (rect 938 424 981 436)(font "Arial" ))
	(pt 928 440)
	(pt 1008 440)
)
(connector
	(text "VGA_VS" (rect 938 440 980 452)(font "Arial" ))
	(pt 928 456)
	(pt 1008 456)
)
(connector
	(text "VGA_CLK" (rect 938 504 987 516)(font "Arial" ))
	(pt 928 520)
	(pt 1112 520)
)
(connector
	(pt 928 536)
	(pt 1024 536)
	(bus)
)
(connector
	(pt 928 552)
	(pt 1024 552)
	(bus)
)
(connector
	(text "VGA_R[3..0]" (rect 938 456 1000 468)(font "Arial" ))
	(pt 928 472)
	(pt 1136 472)
	(bus)
)
(connector
	(text "VGA_G[3..0]" (rect 938 472 1000 484)(font "Arial" ))
	(pt 928 488)
	(pt 1136 488)
	(bus)
)
(connector
	(text "VGA_B[3..0]" (rect 938 488 999 500)(font "Arial" ))
	(pt 928 504)
	(pt 1136 504)
	(bus)
)
(text "CLOCK_50" (rect 506 152 561 164)(font "Arial" ))
(text "KEY[2..0]" (rect 506 168 554 180)(font "Arial" ))