aboutsummaryrefslogtreecommitdiffstats
path: root/stopwatch/db/stopwatch.sta.qmsg
blob: 7d410ec03c74956ce0146b9f98f0d20d99412cd4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1456504675683 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1456504675684 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Feb 26 16:37:55 2016 " "Processing started: Fri Feb 26 16:37:55 2016" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1456504675684 ""}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1456504675684 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta stopwatch -c stopwatch " "Command: quartus_sta stopwatch -c stopwatch" {  } {  } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1456504675684 ""}
{ "Info" "0" "" "qsta_default_script.tcl version: #1" {  } {  } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1456504675743 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS_MORE_LOGICAL" "4 4 8 " "Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead." {  } {  } 0 11104 "Parallel Compilation has detected %3!i! hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use %1!i! of the %2!i! physical processors detected instead." 0 0 "Quartus II" 0 -1 1456504675844 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456504675844 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456504675890 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" {  } {  } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1456504675890 ""}
{ "Warning" "WTDB_ANALYZE_COMB_LATCHES" "17 " "TimeQuest Timing Analyzer is analyzing 17 combinational loops as latches." {  } {  } 0 335093 "TimeQuest Timing Analyzer is analyzing %1!d! combinational loops as latches." 0 0 "Quartus II" 0 -1 1456504675997 ""}
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "stopwatch.sdc " "Synopsys Design Constraints File file not found: 'stopwatch.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." {  } {  } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1456504676027 ""}
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" {  } {  } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1456504676028 ""}
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DE0CLOCK DE0CLOCK " "create_clock -period 1.000 -name DE0CLOCK DE0CLOCK" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name Reset Reset " "create_clock -period 1.000 -name Reset Reset" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name one_hertz_clock:inst9\|inst102 one_hertz_clock:inst9\|inst102 " "create_clock -period 1.000 -name one_hertz_clock:inst9\|inst102 one_hertz_clock:inst9\|inst102" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name Split Split " "create_clock -period 1.000 -name Split Split" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ten_counter:inst1\|inst ten_counter:inst1\|inst " "create_clock -period 1.000 -name ten_counter:inst1\|inst ten_counter:inst1\|inst" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ten_counter:inst2\|inst ten_counter:inst2\|inst " "create_clock -period 1.000 -name ten_counter:inst2\|inst ten_counter:inst2\|inst" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ten_counter:inst6\|inst ten_counter:inst6\|inst " "create_clock -period 1.000 -name ten_counter:inst6\|inst ten_counter:inst6\|inst" {  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""}  } {  } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676029 ""}
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" {  } {  } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1456504676130 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676131 ""}
{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" {  } {  } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1456504676131 ""}
{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1456504676139 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456504676156 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456504676156 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -2.370 " "Worst-case setup slack is -2.370" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.370       -44.235 DE0CLOCK  " "   -2.370       -44.235 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.031        -8.373 Split  " "   -1.031        -8.373 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.923        -0.923 Reset  " "   -0.923        -0.923 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.518        -1.429 ten_counter:inst1\|inst  " "   -0.518        -1.429 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.505        -1.341 ten_counter:inst2\|inst  " "   -0.505        -1.341 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.481        -1.435 one_hertz_clock:inst9\|inst102  " "   -0.481        -1.435 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.054        -0.121 ten_counter:inst6\|inst  " "   -0.054        -0.121 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676158 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.545 " "Worst-case hold slack is -0.545" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.545        -1.713 one_hertz_clock:inst9\|inst102  " "   -0.545        -1.713 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.440        -1.046 Split  " "   -0.440        -1.046 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.401        -1.418 ten_counter:inst2\|inst  " "   -0.401        -1.418 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.349        -6.211 DE0CLOCK  " "   -0.349        -6.211 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.245        -0.692 ten_counter:inst1\|inst  " "   -0.245        -0.692 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.160         0.000 Reset  " "    0.160         0.000 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.359         0.000 ten_counter:inst6\|inst  " "    0.359         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676162 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.180 " "Worst-case recovery slack is -2.180" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.180        -8.720 ten_counter:inst1\|inst  " "   -2.180        -8.720 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.948        -7.792 ten_counter:inst2\|inst  " "   -1.948        -7.792 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.679        -2.716 ten_counter:inst6\|inst  " "   -0.679        -2.716 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.465        -1.860 one_hertz_clock:inst9\|inst102  " "   -0.465        -1.860 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676164 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 0.969 " "Worst-case removal slack is 0.969" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.969         0.000 one_hertz_clock:inst9\|inst102  " "    0.969         0.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    1.155         0.000 ten_counter:inst6\|inst  " "    1.155         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.492         0.000 ten_counter:inst2\|inst  " "    2.492         0.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.734         0.000 ten_counter:inst1\|inst  " "    2.734         0.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676166 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000       -22.000 DE0CLOCK  " "   -3.000       -22.000 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000        -3.000 Reset  " "   -3.000        -3.000 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000        -3.000 Split  " "   -3.000        -3.000 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 one_hertz_clock:inst9\|inst102  " "   -1.000        -4.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst1\|inst  " "   -1.000        -4.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst2\|inst  " "   -1.000        -4.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst6\|inst  " "   -1.000        -4.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676168 ""}
{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" {  } {  } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1456504676278 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" {  } {  } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1456504676298 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" {  } {  } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1456504676617 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676645 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456504676654 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456504676654 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -2.050 " "Worst-case setup slack is -2.050" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.050       -38.014 DE0CLOCK  " "   -2.050       -38.014 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.772        -5.372 Split  " "   -0.772        -5.372 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.770        -0.770 Reset  " "   -0.770        -0.770 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.355        -0.961 ten_counter:inst1\|inst  " "   -0.355        -0.961 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.347        -0.891 ten_counter:inst2\|inst  " "   -0.347        -0.891 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.325        -0.962 one_hertz_clock:inst9\|inst102  " "   -0.325        -0.962 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.057         0.000 ten_counter:inst6\|inst  " "    0.057         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676657 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.493 " "Worst-case hold slack is -0.493" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.493        -1.569 one_hertz_clock:inst9\|inst102  " "   -0.493        -1.569 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.486        -1.250 Split  " "   -0.486        -1.250 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.386        -1.354 ten_counter:inst2\|inst  " "   -0.386        -1.354 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.361        -6.640 DE0CLOCK  " "   -0.361        -6.640 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.230        -0.633 ten_counter:inst1\|inst  " "   -0.230        -0.633 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.104         0.000 Reset  " "    0.104         0.000 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.313         0.000 ten_counter:inst6\|inst  " "    0.313         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676662 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.039 " "Worst-case recovery slack is -2.039" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -2.039        -8.156 ten_counter:inst1\|inst  " "   -2.039        -8.156 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.819        -7.276 ten_counter:inst2\|inst  " "   -1.819        -7.276 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.688        -2.752 ten_counter:inst6\|inst  " "   -0.688        -2.752 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.412        -1.648 one_hertz_clock:inst9\|inst102  " "   -0.412        -1.648 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676666 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 0.953 " "Worst-case removal slack is 0.953" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.953         0.000 one_hertz_clock:inst9\|inst102  " "    0.953         0.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    1.218         0.000 ten_counter:inst6\|inst  " "    1.218         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.412         0.000 ten_counter:inst2\|inst  " "    2.412         0.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    2.625         0.000 ten_counter:inst1\|inst  " "    2.625         0.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676670 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000       -22.000 DE0CLOCK  " "   -3.000       -22.000 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000        -3.000 Reset  " "   -3.000        -3.000 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000        -3.000 Split  " "   -3.000        -3.000 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 one_hertz_clock:inst9\|inst102  " "   -1.000        -4.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst1\|inst  " "   -1.000        -4.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst2\|inst  " "   -1.000        -4.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst6\|inst  " "   -1.000        -4.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676673 ""}
{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" {  } {  } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1456504676845 ""}
{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." {  } {  } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676917 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." {  } {  } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1456504676919 ""}  } {  } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1456504676919 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -0.896 " "Worst-case setup slack is -0.896" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.896       -16.354 DE0CLOCK  " "   -0.896       -16.354 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.696        -0.696 Reset  " "   -0.696        -0.696 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.125         0.000 Split  " "    0.125         0.000 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.176         0.000 ten_counter:inst1\|inst  " "    0.176         0.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.180         0.000 ten_counter:inst2\|inst  " "    0.180         0.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.192         0.000 one_hertz_clock:inst9\|inst102  " "    0.192         0.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.408         0.000 ten_counter:inst6\|inst  " "    0.408         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676924 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.502 " "Worst-case hold slack is -0.502" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.502        -3.342 Split  " "   -0.502        -3.342 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.309        -1.019 one_hertz_clock:inst9\|inst102  " "   -0.309        -1.019 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.283        -5.149 DE0CLOCK  " "   -0.283        -5.149 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.229        -0.830 ten_counter:inst2\|inst  " "   -0.229        -0.830 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.143        -0.438 ten_counter:inst1\|inst  " "   -0.143        -0.438 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.171         0.000 Reset  " "    0.171         0.000 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.187         0.000 ten_counter:inst6\|inst  " "    0.187         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676932 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -0.972 " "Worst-case recovery slack is -0.972" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.972        -3.888 ten_counter:inst1\|inst  " "   -0.972        -3.888 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.847        -3.388 ten_counter:inst2\|inst  " "   -0.847        -3.388 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -0.097        -0.388 ten_counter:inst6\|inst  " "   -0.097        -0.388 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.214         0.000 one_hertz_clock:inst9\|inst102  " "    0.214         0.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676938 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 0.489 " "Worst-case removal slack is 0.489" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.489         0.000 one_hertz_clock:inst9\|inst102  " "    0.489         0.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    0.759         0.000 ten_counter:inst6\|inst  " "    0.759         0.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    1.560         0.000 ten_counter:inst2\|inst  " "    1.560         0.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    1.702         0.000 ten_counter:inst1\|inst  " "    1.702         0.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676945 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "    Slack End Point TNS Clock  " "    Slack End Point TNS Clock " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000       -22.400 DE0CLOCK  " "   -3.000       -22.400 DE0CLOCK " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000        -3.097 Split  " "   -3.000        -3.097 Split " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -3.000        -3.008 Reset  " "   -3.000        -3.008 Reset " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 one_hertz_clock:inst9\|inst102  " "   -1.000        -4.000 one_hertz_clock:inst9\|inst102 " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst1\|inst  " "   -1.000        -4.000 ten_counter:inst1\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst2\|inst  " "   -1.000        -4.000 ten_counter:inst2\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "   -1.000        -4.000 ten_counter:inst6\|inst  " "   -1.000        -4.000 ten_counter:inst6\|inst " {  } {  } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""}  } {  } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1456504676950 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1456504677318 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" {  } {  } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1456504677318 ""}
{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "488 " "Peak virtual memory: 488 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1456504677397 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Feb 26 16:37:57 2016 " "Processing ended: Fri Feb 26 16:37:57 2016" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1456504677397 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1456504677397 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1456504677397 ""}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1456504677397 ""}