summaryrefslogtreecommitdiffstats
path: root/part_1/ex2/db/ex2.analyze_file.qmsg
diff options
context:
space:
mode:
Diffstat (limited to 'part_1/ex2/db/ex2.analyze_file.qmsg')
-rw-r--r--part_1/ex2/db/ex2.analyze_file.qmsg6
1 files changed, 6 insertions, 0 deletions
diff --git a/part_1/ex2/db/ex2.analyze_file.qmsg b/part_1/ex2/db/ex2.analyze_file.qmsg
new file mode 100644
index 0000000..57383cc
--- /dev/null
+++ b/part_1/ex2/db/ex2.analyze_file.qmsg
@@ -0,0 +1,6 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1479205540582 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analyze Current File Quartus Prime " "Running Quartus Prime Analyze Current File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition " "Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1479205540583 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov 15 10:25:40 2016 " "Processing started: Tue Nov 15 10:25:40 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1479205540583 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1479205540583 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off ex2 -c ex2 --analyze_file=H:/VERI/part_1/ex2/ex2.v " "Command: quartus_map --read_settings_files=on --write_settings_files=off ex2 -c ex2 --analyze_file=H:/VERI/part_1/ex2/ex2.v" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1479205540584 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Design Software" 0 -1 1479205541047 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Design Software" 0 -1 1479205541047 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Analyze Current File 0 s 1 Quartus Prime " "Quartus Prime Analyze Current File was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "830 " "Peak virtual memory: 830 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1479205549426 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov 15 10:25:49 2016 " "Processing ended: Tue Nov 15 10:25:49 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1479205549426 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1479205549426 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:20 " "Total CPU time (on all processors): 00:00:20" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1479205549426 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1479205549426 ""}