summaryrefslogtreecommitdiffstats
path: root/part_2/ex5/simulation/modelsim/rtl_work/_info
diff options
context:
space:
mode:
Diffstat (limited to 'part_2/ex5/simulation/modelsim/rtl_work/_info')
-rwxr-xr-xpart_2/ex5/simulation/modelsim/rtl_work/_info50
1 files changed, 25 insertions, 25 deletions
diff --git a/part_2/ex5/simulation/modelsim/rtl_work/_info b/part_2/ex5/simulation/modelsim/rtl_work/_info
index 9a599cc..9a0155e 100755
--- a/part_2/ex5/simulation/modelsim/rtl_work/_info
+++ b/part_2/ex5/simulation/modelsim/rtl_work/_info
@@ -1,25 +1,25 @@
-m255
-K3
-13
-cModel Technology
-Z0 dC:\New folder\simulation\modelsim
-vcounter_8
-!i10b 1
-!s100 ;ldZ:oUkgLo?@Aa7ibdbm2
-Ia91@O_<g0BVIc?WTzTbB62
-Vdn7aTnOzPKdeZA;zmQ`Cl3
-Z1 dC:\New folder\simulation\modelsim
-w1479807538
-8C:/New folder/verilog_files/counter_8.v
-FC:/New folder/verilog_files/counter_8.v
-L0 3
-OV;L;10.1d;51
-r1
-!s85 0
-31
-!s108 1479807676.024000
-!s107 C:/New folder/verilog_files/counter_8.v|
-!s90 -reportprogress|300|-vlog01compat|-work|work|+incdir+C:/New folder/verilog_files|C:/New folder/verilog_files/counter_8.v|
-!s101 -O0
-o-vlog01compat -work work -O0
-!s92 -vlog01compat -work work {+incdir+C:/New folder/verilog_files} -O0
+m255
+K3
+13
+cModel Technology
+Z0 dC:\New folder\simulation\modelsim
+vcounter_8
+!i10b 1
+!s100 ;ldZ:oUkgLo?@Aa7ibdbm2
+Ia91@O_<g0BVIc?WTzTbB62
+Vdn7aTnOzPKdeZA;zmQ`Cl3
+Z1 dC:\New folder\simulation\modelsim
+w1479807538
+8C:/New folder/verilog_files/counter_8.v
+FC:/New folder/verilog_files/counter_8.v
+L0 3
+OV;L;10.1d;51
+r1
+!s85 0
+31
+!s108 1479807676.024000
+!s107 C:/New folder/verilog_files/counter_8.v|
+!s90 -reportprogress|300|-vlog01compat|-work|work|+incdir+C:/New folder/verilog_files|C:/New folder/verilog_files/counter_8.v|
+!s101 -O0
+o-vlog01compat -work work -O0
+!s92 -vlog01compat -work work {+incdir+C:/New folder/verilog_files} -O0